KR100661237B1 - Method of manufacturing semiconductor device - Google Patents

Method of manufacturing semiconductor device Download PDF

Info

Publication number
KR100661237B1
KR100661237B1 KR1020050044912A KR20050044912A KR100661237B1 KR 100661237 B1 KR100661237 B1 KR 100661237B1 KR 1020050044912 A KR1020050044912 A KR 1020050044912A KR 20050044912 A KR20050044912 A KR 20050044912A KR 100661237 B1 KR100661237 B1 KR 100661237B1
Authority
KR
South Korea
Prior art keywords
film
silicide
gate
substrate
nitride film
Prior art date
Application number
KR1020050044912A
Other languages
Korean (ko)
Other versions
KR20060122435A (en
Inventor
고관주
Original Assignee
동부일렉트로닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동부일렉트로닉스 주식회사 filed Critical 동부일렉트로닉스 주식회사
Priority to KR1020050044912A priority Critical patent/KR100661237B1/en
Publication of KR20060122435A publication Critical patent/KR20060122435A/en
Application granted granted Critical
Publication of KR100661237B1 publication Critical patent/KR100661237B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28247Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon passivation or protection of the electrode, e.g. using re-oxidation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only

Abstract

본 발명의 목적은 실리사이드 영역에 실리사이드 억제물질이 잔류하는 것을 방지하여 PMD막의 질화막이 파괴되는 것을 예방할 수 있는 반도체 소자의 제조 방법을 제공하는 것이다.An object of the present invention is to provide a method for manufacturing a semiconductor device which can prevent the silicide inhibitor from remaining in the silicide region and thereby prevent the nitride film of the PMD film from being destroyed.

본 발명에 따른 반도체 소자의 제조 방법은 반도체 기판 상에 게이트 절연막을 형성하고, 게이트 절연막 상에 게이트 물질막을 증착하고, 게이트 물질막을 경사 식각하여 수직 프로파일의 측부를 가지는 게이트를 형성하고, 게이트 양측의 기판에 소오스/드레인을 형성하고, 게이트의 측벽에 스페이서를 형성하고, 기판의 전면 상에 실리사이드 억제 물질막을 형성하고, 실리사이드 억제 물질막을 식각하여 기판의 비실리사이드 영역 위에만 실리사이드 억제층을 형성하고, 실리사이드 억제층이 형성된 기판의 전면 상에 균일한 두께로 질화막을 형성하고, 질화막 상부에 산화막을 형성하여 금속전 절연막을 형성하는 단계들을 포함한다.In the method of manufacturing a semiconductor device according to the present invention, a gate insulating film is formed on a semiconductor substrate, a gate material film is deposited on the gate insulating film, and the gate material film is etched diagonally to form a gate having sides of vertical profiles, A source / drain is formed in the substrate, a spacer is formed on the sidewall of the gate, a silicide suppression material film is formed on the entire surface of the substrate, the silicide suppression material film is etched to form a silicide suppression layer only on the non-silicide region of the substrate, Forming a nitride film with a uniform thickness on the entire surface of the substrate on which the silicide suppression layer is formed, and forming an oxide film on the nitride film.

실리사이드억제층, PMD, 질화막, 게이트, 실리사이드Silicide suppression layer, PMD, nitride film, gate, silicide

Description

반도체 소자의 제조 방법{Method of manufacturing semiconductor device}Method of manufacturing semiconductor device

도 1a 내지 도 1d는 종래 반도체 소자의 제조 방법을 설명하기 위한 순차적 공정 단면도이다.1A to 1D are sequential process cross-sectional views for explaining a method of manufacturing a conventional semiconductor device.

도 2는 종래 반도체 소자의 금속전 절연(PMD)막의 질화막이 파괴되는 경우를 나타낸 도면이다.2 is a view showing a case where a nitride film of a metal dielectric insulating (PMD) film of a conventional semiconductor device is destroyed.

도 3a 내지 도 3d는 본 발명의 실시예에 따른 반도체 소자의 제조 방법을 설명하기 위한 순차적 공정 단면도이다.3A to 3D are sequential process cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.

본 발명은 반도체 소자 제조 기술에 관한 것으로, 더욱 상세하게는 실리사이드 억제층을 구비한 반도체 소자의 제조 방법에 관한 것이다.The present invention relates to a semiconductor device manufacturing technology, and more particularly to a method for manufacturing a semiconductor device having a silicide suppression layer.

반도체 소자의 고집적화에 따른 패턴의 미세화로 인하여 도전층간 패턴들의 콘택 면적이 점점 작아지면서 시트(sheet) 저항 및 콘택 저항이 높아져 소자의 고속화를 달성하는데 많은 어려움이 있다.Due to the miniaturization of the pattern due to the high integration of the semiconductor device, the contact area of the patterns between the conductive layers becomes smaller and smaller, thereby increasing the sheet resistance and the contact resistance, thereby increasing the speed of the device.

이에 따라, 콘택이 형성되는 액티브 영역, 즉 소오스 및 드레인 영역과 게이트(워드 라인) 및 비트 라인 등의 배선 상부에 금속과 실리콘의 화합물인 금속 실 리사이드층을 형성하는 방법이 적용되고 있다.Accordingly, a method of forming a metal silicide layer, which is a compound of metal and silicon, on an active region where a contact is formed, that is, a source and drain region, a gate (word line), and a bit line, is applied.

금속 실리사이드층은 별도의 마스크를 사용하는 것 없이 원하는 영역에만 선택적으로 실리사이드 반응이 이루어지는 자기정렬실리사이드(self aligned silicide; SALICIDE), 이른바 샐리사이드 공정에 의해 형성하며, 실리사이드층 형성을 위한 금속으로는 티타늄(Ti), 코발트(Co), 텅스텐(W), 니켈(Ni) 등을 사용한다.The metal silicide layer is formed by a self-aligned silicide (SALICIDE), a so-called salicide process, in which a silicide reaction is selectively performed only on a desired region without using a separate mask, and a metal for forming a silicide layer is titanium (Ti), cobalt (Co), tungsten (W), nickel (Ni) and the like are used.

한편, 반도체 소자 중 일부 소자에서는 소오스 및 드레인 영역에 금속 실리사이드층을 형성하게 되면 접합 누설 전류 특성 등이 저하되는 문제가 있어, 상기 소자에서는 실리사이드층이 형성되지 않도록 실리사이드 억제층(salicide block; SAB)을 적용하고 있다.On the other hand, in some devices, when the metal silicide layer is formed in the source and drain regions, there is a problem in that the junction leakage current characteristic is lowered. In the device, the silicide suppression layer (SAicide block) is formed so that the silicide layer is not formed. Is applying.

상기 실리사이드 억제층은 반도체 기판 상에 산화막, 질화막 또는 이들의 복합막을 증착하고, 포토리소그라피 공정 및 식각 공정에 의해 실리사이드층이 형성되지 않는 비실리사이드(non-silicide) 영역에만 선택적으로 형성하여 샐리사이드 공정 시 이 부분에서 실리사이드 반응이 일어나지 않도록 한다.The silicide suppression layer deposits an oxide film, a nitride film, or a composite film thereof on a semiconductor substrate, and selectively forms only a non-silicide region in which a silicide layer is not formed by a photolithography process and an etching process. Do not allow the silicide reaction to occur at this point.

이러한 실리사이드 억제층을 구비한 종래 반도체 소자의 제조 방법을 도 1a 내지 도 1d를 참조하여 설명한다.A method of manufacturing a conventional semiconductor device having such a silicide suppression layer will be described with reference to FIGS. 1A to 1D.

도 1a를 참조하면, 반도체 기판(10)에 소자 분리막(12)을 형성하여 액티브 영역을 정의하고, 기판(10)의 액티브 영역에 게이트 절연막(14), 게이트(16) 및 소오스/드레인(미도시)을 형성하여 트랜지스터를 형성하고, 게이트(16) 측벽에는 산화막(18a)과 질화막(18b)의 이중막으로 이루어진 스페이서(18)를 형성한다.Referring to FIG. 1A, an isolation region 12 is formed on a semiconductor substrate 10 to define an active region, and a gate insulating layer 14, a gate 16, and a source / drain (not shown) are formed in the active region of the substrate 10. Transistors are formed, and spacers 18 formed of double layers of an oxide film 18a and a nitride film 18b are formed on sidewalls of the gate 16.

여기서, 게이트(16)는 기판(10) 상에 게이트 물질막으로 폴리실리콘막을 증착하고 측부가 수직 프로파일을 갖도록 폴리실리콘막을 식각하여 형성한다.Here, the gate 16 is formed by depositing a polysilicon film on the substrate 10 as a gate material film and etching the polysilicon film so that the side has a vertical profile.

이때, 식각은 CF4 가스를 이용하여 약 15초 동안 브레이크쓰루(breakthrough; BT) 식각을 수행하고, 종말점(end point; EP)까지 HBr/Cl2/He 가스와 O2 가스를 이용하여 약 35초 동안 메인 식각(main etching; ME)을 수행하고, HBr/He 가스와 O2 가스를 이용하여 약 70초 동안 오버 식각(over etching; OE)을 수행하는 단계들로 이루어진다.At this time, the etching is performed by breakthrough (BT) etching for about 15 seconds using CF 4 gas, and about 35 using HBr / Cl 2 / He gas and O 2 gas to the end point (EP). Main etching (ME) is performed for seconds, and over etching (OE) is performed for about 70 seconds using HBr / He gas and O 2 gas.

도 1b를 참조하면, 기판(10)의 전면 상에 실리사이드 억제 물질막으로서 50 내지 200Å 두께의 산화막(20a)과 100 내지 500Å 두께의 질화막(20b)을 순차적으로 증착한다. Referring to FIG. 1B, an oxide film 20a having a thickness of 50 to 200 GPa and a nitride film 20b having a thickness of 100 to 500 GPa are sequentially deposited as a silicide suppressing material film on the entire surface of the substrate 10.

도 1c를 참조하면, 질화막(20b)과 산화막(20b)을 식각하여 실리사이드가 형성되지 않는 비실리사이드 영역 위에만 산화막(20a)과 질화막(20b)의 이중막으로 이루어진 실리사이드 억제층(20)을 형성한다.Referring to FIG. 1C, the silicide suppression layer 20 including the double layer of the oxide film 20a and the nitride film 20b is formed only on the non-silicide region where the silicide is not formed by etching the nitride film 20b and the oxide film 20b. do.

여기서, 질화막(20b)과 산화막(20b)의 식각은 패턴 불량 방지를 위해 주로 건식 식각으로 수행하며, 식각 시 플라즈마에 의해 액티브 영역이 손상되는 것을 방지하도록 과도 식각 시간을 최소로 하여 수행한다.Here, the etching of the nitride film 20b and the oxide film 20b is mainly performed by dry etching to prevent pattern defects, and the etching process is performed with a minimum of excessive etching time to prevent the active region from being damaged by plasma during etching.

이에 따라, 실리사이드가 형성되는 실리사이드 영역에서는 스페이서(18) 측부(100)에 질화막(20b)과 산화막(20a)이 완전히 제거되지 않고 일부 잔류하게 된다.Accordingly, in the silicide region where the silicide is formed, the nitride film 20b and the oxide film 20a are partially removed from the spacer 18 side portion 100 without being completely removed.

도 1d를 참조하면, 기판(10)의 전면 상에 질화막(22)을 형성하고, 질화막(22) 위로 산화막(미도시)을 형성하여 상기 트랜지스터와 이후 형성될 배선 사이의 절연을 위한 층간 절연막인 금속전 절연(pre metal dielectric; PMD)막을 형성한다. Referring to FIG. 1D, the nitride film 22 is formed on the entire surface of the substrate 10, and an oxide film (not shown) is formed on the nitride film 22 to form an interlayer insulating film for insulation between the transistor and the wiring to be formed later. A pre metal dielectric (PMD) film is formed.

여기서, 질화막(22)은 PMD막에 콘택홀을 형성할 때 마스크 오정렬(misalign)로 인해 액티브 영역에서 콘택 스파이크(contact spike)가 발생하는 것을 방지하기 위하여 형성한다.Here, the nitride film 22 is formed to prevent contact spikes in the active region due to mask misalignment when forming contact holes in the PMD film.

그런데, 실리사이드 영역의 스페이서(18) 측부(100)에서는 잔류하는 질화막(20b)과 산화막(20a)으로 인하여 PMD막의 질화막(22)이 다른 영역에 비해 상대적으로 얇게 형성되어, 도 2와 같이 스페이서(18) 측부(100)에서 질화막(22)의 파괴가 발생하기 쉽다.However, due to the nitride film 20b and the oxide film 20a remaining in the spacer 18 side portion 100 of the silicide region, the nitride film 22 of the PMD film is formed relatively thinner than the other regions. 18) The breakage of the nitride film 22 tends to occur at the side portion 100.

이러한 질화막(22)의 파괴는 콘택홀 공정 시 파티클(particle) 및 콘택 스파이크를 유발하여 소자의 특성 및 신뢰성을 저하시킨다.The destruction of the nitride film 22 causes particles and contact spikes during the contact hole process, thereby degrading the characteristics and reliability of the device.

본 발명은 상기와 같은 종래의 문제점을 해결하기 위한 것으로, 실리사이드 영역에 실리사이드 억제물질이 잔류하는 것을 방지하여 PMD막의 질화막이 파괴되는 것을 예방할 수 있는 반도체 소자의 제조 방법을 제공하는데 그 목적이 있다.SUMMARY OF THE INVENTION The present invention has been made in view of the above problems, and an object of the present invention is to provide a method for manufacturing a semiconductor device which can prevent the silicide inhibitor from remaining in the silicide region and thus prevent the nitride film of the PMD film from being destroyed.

상기 목적을 달성하기 위하여 본 발명은 반도체 기판 상에 게이트 절연막을 형성하고, 게이트 절연막 상에 게이트 물질막을 증착하고, 게이트 물질막을 경사 식각하여 수직 프로파일의 측부를 가지는 게이트를 형성하고, 게이트 양측의 기판에 소오스/드레인을 형성하고, 게이트의 측벽에 스페이서를 형성하고, 기판의 전면 상에 실리사이드 억제 물질막을 형성하고, 실리사이드 억제 물질막을 식각하여 기판의 비실리사이드 영역 위에만 실리사이드 억제층을 형성하고, 실리사이드 억제층이 형성된 기판의 전면 상에 균일한 두께로 질화막을 형성하고, 질화막 상부에 산화막을 형성하여 금속전 절연막을 형성하는 단계들을 포함하는 반도체 소자의 제조 방법을 제공한다.In order to achieve the above object, the present invention forms a gate insulating film on a semiconductor substrate, a gate material film is deposited on the gate insulating film, the gate material film is etched obliquely to form a gate having sides of the vertical profile, and the substrate on both sides of the gate. Form a source / drain on the spacer, form a spacer on the sidewall of the gate, form a silicide suppression material film on the entire surface of the substrate, and etch the silicide suppression material film to form a silicide suppression layer only on the non-silicide region of the substrate, A method of manufacturing a semiconductor device includes forming a nitride film with a uniform thickness on an entire surface of a substrate on which a suppression layer is formed, and forming an oxide film on the nitride film.

여기서, 경사 식각은 상기 게이트의 측부가 75 내지 85°의 경사각을 갖도록 수행한다.Here, the inclined etching is performed such that the side of the gate has an inclination angle of 75 to 85 degrees.

또한, 실리사이드 억제 물질막은 산화막과 질화막의 이중막으로 이루어진다.The silicide suppressing material film is composed of a double film of an oxide film and a nitride film.

또한, 경사 식각은 메인 식각에서 CF4/Cl2/He 가스와 O2 가스를 이용하여 40초 내지 55초 동안 수행한다.In addition, oblique etching is performed for 40 seconds to 55 seconds using CF 4 / Cl 2 / He gas and O 2 gas in the main etching.

이하, 첨부한 도면을 참조하여 본 발명의 바람직한 실시예를 보다 상세하게 설명한다.Hereinafter, with reference to the accompanying drawings will be described in detail a preferred embodiment of the present invention.

도 3a 내지 도 3d를 참조하여 본 발명의 실시예에 따른 반도체 소자의 제조 방법을 설명한다.A method of manufacturing a semiconductor device according to an embodiment of the present invention will be described with reference to FIGS. 3A to 3D.

도 3a를 참조하면, 반도체 기판(30)에 공지된 얕은 트렌치 소자 분리(shallow trench isolation; STI) 기술에 의해 소자 분리막(32)을 형성하여 액티브 영역을 정의한다. Referring to FIG. 3A, an isolation region 32 is formed on a semiconductor substrate 30 by a well-known shallow trench isolation (STI) technique to define an active region.

그 다음, 기판(30) 상에 게이트 절연막(34)을 형성하고, 그 위에 게이트 물질막으로 폴리실리콘막을 증착한 후, 경사 식각에 의해 폴리실리콘막을 식각하여 경사 프로파일의 측부를 가지는 게이트(36)를 형성한다.Next, a gate insulating film 34 is formed on the substrate 30, a polysilicon film is deposited thereon with a gate material film, and then the polysilicon film is etched by the inclined etching to form the gate 36 having the side of the inclined profile. To form.

경사 식각은 게이트(36) 측부가 75 내지 85°의 경사각을 갖도록, CF4 가스를 이용하여 약 15초 동안 브레이크쓰루(BT) 식각을 수행하고, 종말점(EP)까지 CF4/Cl2/He 가스와 O2 가스를 이용하여 40 내지 55초, 바람직하게는 약 50초 동안 메인 식각(ME)을 수행하고, HBr/He 가스와 O2 가스를 이용하여 약 70초 동안 오버 식각(OE)을 수행하는 단계들로 이루어진다.Inclined etching performs breakthrough (BT) etching for about 15 seconds using CF 4 gas, with the gate 36 side having an inclination angle of 75 to 85 °, and CF 4 / Cl 2 / He to the end point EP. Main etching (ME) is carried out using gas and O 2 gas for 40 to 55 seconds, preferably about 50 seconds, and over etching (OE) for about 70 seconds using HBr / He gas and O 2 gas. It consists of steps to be performed.

즉, 메인 식각에서 종래 HBr 가스 대신 CF4 가스를 사용하고 식각 시간을 35초에서 50초로 증가시키면, 탄소(C) 계열이나 Si-Fx 계열의 폴리머가 게이트(36)의 측부를 패시배이션(passivation)하여 게이트(36) 측부가 경사 프로파일을 가질 수 있고 식각 종말점(EP)과 과도 식각(OE) 동안에도 게이트(36) 측부가 경사 프로파일을 유지할 수 있다.That is, if CF 4 gas is used instead of the conventional HBr gas in the main etching and the etching time is increased from 35 seconds to 50 seconds, the carbon (C) -based or Si-Fx-based polymer passivates the side of the gate 36 ( passivation may allow the gate 36 side to have a slope profile and the gate 36 side may maintain a slope profile during the etch endpoint EP and the transient OE.

그 다음, 게이트(36) 양측의 기판(30)으로 불순물 이온을 주입하여 소오스/드레인(미도시)을 형성하여 트랜지스터를 완성한다.Then, impurity ions are implanted into the substrate 30 on both sides of the gate 36 to form a source / drain (not shown) to complete the transistor.

그 후, 기판(30)의 전면 상에 산화막(38a)과 질화막(38b)을 순차적으로 증착하고 블랭킷 식각하여, 게이트(36)의 측벽에 산화막(38a)과 질화막(38b)의 이중막으로 이루어진 스페이서(38)를 형성한다.Thereafter, the oxide film 38a and the nitride film 38b are sequentially deposited and blanket-etched on the entire surface of the substrate 30 to form a double layer of the oxide film 38a and the nitride film 38b on the sidewall of the gate 36. The spacer 38 is formed.

도 3b를 참조하면, 기판(30)의 전면 상에 실리사이드 억제 물질로서 50 내지 200Å 두께의 산화막(40a)과 100 내지 500Å 두께의 질화막(40b)을 순차적으로 증착한다. 산화막(40a)은 실리콘 산화물(SiO2)로 이루어지고, 질화막(40b)은 실리콘 질화물(Si3N4)로 이루어진다. 이 때, 산화막(40a) 및 질화막(40b)은 산화막(38a)과 질화막(38b)과 다른 물질로 이루어지는 것이 바람직하며, 특히 건식 식각 조건이 서로 다른 물질로 이루어지는 것이 바람직하다.Referring to FIG. 3B, an oxide film 40a having a thickness of 50 to 200 GPa and a nitride film 40b having a thickness of 100 to 500 GPa are sequentially deposited as a silicide suppressing material on the entire surface of the substrate 30. The oxide film 40a is made of silicon oxide (SiO 2 ), and the nitride film 40b is made of silicon nitride (Si 3 N 4 ). At this time, the oxide film 40a and the nitride film 40b are preferably made of a different material from the oxide film 38a and the nitride film 38b, and particularly preferably made of materials having different dry etching conditions.

도 3c를 참조하면, 질화막(40b)과 산화막(40a)을 식각하여 비실리사이드 영역 위에만 산화막(40a)과 질화막(40b)의 이중막으로 이루어진 실리사이드 억제층(40)을 형성한다.Referring to FIG. 3C, the nitride film 40b and the oxide film 40a are etched to form a silicide suppression layer 40 including a double film of the oxide film 40a and the nitride film 40b only on the non-silicide region.

여기서, 질화막(40b)과 산화막(40a)의 식각은 패턴 불량 방지를 위해 건식 식각으로 수행하며, 식각 시 플라즈마에 의해 액티브 영역이 손상되는 것을 방지하도록 과도 식각 시간을 최소로 하여 수행한다.Here, the etching of the nitride film 40b and the oxide film 40a is performed by dry etching to prevent pattern defects and minimizes the excessive etching time to prevent the active region from being damaged by the plasma during etching.

이와 같이 과도 식각 시간을 최소로하여 건식 식각을 수행하더라도 게이트(36)가 경사 프로파일의 측부를 가짐에 따라, 질화막(40b)과 산화막(40a)의 식각 후 실리사이드 영역에서 스페이서(38)의 측부(200)에 질화막(40b)과 산화막(40a)이 잔류하지 않게 된다.As described above, even when dry etching is performed with a minimum of excessive etching time, as the gate 36 has a side of the inclined profile, the side of the spacer 38 in the silicide region after the nitride film 40b and the oxide film 40a are etched. The nitride film 40b and the oxide film 40a do not remain in the 200.

도 3d를 참조하면, 기판(30)의 전면 상에 질화막(42)을 형성하고, 질화막(42) 위로 산화막(미도시)을 형성하여 상기 트랜지스터와 이후 형성될 배선 사이의 절연을 위한 층간 절연막인 금속전 절연(PMD)막을 형성한다. Referring to FIG. 3D, a nitride film 42 is formed on the entire surface of the substrate 30, and an oxide film (not shown) is formed on the nitride film 42 to form an interlayer insulating film for insulation between the transistor and the wiring to be formed later. A metal dielectric insulating (PMD) film is formed.

여기서, 질화막(42)은 PMD막에 콘택홀을 형성할 때 마스크 오정렬로 인해 액티브 영역에서 콘택 스파이크가 발생하는 것을 방지하기 위하여, 200 내지 500Å 두께로 형성한다.In this case, the nitride film 42 is formed to have a thickness of 200 to 500 GPa to prevent contact spikes in the active region due to mask misalignment when forming contact holes in the PMD film.

이때, 실리사이드 영역의 스페이서(38)의 측부(200)에 질화막(40b)과 산화막(40a)이 존재하지 않으므로, 기판(30)의 전면 상에 질화막(42)이 균일한 두께로 형성될 수 있어 스페이서(38)의 측부(200)에서 질화막(42)이 파괴되지 않는다.In this case, since the nitride film 40b and the oxide film 40a do not exist on the side portion 200 of the spacer 38 in the silicide region, the nitride film 42 may be formed to have a uniform thickness on the entire surface of the substrate 30. The nitride film 42 is not destroyed at the side portion 200 of the spacer 38.

상기에서는 본 발명의 바람직한 실시예에 대하여 설명하였지만, 본 발명은 이에 한정되는 것이 아니고 특허청구범위와 발명의 상세한 설명 및 첨부한 도면의 범위 안에서 여러 가지로 변형하여 실시하는 것이 가능하고 이 또한 본 발명이 범위에 속하는 것은 당연하다.Although the preferred embodiments of the present invention have been described above, the present invention is not limited thereto, and various modifications and changes can be made within the scope of the claims and the detailed description of the invention and the accompanying drawings. It is natural to fall within this range.

상술한 바와 같이, 본 발명은 게이트가 경사 프로파일의 측부를 갖도록 하여 실리사이드 영역의 게이트 측부에서 실리사이드 억제 물질이 잔류하는 것을 방지할 수 있다.As described above, the present invention allows the gate to have sides of the inclined profile, thereby preventing the silicide inhibiting material from remaining at the gate side of the silicide region.

따라서, 본 발명은 PMD막의 질화막을 기판 전면에 균일한 두께로 형성할 수 있어 질화막의 일부가 파괴되는 것을 방지할 수 있으므로 콘택홀 공정 시 파티클 및 콘택 스파이크를 유발을 억제할 수 있다.Therefore, the present invention can form a nitride film of the PMD film with a uniform thickness on the entire surface of the substrate to prevent a part of the nitride film from being destroyed, thereby suppressing the generation of particles and contact spikes during the contact hole process.

그 결과, 본 발명은 반도체 소자의 특성 및 신뢰성을 향상시킬 수 있다.As a result, the present invention can improve the characteristics and the reliability of the semiconductor device.

Claims (6)

반도체 기판 상에 게이트 절연막을 형성하는 단계;Forming a gate insulating film on the semiconductor substrate; 상기 게이트 절연막 상에 게이트 물질막을 증착하는 단계;Depositing a gate material film on the gate insulating film; 상기 게이트 물질막을 경사 식각하여 수직 프로파일의 측부를 가지는 게이트를 형성하는 단계;Obliquely etching the gate material layer to form a gate having sides of vertical profiles; 상기 게이트 양측의 기판에 소오스/드레인을 형성하는 단계;Forming a source / drain on the substrate on both sides of the gate; 상기 게이트의 측벽에 스페이서를 형성하는 단계;Forming a spacer on sidewalls of the gate; 상기 기판의 전면 상에 실리사이드 억제 물질막을 형성하는 단계; 및 Forming a silicide inhibiting material film on the entire surface of the substrate; And 상기 실리사이드 억제 물질막을 식각하여 상기 기판의 비실리사이드 영역 위에만 실리사이드 억제층을 형성하는 단계를 포함하는 반도체 소자의 제조 방법.Etching the silicide suppressing material layer to form a silicide suppression layer only on an unsilicide region of the substrate. 제1항에 있어서, The method of claim 1, 상기 경사 식각은 상기 게이트의 측부가 75 내지 85°의 경사각을 갖도록 수행하는 반도체 소자의 제조 방법.The inclined etching may be performed such that the side of the gate has an inclination angle of 75 to 85 degrees. 제1항에 있어서, The method of claim 1, 상기 실리사이드 억제 물질막은 산화막과 질화막의 이중막으로 이루어지는 반도체 소자의 제조 방법.And the silicide suppressing material film is composed of a double film of an oxide film and a nitride film. 제2항 또는 제3항에 있어서, The method according to claim 2 or 3, 상기 경사 식각은 메인 식각에서 CF4/Cl2/He 가스와 O2 가스를 이용하여 수행하는 반도체 소자의 제조 방법.The gradient etching is a method of manufacturing a semiconductor device using a CF 4 / Cl 2 / He gas and O 2 gas in the main etching. 제4항에 있어서, The method of claim 4, wherein 상기 메인 식각은 40초 내지 55초 동안 수행하는 반도체 소자의 제조 방법.The main etching is performed for a semiconductor device for 40 seconds to 55 seconds. 제1항에 있어서, The method of claim 1, 상기 실리사이드 억제층이 형성된 기판의 전면 상에 균일한 두께로 질화막을 형성하는 단계; 및Forming a nitride film with a uniform thickness on the entire surface of the substrate on which the silicide suppression layer is formed; And 상기 질화막 상부에 산화막을 형성하여 금속전 절연막을 형성하는 단계를 더 포함하는 반도체 소자의 제조 방법.And forming a metal pre-insulating layer by forming an oxide film on the nitride film.
KR1020050044912A 2005-05-27 2005-05-27 Method of manufacturing semiconductor device KR100661237B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020050044912A KR100661237B1 (en) 2005-05-27 2005-05-27 Method of manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050044912A KR100661237B1 (en) 2005-05-27 2005-05-27 Method of manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
KR20060122435A KR20060122435A (en) 2006-11-30
KR100661237B1 true KR100661237B1 (en) 2006-12-22

Family

ID=37707772

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050044912A KR100661237B1 (en) 2005-05-27 2005-05-27 Method of manufacturing semiconductor device

Country Status (1)

Country Link
KR (1) KR100661237B1 (en)

Also Published As

Publication number Publication date
KR20060122435A (en) 2006-11-30

Similar Documents

Publication Publication Date Title
US7427564B2 (en) Method for forming storage node contact plug in semiconductor device
US20070004187A1 (en) Method of forming self-aligned contacts and local interconnects
US20050158986A1 (en) Method of forming contact plug on silicide structure
JP2009105279A (en) Manufacturing method of semiconductor device, and semiconductor device
US20070145491A1 (en) Semiconductor device and method of manufacture
KR100597768B1 (en) Method for fabricating gate spacer of semiconductor device
JP2001217200A (en) Method for fabricating semiconductor device
KR100502673B1 (en) METHOD FOR FORMING Ti LAYER AND BARRIER METAL LAYER OF SEMICONDUCTOR DEVICE
US6184113B1 (en) Method of manufacturing a gate electrode in a semiconductor device
KR100661237B1 (en) Method of manufacturing semiconductor device
JP2007081347A (en) Method for manufacturing semiconductor device
US20010034136A1 (en) Method for improving contact resistance of silicide layer in a semiconductor device
US20090032900A1 (en) Method of protecting shallow trench isolation structure and composite structure resulting from the same
KR100866690B1 (en) Method for contact hole of semiconductor device
KR100835506B1 (en) Manufacturing method of semiconductor device
KR20040007949A (en) Method of manufacture semiconductor device
KR100641548B1 (en) Method of manufacturing semiconductor device
KR100677990B1 (en) Method for forming semiconductor device
KR100701779B1 (en) Method for fabricating contact of semiconductor device
US7700451B2 (en) Method of manufacturing a transistor
KR100547247B1 (en) Method for fabricating semiconductor memory device
KR20070003062A (en) Method for fabricating semiconductor device having recess channel
KR100598173B1 (en) Method for forming transistor of semiconductor device
KR100503748B1 (en) Method for fabricating sidewall of semiconductor device
KR100807497B1 (en) Spacer forming method for semiconductor manufacturing

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111121

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee