KR100650715B1 - Method for forming contact plug of semiconductor device - Google Patents

Method for forming contact plug of semiconductor device Download PDF

Info

Publication number
KR100650715B1
KR100650715B1 KR1020030084311A KR20030084311A KR100650715B1 KR 100650715 B1 KR100650715 B1 KR 100650715B1 KR 1020030084311 A KR1020030084311 A KR 1020030084311A KR 20030084311 A KR20030084311 A KR 20030084311A KR 100650715 B1 KR100650715 B1 KR 100650715B1
Authority
KR
South Korea
Prior art keywords
epitaxial
layer
silicon layer
epitaxial silicon
silicon
Prior art date
Application number
KR1020030084311A
Other languages
Korean (ko)
Other versions
KR20050050711A (en
Inventor
박성언
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020030084311A priority Critical patent/KR100650715B1/en
Priority to US10/984,494 priority patent/US7049230B2/en
Publication of KR20050050711A publication Critical patent/KR20050050711A/en
Application granted granted Critical
Publication of KR100650715B1 publication Critical patent/KR100650715B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step

Abstract

본 발명은 반도체 소자의 콘택 플러그 형성방법을 개시한다. 개시된 본 발명의 방법은, 게이트 전극 및 소오스/드레인 영역으로 구성된 트랜지스터가 형성되고, 상기 트랜지스터를 덮도록 전면 상에 층간절연막이 형성된 실리콘 기판을 제공하는 단계와, 상기 층간절연막을 식각하여 실리콘 기판의 소오스/드레인 영역을 노출시키는 콘택홀을 형성하는 단계와, 상기 콘택홀을 매립하지 않는 두께로 제1에피 실리콘층을 성장시키는 단계와, 상기 제1에피 실리콘층이 성장된 기판 결과물을 열처리하여 상기 제1에피 실리콘층 상층부의 비정질 실리콘 부분을 에피 실리콘층으로 만드는 단계와, 상기 제1실리콘 에피층 상에 콘택홀을 매립하는 두께로 제2에피 실리콘층을 성장시키는 단계와, 상기 층간절연막이 노출되도록 제2 및 제1실리콘 에피층을 CMP하는 단계를 포함하는 것을 특징으로 한다. 본 발명에 따르면, 비정질의 실리콘을 증착한 후, 열처리에 의해 에피택셜 실리콘을 성장시키는 방법을 이용함에 있어, 전체 두께의 에피택셜 실리콘막을 두번에 나누어 형성함으로써, 에피택셜 실리콘막의 비정질층의 두께를 얇게 하여 열처리 시간을 단축할 수 있다. The present invention discloses a method for forming a contact plug of a semiconductor device. The disclosed method includes providing a silicon substrate having a transistor composed of a gate electrode and a source / drain region, and having an interlayer insulating film formed on a front surface thereof to cover the transistor, and etching the interlayer insulating film to form a silicon substrate. Forming a contact hole exposing a source / drain region, growing a first epitaxial silicon layer to a thickness that does not fill the contact hole, and heat-treating the resultant substrate on which the first epitaxial silicon layer is grown. Making an amorphous silicon portion of the upper part of the first epitaxial silicon layer into an epitaxial silicon layer, growing a second epitaxial silicon layer with a thickness filling a contact hole on the first silicon epitaxial layer, and exposing the interlayer insulating layer. And CMP the second and first silicon epitaxial layers as much as possible. According to the present invention, in the method of growing the epitaxial silicon by heat treatment after depositing amorphous silicon, the epitaxial silicon film of full thickness is formed by dividing it twice, thereby increasing the thickness of the amorphous layer of the epitaxial silicon film. By making it thin, heat processing time can be shortened.

Description

반도체 소자의 콘택 플러그 형성방법{Method for forming contact plug of semiconductor device}Method for forming contact plug of semiconductor device

도 1a 내지 도 1f는 본 발명에 따른 반도체 소자의 콘택 플러그 형성방법을 설명하기 위한 공정별 단면도. 1A to 1F are cross-sectional views illustrating processes for forming a contact plug of a semiconductor device according to the present invention.

*도면의 주요 부호에 대한 설명** Description of Major Symbols in Drawings *

11: 실리콘 기판 12: 소자분리막11: silicon substrate 12: device isolation film

13: 게이트 전극 14: 소오스/드레인 영역13: gate electrode 14 source / drain region

15: 스페이서 16: 층간절연막15: spacer 16: interlayer insulating film

17: 콘택홀 18: 제1에피 실리콘층17: contact hole 18: the first epi silicon layer

18a: 에피택셜층 18b: 비정질층18a: epitaxial layer 18b: amorphous layer

18c: 에피택셜층 19: 제2에피 실리콘층 18c: epitaxial layer 19: second epitaxial silicon layer

19a: 에피택셜층 19b: 비정질층19a: epitaxial layer 19b: amorphous layer

본 발명은 반도체 소자의 콘택 플러그 형성방법에 관한 것으로, 보다 상세하게는, 에피택셜 실리콘을 이용한 콘택 플러그 형성시 열처리 시간을 단축할 수 있는 반도체 소자의 콘택 플러그 형성방법에 관한 것이다.The present invention relates to a method of forming a contact plug of a semiconductor device, and more particularly, to a method of forming a contact plug of a semiconductor device capable of shortening a heat treatment time when forming a contact plug using epitaxial silicon.

일반적으로 반도체 소자의 콘택 플러그로 에피택셜(Epitaxial) 실리콘을 사용하면 상대적으로 낮은 도핑 농도에서도 낮은 콘택 저항을 확보할 수 있다. 이러한 낮은 도핑 농도는 상대적으로 적은 도펀트 확산을 초래하는데, 이러한 도펀트 확산 제어는 반도체 소자의 크기가 작아지면서, 트랜지스터 특성 열화 및 열적 스트레스로 인한 리프레쉬(Refresh) 특성 열화의 개선을 위해 반드시 필요하다. In general, when epitaxial silicon is used as a contact plug of a semiconductor device, low contact resistance may be obtained even at a relatively low doping concentration. This low doping concentration results in relatively low dopant diffusion, which is essential for improving the refresh characteristics due to transistor characteristics and thermal stress as the size of the semiconductor device becomes smaller.

보다 상세히 설명하면, 반도체 소자가 미세화 됨에 따라 게이트 길이가 작아지면서, 적절한 문턱전압을 얻기 위하여 과도한 이온 주입을 하게 되었고, 이에 따라, 리프레쉬 특성 마진이 점점 줄어들고 있다. 또한, 적절한 전류구동력을 얻기 위하여 콘택 저항을 낮추고 있는 추세이고, 이에 따라, 콘택 플러그 물질로 폴리실리콘을 사용하는 경우에는 적절한 접촉저항을 얻기 위하여 대략 5E19~1E20 오더(order)의 인(P) 도핑을 하고 있다.In more detail, as the semiconductor device becomes smaller, the gate length becomes smaller, and excessive ion implantation is performed to obtain an appropriate threshold voltage. As a result, the refresh characteristic margin is gradually reduced. In addition, in order to obtain an appropriate current driving force, the contact resistance is being lowered. Accordingly, when polysilicon is used as the contact plug material, phosphorus (P) doping of approximately 5E19 to 1E20 orders is obtained to obtain an appropriate contact resistance. Doing

그러나, 상기와 같이 도핑된 인(P)이 후속 열공정에 의해 접합이나 셀 트랜지스터로 확산되면, 리프레쉬 특성에 악영향을 미칠 수 있다. 아울러, 리프레쉬 특성을 향상시키기 위해 콘택 플러그에 추가로 도핑을 하게 되는데, 이 또한 콘택 플러그로 사용되는 폴리실리콘에서의 인 확산이 도핑의 효과를 반감시키게 된다. 물론, 상기 인 도핑을 무조건 낮추면, 콘택 저항이 증가되어 소자의 전류구동력이 크게 감소하기 때문에, 적절한 공정조건을 확보하는 것이 필수적이다. 그리고, 액티브 영역의 실리콘층과 콘택플러그 사이의 계면에 디펙트가 존재하여 소자 신뢰성이 떨어지는 문제도 있다. However, when the doped phosphorus (P) as described above is diffused into the junction or the cell transistor by a subsequent thermal process, it may adversely affect the refresh characteristics. In addition, in order to improve refresh characteristics, the doping is further added to the contact plug. In addition, the diffusion of phosphorus in the polysilicon used as the contact plug halves the effect of the doping. Of course, if the phosphorous doping is lowered unconditionally, since the contact resistance is increased to greatly reduce the current driving force of the device, it is essential to secure appropriate process conditions. In addition, defects exist at the interface between the silicon layer and the contact plug in the active region, thereby degrading device reliability.

삭제delete

삭제delete

이와 같은 문제점을 해결하기 위하여, 에피택셜 실리콘을 플러그 물질로 이용하는 방법이 제안되었다. 에피택셜 실리콘을 플러그 물질로 이용하면, 인 도핑 농도가 낮더라도 계면 특성이 우수하기 때문에 콘택 저항을 낮출 수 있다.In order to solve this problem, a method of using epitaxial silicon as a plug material has been proposed. If epitaxial silicon is used as the plug material, the contact resistance can be lowered because the interfacial properties are excellent even though the phosphorus doping concentration is low.

그러나, 이는 높은 온도에서 공정이 진행되어야 하므로, 고온으로 인한 반도체 특성이 열화되는 문제점이 있다. However, since the process must be performed at a high temperature, there is a problem that the semiconductor characteristics due to the high temperature is deteriorated.

따라서, 낮은 온도로 에피택셜 실리콘을 형성하기 위한 방법의 하나로 SPE(solid phase epitaxy)라는 방법이 연구되었는데, 이는 낮은 증착온도에서 비정질의 실리콘을 증착한 후, 후속 열처리에 의해 에피택셜 실리콘을 성장시키는 방법이다. Therefore, a method called solid phase epitaxy (SPE) has been studied as a method for forming epitaxial silicon at low temperature, which deposits amorphous silicon at a low deposition temperature and then grows the epitaxial silicon by subsequent heat treatment. Way.

그러나, 일반적으로 SPE로 증착한 실리콘은 계면상태에 따라 어느정도 에피택셜 실리콘이 자라다가 비정질 실리콘이 형성되는 이중구조를 보이며, 이를 550~650℃의 온도에서 수 시간 열처리하면 전체가 에피택셜 실리콘으로 결정화되게 되지만, 이러한 장시간 동안의 열처리 공정이 양산시 문제점이 될 수 있다. However, in general, silicon deposited with SPE has a dual structure in which epitaxial silicon grows to some extent depending on the interface state, and amorphous silicon is formed, and when it is heat-treated at a temperature of 550 to 650 ° C. for several hours, the whole is crystallized to epitaxial silicon. However, such a long heat treatment process may be a problem in mass production.

따라서, 본 발명은 상기와 같은 문제점을 해결하기 위해 안출된 것으로 에피택셜 실리콘을 이용한 콘택 플러그 형성시 열처리 시간을 단축 할 수 있는 반도체 소자의 제조방법을 제공하는데 그 목적이 있다. Accordingly, an object of the present invention is to provide a method of manufacturing a semiconductor device capable of shortening the heat treatment time when forming a contact plug using epitaxial silicon.

상기와 같은 목적을 달성하기 위하여, 본 발명은, 게이트 전극 및 소오스/드레인 영역으로 구성된 트랜지스터가 형성되고, 상기 트랜지스터를 덮도록 전면 상에 층간절연막이 형성된 실리콘 기판을 제공하는 단계; 상기 층간절연막을 식각하여 실리콘 기판의 소오스/드레인 영역을 노출시키는 콘택홀을 형성하는 단계; 상기 콘택홀을 매립하지 않는 두께로 제1에피 실리콘층을 성장시키는 단계; 상기 제1에피 실리콘층이 성장된 기판 결과물을 열처리하여 상기 제1에피 실리콘층 상층부의 비정질 실리콘 부분을 에피 실리콘층으로 만드는 단계; 상기 제1실리콘 에피층 상에 콘택홀을 매립하는 두께로 제2에피 실리콘층을 성장시키는 단계; 및 상기 층간절연막이 노출되도록 제2 및 제1실리콘 에피층을 CMP하는 단계;를 포함하는 반도체 소자의 콘택 플러그 형성방법을 제공한다. In order to achieve the above object, the present invention provides a silicon substrate comprising a transistor formed of a gate electrode and a source / drain region, the interlayer insulating film formed on the front surface to cover the transistor; Etching the interlayer insulating layer to form a contact hole exposing a source / drain region of a silicon substrate; Growing a first epitaxial silicon layer to a thickness not filling the contact hole; Heat-treating the substrate product in which the first epitaxial silicon layer is grown to form an amorphous silicon portion of the upper portion of the first epitaxial silicon layer as an epitaxial silicon layer; Growing a second epitaxial silicon layer to a thickness to fill a contact hole on the first silicon epitaxial layer; And CMPing the second and first silicon epitaxial layers to expose the interlayer insulating layer.

여기서, 본 발명의 방법은, 상기 콘택홀을 형성하는 단계 후, 그리고, 상기 제1에피 실리콘층을 성장시키는 단계전, 상기 콘택홀에 의해 노출된 실리콘 기판의 소오스/드레인 영역 표면에 대해 잔류 산화물 또는 식각 잔류물이 제거되도록 세정을 실시한 후, 표면에 남아있는 자연 산화막이 제거되도록 700~1000℃의 온도로 열처리하는 단계를 더 포함한다. Here, the method of the present invention, after the step of forming the contact hole, and before the step of growing the first epitaxial silicon layer, residual oxide on the surface of the source / drain region of the silicon substrate exposed by the contact hole Or after the cleaning to remove the etching residues, further comprising the step of heat treatment to a temperature of 700 ~ 1000 ℃ to remove the natural oxide film remaining on the surface.

또한, 상기 제1에피 실리콘층은 화학기상증착방식으로 530~650℃의 온도에서 200~1500Å의 두께로 성장시키며, 상기 제1에피 실리콘층의 비정질 실리콘층을 제외한 에피택셜층은 100~1000Å의 두께로 성장시키며, 상기 제1에피 실리콘층의 형성은 Si2H2Cl2와 H2 및 PH3 가스를 이용하며, PH3 가스는 0~500sccm의 유량으로 흘려주고, 상기 제1에피 실리콘층에 대한 열처리는 550~650℃의 온도에서 인-시튜(in-situ)로 수소 분위기에서 진행하며, 2~30분의 시간동안 진공상태로 진행한다. In addition, the first epitaxial silicon layer is grown to a thickness of 200 ~ 1500Å by a chemical vapor deposition method at a temperature of 530 ~ 650 ℃, the epitaxial layer excluding the amorphous silicon layer of the first epitaxial silicon layer of 100 ~ 1000Å The thickness of the first epitaxial silicon layer is formed using Si2H2Cl2, H2 and PH3 gas, and the PH3 gas flows at a flow rate of 0 to 500 sccm, and the heat treatment of the first epitaxial silicon layer is 550 to 650 ° C. The process proceeds in a hydrogen atmosphere in-situ at a temperature of 2 to 30 minutes under vacuum.

(실시예)(Example)

이하, 첨부된 도면에 의거하여 본 발명에 따른 실시예를 상세하게 설명하도록 한다. Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.                     

도 1a 내지 도 1g는 본 발명에 따른 반도체 소자의 콘택 플러그 형성방법을 설명하기 위한 공정별 단면도로서, 이를 설명하면 다음과 같다. 1A through 1G are cross-sectional views illustrating processes for forming a contact plug of a semiconductor device according to the present invention.

도 1a를 참조하면, 소자분리막(12)을 갖는 실리콘 기판(11) 상에 게이트 전극(13)을 형성하고, 그런 다음, 상기 게이트 전극(13) 양측의 기판 표면내에 소오스/드레인 영역(14)을 형성한다. 이어서, 상기 게이트의 양측면에 스페이서(15)를 형성한다. 여기서, 상기 게이트 전극(13)은 게이트 산화막과 게이트 도전막과 하드마스크막의 적층구조로 이해 할 수 있다. Referring to FIG. 1A, a gate electrode 13 is formed on a silicon substrate 11 having an isolation layer 12, and then source / drain regions 14 are formed in the substrate surface on both sides of the gate electrode 13. To form. Subsequently, spacers 15 are formed on both sides of the gate. Here, the gate electrode 13 may be understood as a stacked structure of a gate oxide film, a gate conductive film, and a hard mask film.

다음으로, 상기 스페이서(15)를 포함한 게이트 전극(13)을 덮도록 기판(11) 상에 층간절연막(16)을 형성한다. Next, an interlayer insulating film 16 is formed on the substrate 11 to cover the gate electrode 13 including the spacer 15.

도 1b를 참조하면, 상기 층간절연막(16)을 선택적으로 제거하여 기판의 소오스/드레인 영역(14)을 노출시키는 콘택홀(17)을 형성한다. 여기서, 상기 콘택홀은 비트라인 콘택 또는 스토리지 노드 콘택 영역을 한정하는 랜딩 플러그 콘택을 의미한다. Referring to FIG. 1B, the interlayer insulating layer 16 is selectively removed to form a contact hole 17 exposing the source / drain regions 14 of the substrate. In this case, the contact hole refers to a landing plug contact that defines a bit line contact or a storage node contact region.

이어서, 상기 콘택홀(17)에 의해 노출된 실리콘 기판(11)의 소오스/드레인 영역(14) 표면에 대해 잔류 산화물 또는 식각 잔류물을 제거하기 위하여 건식 및 습식 세정을 실시한 후, 700~1000℃의 온도로 열처리를 실시하여 노출된 기판 소오스/드레인 영역(14)의 표면에 남아있는 자연 산화막을 제거한다. Subsequently, dry and wet cleaning are performed on the surface of the source / drain region 14 of the silicon substrate 11 exposed by the contact hole 17 to remove residual oxides or etching residues, and then 700 to 1000 ° C. The heat treatment is performed at a temperature of to remove the natural oxide film remaining on the exposed surface of the substrate source / drain region 14.

도 1c를 참조하면, 상기 콘택홀(17)의 표면 및 층간절연막(16) 표면에 화학기상증착(LPCVD) 방식으로 반응가스를 이용하여 530~650℃의 온도에서 제1에피 실리콘층(18)을 콘택홀이 매립되지 않도록 200~1500Å의 두께로 성장시킨다. 여기서, 상기 반응 가스는 Si2H2Cl2와 H2 및 PH3를 이용하며, PH3는 0~500sccm의 유량으로 한다. 이때, PH3 가스 유량에 따라 인 도핑 농도를 조절할 수 있다. Referring to FIG. 1C, the first epitaxial silicon layer 18 is formed on the surface of the contact hole 17 and the surface of the interlayer insulating layer 16 at a temperature of 530 ° C. to 650 ° C. by using a chemical vapor deposition (LPCVD) method. To grow the contact hole to a thickness of 200 ~ 1500Å. Here, the reaction gas uses Si2H2Cl2, H2 and PH3, PH3 is a flow rate of 0 ~ 500sccm. At this time, the phosphorus doping concentration may be adjusted according to the PH3 gas flow rate.

상기 제1에피 실리콘층(18)은 콘택홀 실리콘 기판의 표면에서부터 에피택셜층(epictaxial: 18a)이 형성되며, 상기 에피택셜층(18a)에 증착시 가해지는 열의 온도에 따라 성장되다가, 일정 두께 이상이 되면 비정질층(18b)이 성장된다. 이때, 상기 에티피셜층(18a)은 증착 온도에 따라 100~1000Å 정도의 두께로 성장된다. The epitaxial layer 18a is formed from the surface of the contact hole silicon substrate, and the first epitaxial silicon layer 18 is grown according to a temperature of heat applied when the epitaxial layer 18a is deposited. If abnormal, the amorphous layer 18b is grown. At this time, the epitaxial layer 18a is grown to a thickness of about 100 ~ 1000Å according to the deposition temperature.

도 1d를 참조하면, 상기 성장된 제1에피 실리콘층(18)을 550~650℃의 온도에서 인-시튜(in-situ)로 수소 분위기에서 열처리 하여, 상기 제1에피 실리콘층(18)의 비정질층(18b)을을 에피티셜층(18c)으로 결정화시킨다. 이때, 상기 열처리는 2~30분의 시간동안 진공상태로 진행한다. Referring to FIG. 1D, the grown first epitaxial silicon layer 18 is heat-treated in a hydrogen atmosphere in-situ at a temperature of 550 ° C. to 650 ° C., so that the first epitaxial silicon layer 18 may be The amorphous layer 18b is crystallized into the epitaxial layer 18c. At this time, the heat treatment is carried out in a vacuum state for a time of 2 to 30 minutes.

도 1e를 참조하면, 상기 제1에피 실리콘층(18) 상에 제2에피 실리콘층(19)을 성장시킨다. 여기서, 상기 제2에피 실리콘층(19) 또한, 하부에 에피택셜층(19a)이 형성되고, 상부에 비정질층(19b)이 형성된다. Referring to FIG. 1E, a second epitaxial silicon layer 19 is grown on the first epitaxial silicon layer 18. Here, the epitaxial layer 19a is also formed at the bottom of the second epitaxial silicon layer 19, and the amorphous layer 19b is formed at the top of the second epitaxial silicon layer 19.

도 1f를 참조하면, 상기 성장된 제2에피 실리콘층의 비정질층(19b) 및 층간절연막 상에 형성된 비정질층(18b)이 제거되도록 에치백 또는 CMP한다. Referring to FIG. 1F, etching back or CMP is performed to remove the amorphous layer 19b of the grown second epitaxial silicon layer and the amorphous layer 18b formed on the interlayer insulating layer.

본 발명에 따르면, 실리콘 기판과의 접촉 저항을 줄이기 위한 플러그를 형성방법으로 통상적으로 비정질의 실리콘을 증착한 후, 열처리에 의해 에피택셜 실리콘을 성장시키는 방법을 이용해왔다. According to the present invention, a method of forming a plug for reducing contact resistance with a silicon substrate has been generally used to deposit epitaxial silicon and then grow epitaxial silicon by heat treatment.

상기 공정의 열처리 시간을 단축하기 위하여, 제1에피 실리콘층을 콘택홀이 매립되지 않은 두께로 얇게 형성하고, 제1에피 실리콘층 상부에 형성된 비정질층을 열처리를 통하여 에피택셜층으로 만들고, 다시 상기 제1에피 실리콘층 상에 제2에피 실리콘층을 성장시킴으로서, 비정질층을 에피택셜층으로 결정화시키기 위한 열처리 시간을 단축할 수 있다. In order to shorten the heat treatment time of the process, the first epitaxial silicon layer is thinly formed to a thickness where the contact hole is not embedded, and the amorphous layer formed on the first epitaxial silicon layer is formed as an epitaxial layer through heat treatment. By growing the second epitaxial silicon layer on the first epitaxial silicon layer, the heat treatment time for crystallizing the amorphous layer into the epitaxial layer can be shortened.

본 발명에 따르면, 콘택 플러그로 에피 실리콘층을 형성하기 위한 방법으로 제1에피 실리콘층을 콘택홀이 매립되지 않은 두께로 얇게 형성하고, 제1에피 실리콘층 상부에 형성된 비정질층을 열처리를 통하여 에피택셜층으로 만들고, 다시 상기 제1에피 실리콘층 상에 제2에피 실리콘층을 성장시킴으로서, 에피 실리콘층의 비절질층의 두께가 얇아져 비정질층을 에피택셜층으로 결정화시키기 위한 열처리 시간을 단축할 수 있다. 이에따라, 장시간의 열처리 시간의 부담을 덜어 소자 특성의 향상을 가져 올 수 있다. According to the present invention, as a method for forming an epitaxial silicon layer with a contact plug, the first epitaxial silicon layer is thinly formed to have a contact hole-free thickness, and the amorphous layer formed on the first epitaxial silicon layer is subjected to epi by heat treatment. By making the epitaxial layer and growing the second epitaxial silicon layer on the first epitaxial silicon layer, the thickness of the non-crystalline layer of the epitaxial silicon layer can be reduced to shorten the heat treatment time for crystallizing the amorphous layer into the epitaxial layer. . Accordingly, it is possible to reduce the burden of a long heat treatment time to improve the device characteristics.

또한 본 발명에서 도시한 인 시튜 열처리를 이용하여 이중 실리콘 층을 사용하지 않고 단일 실리콘 비정질 층을 증착한 후 인 시튜 열처리를 하는 경우에도 콘택바닥에서 에피택셜 실리콘이 어느 정도 성장하는 것을 예측할 수 있고, 이를 이용하여 콘택을 형성하는 데 이용할 수도 있다.In addition, it is possible to predict the growth of epitaxial silicon to some extent even in the in-situ heat treatment after depositing a single silicon amorphous layer without using a double silicon layer using the in-situ heat treatment shown in the present invention. It can also be used to form contacts using this.

본 발명을 특정의 바람직한 실시예에 관련하여 도시하고 설명하였지만 이하의 특허청구의 범위에 의해 마련되는 본 발명의 정신이나 분야를 이탈하지 않는 한도내에서 본 발명이 다양하게 개조 및 변화될 수 있다는 것을 당 업계에서 통상의 지식을 가진 자는 용이하게 알 수 있다. While the invention has been shown and described with reference to certain preferred embodiments, it will be appreciated that the invention can be modified and modified in various ways without departing from the spirit or scope of the invention as set forth in the following claims. One of ordinary skill in the art will readily know.

Claims (5)

게이트 전극 및 소오스/드레인 영역으로 구성된 트랜지스터가 형성되고, 상기 트랜지스터를 덮도록 전면 상에 층간절연막이 형성된 실리콘 기판을 제공하는 단계; Providing a silicon substrate having a transistor formed of a gate electrode and a source / drain region, and having an interlayer insulating film formed on a front surface thereof to cover the transistor; 상기 층간절연막을 식각하여 실리콘 기판의 소오스/드레인 영역을 노출시키는 콘택홀을 형성하는 단계; Etching the interlayer insulating layer to form a contact hole exposing a source / drain region of a silicon substrate; 상기 콘택홀을 매립하지 않는 두께로 제1에피 실리콘층을 성장시키는 단계; Growing a first epitaxial silicon layer to a thickness not filling the contact hole; 상기 제1에피 실리콘층이 성장된 기판 결과물을 열처리하여 상기 제1에피 실리콘층 상층부의 비정질 실리콘 부분을 에피 실리콘층으로 만드는 단계; Heat-treating the substrate product in which the first epitaxial silicon layer is grown to form an amorphous silicon portion of the upper portion of the first epitaxial silicon layer as an epitaxial silicon layer; 상기 제1실리콘 에피층 상에 콘택홀을 매립하는 두께로 제2에피 실리콘층을 성장시키는 단계; 및 Growing a second epitaxial silicon layer to a thickness to fill a contact hole on the first silicon epitaxial layer; And 상기 층간절연막이 노출되도록 제2 및 제1실리콘 에피층을 CMP하는 단계;CMPing the second and first silicon epitaxial layers to expose the interlayer dielectric layer; 를 포함하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성방법. Contact plug forming method of a semiconductor device comprising a. 제 1 항에 있어서, 상기 콘택홀을 형성하는 단계 후, 그리고, 상기 제1에피 실리콘층을 성장시키는 단계전, 상기 콘택홀에 의해 노출된 실리콘 기판의 소오스/드레인 영역 표면에 대해 잔류 산화물 또는 식각 잔류물이 제거되도록 세정을 실시한 후, 표면에 남아있는 자연 산화막이 제거되도록 700~1000℃의 온도로 열처리하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성방법. The method of claim 1, wherein after the forming of the contact hole and before growing the first epitaxial silicon layer, residual oxide or etching is performed on a surface of a source / drain region of the silicon substrate exposed by the contact hole. After the cleaning to remove the residue, the method of forming a contact plug of the semiconductor device further comprising the step of heat treatment at a temperature of 700 ~ 1000 ℃ to remove the natural oxide film remaining on the surface. 제 1 항에 의하여, 상기 제1에피 실리콘층은 화학기상증착방식으로 530~650℃의 온도에서 200~1500Å의 두께로 성장시키며, 상기 제1에피 실리콘층의 비정질 실리콘층을 제외한 에피택셜층은 100~1000Å의 두께로 성장시키는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성방법. The epitaxial layer of claim 1, wherein the first epitaxial silicon layer is grown to a thickness of 200 to 1500 kPa by a chemical vapor deposition method at a temperature of 530 to 650 ° C., except for the amorphous silicon layer of the first epitaxial silicon layer. A method for forming a contact plug in a semiconductor device, characterized by growing at a thickness of 100 to 1000 Å. 제 1 항에 의하여, 상기 제1에피 실리콘층의 형성은 Si2H2Cl2와 H2 및 PH3 가스를 이용하며, PH3 가스는 0~500sccm의 유량으로 흘려주는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성방법. The method of claim 1, wherein the first epitaxial silicon layer is formed of Si 2 H 2 Cl 2, H 2, and PH 3 gas, and the PH 3 gas flows at a flow rate of 0 to 500 sccm. 제 1 항에 의하여, 상기 제1에피 실리콘층에 대한 열처리는 550~650℃의 온도에서 인-시튜(in-situ)로 수소 분위기에서 진행하며, 2~30분의 시간동안 진공상태로 진행하는 것을 특징으로 하는 반도체 소자의 콘택 플러그 형성방법. According to claim 1, wherein the heat treatment for the first epi silicon layer is carried out in a hydrogen atmosphere in-situ at a temperature of 550 ~ 650 ℃, and proceeds in a vacuum state for a time of 2 to 30 minutes A contact plug forming method for a semiconductor device, characterized in that.
KR1020030084311A 2003-11-26 2003-11-26 Method for forming contact plug of semiconductor device KR100650715B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020030084311A KR100650715B1 (en) 2003-11-26 2003-11-26 Method for forming contact plug of semiconductor device
US10/984,494 US7049230B2 (en) 2003-11-26 2004-11-09 Method of forming a contact plug in a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030084311A KR100650715B1 (en) 2003-11-26 2003-11-26 Method for forming contact plug of semiconductor device

Publications (2)

Publication Number Publication Date
KR20050050711A KR20050050711A (en) 2005-06-01
KR100650715B1 true KR100650715B1 (en) 2006-11-27

Family

ID=38666042

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030084311A KR100650715B1 (en) 2003-11-26 2003-11-26 Method for forming contact plug of semiconductor device

Country Status (1)

Country Link
KR (1) KR100650715B1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100605585B1 (en) * 2005-06-20 2006-07-31 주식회사 하이닉스반도체 Semiconductor device with solid phase epitaxy silicon by double layer for pad plug and method for fabricating the same
KR100784100B1 (en) 2006-09-29 2007-12-10 주식회사 하이닉스반도체 Method of forming a contact plug in a semiconductor device
CN113690188B (en) * 2021-08-20 2023-10-20 长鑫存储技术有限公司 Method for manufacturing semiconductor structure and semiconductor structure

Also Published As

Publication number Publication date
KR20050050711A (en) 2005-06-01

Similar Documents

Publication Publication Date Title
US6933228B2 (en) Method of manufacturing of contact plug in a contact hole on a silicon substrate
JP2001024194A (en) Semiconductor device and manufacture thereof
KR100416627B1 (en) Semiconductor device and Method for manufacturing the same
JP4257355B2 (en) Semiconductor device and manufacturing method thereof
KR100637101B1 (en) Semiconductor device with double structure contact plug formed epitaxial stack and metal layer and method for manufacturing the same
KR100680946B1 (en) Method for forming contact plug of semiconductor device
US6818537B2 (en) Method of manufacturing a contact plug for a semiconductor device
US7049230B2 (en) Method of forming a contact plug in a semiconductor device
US20150279694A1 (en) DRAM Cells and Methods of Forming Silicon Dioxide
KR100517328B1 (en) Semiconductor device having contact plug using selective epitaxial growth and method of fabricating the same
KR100650715B1 (en) Method for forming contact plug of semiconductor device
KR100671563B1 (en) A method for forming contact of semiconductor device using the epitaxial process
KR100898581B1 (en) Method for forming contact in semiconductor device
KR100524802B1 (en) Semiconductor device having contact plug formed using double selective epitaxial growth and method for fabrication of the same
KR100955924B1 (en) Method for forming contact plug of semicondutor device
KR100518561B1 (en) Method for manufacturing bipolar device including germane gas pre-treatment on single crystalline silicon layer and apparatus by the same
KR20080058006A (en) Method of manufacturing semiconductor device
KR100570217B1 (en) Elimination method for defect of semiconductor device
KR100472855B1 (en) Polycrystalline silicon thin film manufacturing method of semiconductor device
KR100733428B1 (en) Method for manufacturing contact in semiconductor device
KR100668821B1 (en) Method for forming contact plug of semicondutor device
KR20050104228A (en) Method for forming contact plug of semiconductor device
KR20050104231A (en) Method for forming contact plug of semiconductor device
KR20050104227A (en) Method for forming contact plug of semiconductor device
KR20050049610A (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111024

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20121022

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee