KR100494605B1 - Abrasive Article for Providing a Clear Surface Finish on Glass - Google Patents

Abrasive Article for Providing a Clear Surface Finish on Glass Download PDF

Info

Publication number
KR100494605B1
KR100494605B1 KR10-1999-7008073A KR19997008073A KR100494605B1 KR 100494605 B1 KR100494605 B1 KR 100494605B1 KR 19997008073 A KR19997008073 A KR 19997008073A KR 100494605 B1 KR100494605 B1 KR 100494605B1
Authority
KR
South Korea
Prior art keywords
abrasive
glass
abrasive article
polishing
article
Prior art date
Application number
KR10-1999-7008073A
Other languages
Korean (ko)
Other versions
KR20000075987A (en
Inventor
토드 제이. 크리스티안슨
데이비드 디. 엉구옌
로버트 쥐. 비저
Original Assignee
미네소타 마이닝 앤드 매뉴팩춰링 캄파니
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/813,228 external-priority patent/US5888119A/en
Priority claimed from US08/813,878 external-priority patent/US5910471A/en
Application filed by 미네소타 마이닝 앤드 매뉴팩춰링 캄파니 filed Critical 미네소타 마이닝 앤드 매뉴팩춰링 캄파니
Publication of KR20000075987A publication Critical patent/KR20000075987A/en
Application granted granted Critical
Publication of KR100494605B1 publication Critical patent/KR100494605B1/en

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B13/00Machines or devices designed for grinding or polishing optical surfaces on lenses or surfaces of similar shape on other work; Accessories therefor
    • B24B13/01Specific tools, e.g. bowl-like; Production, dressing or fastening of these tools
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D11/00Constructional features of flexible abrasive materials; Special features in the manufacture of such materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D3/00Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents
    • B24D3/02Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents the constituent being used as bonding agent
    • B24D3/20Physical features of abrasive bodies, or sheets, e.g. abrasive surfaces of special nature; Abrasive bodies or sheets characterised by their constituents the constituent being used as bonding agent and being essentially organic
    • B24D3/28Resins or natural or synthetic macromolecular compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24DTOOLS FOR GRINDING, BUFFING OR SHARPENING
    • B24D2203/00Tool surfaces formed with a pattern

Landscapes

  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Polishing Bodies And Polishing Tools (AREA)
  • Surface Treatment Of Glass (AREA)

Abstract

배면재, 및 우레탄 아크릴레이트 올리고머를 포함하는 경화된 바인더 전구체로 이루어지고 배면재 표면에 결합된 바인더 내에 분산된 다이아몬드 입자를 함유하는 1종 이상의 삼차원 연마재 피복을 포함하는 연마용품을 제공한다. 상기 연마용품은 RPP 방법을 이용하여 감소된 Ra 값으로 표시되는 표면 마무리 상태 감소와 함께 신속한 유리 재료 제거 능력을 갖는다.An abrasive article is provided comprising at least one three-dimensional abrasive coating comprising a backing material and diamond particles dispersed in a binder bonded to the backing material surface and comprising a cured binder precursor comprising a urethane acrylate oligomer. The abrasive article has a rapid glass material removal capability with reduced surface finish, indicated by a reduced Ra value using the RPP method.

Description

유리상에 투명한 표면 마무리 상태를 제공하기 위한 연마용품{Abrasive Article for Providing a Clear Surface Finish on Glass}Abrasive article for providing a clear surface finish on glass

본 발명은 유리 폴리싱용 연마용품 및 그 사용 방법에 관한 것이다.The present invention relates to an abrasive article for glass polishing and a method of using the same.

유리로 만들어진 물품은 렌즈, 프리즘, 거울, CRT 스크린 및 기타 품목들과 같은 형태로 가정, 사무실 및 공장 등에서 널리 찾아볼 수 있다. 이러한 유리 표면들 대다수는 광학적으로 투명하고 눈에 보이는 흠 및(또는) 결함이 없는 표면이 요구되는 광학적 구성 요소로 사용된다. 만약 흠, 결함 및 미세한 긁힘이라도 있다면, 이들은 유리 물품의 광학적 투명성을 저해한다. 어떤 경우에는 이들 흠, 결함 및(또는) 미세한 긁힘이 유리를 통해 정확하게 볼 수 있는 능력을 저해할 수도 있다. 따라서, 유리 표면에는 필수적으로 어떠한 흠, 결함 및(또는) 긁힘이 없을 것이 요구된다.Articles made of glass are widely found in homes, offices and factories in the form of lenses, prisms, mirrors, CRT screens and other items. Many of these glass surfaces are used as optical components where an optically transparent and visible surface is free of flaws and / or defects. If there are flaws, defects and fine scratches, they hinder the optical transparency of the glass article. In some cases, these flaws, defects, and / or minor scratches may impair the ability to see through the glass accurately. Thus, the glass surface is required to be essentially free of any flaws, defects and / or scratches.

많은 유리 구성 요소는 곡선 모양으로 되어 있거나, 이와 관련된 반경을 포함한다. 이 반경 및 굴곡들은 일반적으로 유리 성형 과정에서 생성된다. 그러나, 유리 성형 과정의 결과로서, 몰드 라인(mold line)과 같은 흠, 거친 표면, 작은 점들 및 기타 작은 결함들이 유리 외부 표면상에 존재할 수 있다. 이들 흠 및(또는) 결함들은 아무리 작더라도 유리의 광학적 투명성에 영향을 미치는 경향이 있다. 이러한 결함들 및(또는) 흠들을 제거하기 위해 연마 수단들이 널리 사용되어왔다. 연마 수단들은 전형적으로 연마 (grinding), 미세 연마 (fining) 및 폴리싱 (polishing)의 세 가지 주요한 분류에 속한다.Many glass components are curved or have a radius associated with them. These radii and bends are generally produced during the glass forming process. However, as a result of the glass forming process, flaws such as mold lines, rough surfaces, small dots and other small defects may be present on the glass outer surface. These flaws and / or defects, however small, tend to affect the optical transparency of the glass. Polishing means have been widely used to remove these defects and / or flaws. Polishing means typically fall into three main classes: grinding, fine polishing and polishing.

연마 단계에서는 연마용구로 유리 구성 요소를 거칠게 연마하여 원하는 굴곡 또는 반경을 보다 완전하게 만들고 캐스팅(casting) 흠을 제거한다. 전형적으로 이러한 연마용구는 다이아몬드, 탄화텅스텐 또는 입방형 질화붕소와 같은 초경질 연마 입자를 포함한다. 이로부터 얻어지는 유리 표면은 대개 필요한 대략의 굴곡을 갖는다. 그러나, 이러한 거친 연마 단계에서의 연마용구는 유리 표면에 거친 긁힘을 가하게 되어 결과로 얻어지는 유리 표면은 광학적으로 투명한 상태로 직접 폴리싱할 수 있는 정도로 충분히 정밀하지도 않고 충분히 매끄럽지도 않다.In the polishing step, the glass components are roughened with abrasive tools to make the desired bend or radius more complete and to remove casting flaws. Typically such abrasives comprise ultrahard abrasive particles such as diamond, tungsten carbide or cubic boron nitride. The glass surface obtained from this usually has the required roughness. However, abrasives in this rough polishing step are subject to rough scratches on the glass surface so that the resulting glass surface is not precise enough or smooth enough to be directly polished in an optically transparent state.

두 번째 단계는 미세 연마 단계로 불린다. 미세 연마 단계의 목적은 거친 연마 단계에서 생겨난 거친 긁힘을 세밀하게 하는 것이다. 일반적으로, 미세 연마 단계는 거친 연마로부터 생긴 깊은 긁힘을 제거하고, 폴리싱된 표면은 아니지만 실질적으로 매끄러운 표면을 제공한다. 또한 미세 연마 단계는 유리 표면이 폴리싱되어 광학적으로 투명한 표면이 될 수 있도록 거친 긁힘을 충분히 제거하여야 한다. 만약 미세 연마 단계에서 모든 거친 긁힘을 제거하지 못하면, 폴리싱 단계에서 이들 긁힘을 제거하여 광학적으로 투명한 표면을 얻는 것이 매우 어려울 수 있다. The second step is called the fine polishing step. The purpose of the fine polishing step is to refine the coarse scratches generated during the coarse polishing step. In general, the fine polishing step removes deep scratches resulting from rough polishing and provides a substantially smooth but not polished surface. In addition, the fine polishing step should remove enough coarse scratches so that the glass surface can be polished to become an optically clear surface. If all the rough scratches are not removed in the fine polishing step, it may be very difficult to remove these scratches in the polishing step to obtain an optically clear surface.

이러한 미세 연마 단계는 전형적으로 루스 연마 슬러리 (loose abrasive slurry)로 행해진다. 루스 연마 슬러리는 물과 같은 액체 매질 내에 분산된 다수의 연마 입자들을 함유한다. 루스 슬러리에 사용되는 가장 통상적인 연마 입자는 경석(pumice), 탄화규소, 산화알루미늄 등이다. 루스 연마 슬러리는 분산제, 윤활제, 소포제 등과 같은 기타 첨가제들을 임의로 포함할 수 있다. 대부분의 경우 루스 연마 슬러리는 유리 중간제품과 랩 패드 (lap pad) 사이에 존재하도록 펌프로 퍼 올려진다. 랩 패드는 고무, 발포체, 고분자 재료, 금속, 강철 등과 같은 임의의 재료로 제조될 수 있다. 전형적으로는 유리 중간제품 및 랩 패드는 서로에 대해 상대적으로 회전하게 된다. 이러한 미세 연마 단계는 각 단계에서 유리 중간제품상에 점차적으로 더욱 정교한 표면 마무리 상태를 생성시키는 하나 이상의 단계들을 포함한다. 보다 미세한 표면 마무리 상태는 보다 연질인 연마 입자, 보다 작은 연마 입자, 보다 부드러운 랩 패드 재료 및(또는) 상이한 기계 조건들을 이용하는 것을 포함하는 다양한 방법들에 의해 달성될 수 있다. 이 미세 연마 단계 후의 광학적 구성 요소의 표면 마무리 상태는 전형적으로 0.06 내지 0.13 마이크로미터(Ra) 및 (또는) 약 0.3 내지 0.90 마이크로미터를 초과하는 Rtm 값을 갖는다. This fine polishing step is typically done with a loose abrasive slurry. The loose abrasive slurry contains a plurality of abrasive particles dispersed in a liquid medium such as water. The most common abrasive particles used in loose slurry are pumice, silicon carbide, aluminum oxide and the like. The loose abrasive slurry may optionally include other additives such as dispersants, lubricants, antifoams and the like. In most cases, loose abrasive slurry is pumped to exist between the glass intermediate and the lap pad. The wrap pad can be made of any material such as rubber, foam, polymeric material, metal, steel, and the like. Typically the glass intermediate and the wrap pad will be rotated relative to each other. This fine polishing step includes one or more steps in each step that gradually create a more sophisticated surface finish on the glass intermediate. Finer surface finish can be achieved by various methods, including using softer abrasive particles, smaller abrasive particles, softer wrap pad material, and / or using different mechanical conditions. The surface finish of the optical component after this fine polishing step typically has an Rtm value in excess of 0.06 to 0.13 micrometers (Ra) and (or) about 0.3 to 0.90 micrometers.

표면의 거칠기는 일반적으로 긁힘 또는 긁힌 패턴에 기인하며, 이것들은 육안에 보일 수도 있고 안 보일 수도 있다. 긁힌 패턴은 표면을 따라 일련의 산(peaks)과 골(valleys)로서 정의될 수 있다. Rtm 및 Ra는 연마 산업 분야에서 사용되는 통상적인 거칠기의 단위이나, 정확한 측정 과정은 표면의 거칠기 평가에 사용되는 장비의 유형에 따라 변할 수 있다. 본 명세서에서 사용된 Rtm 및 Ra 는 SURTRONIC 3이라는 상품명으로 입수할 수 있는, 랭크 테일러 홉슨사의 (영국 레스터 소재) 프로필로미터(profilometer)에 따르는 측정 방법에 근거하여 측정한다. The roughness of the surface is generally due to scratches or scratched patterns, which may or may not be visible to the naked eye. The scratched pattern can be defined as a series of peaks and valleys along the surface. Rtm and Ra are conventional units of roughness used in the polishing industry, but the exact measurement process may vary depending on the type of equipment used to evaluate the surface roughness. As used herein, Rtm and Ra are measured based on a measurement method according to Rank Taylor Hobson (Leicester, UK) profilometer, available under the trade name SURTRONIC 3.

Ra는 표면상의 평균선(mean line)으로부터 표면 거칠기 프로파일이 이탈된 정도의 산술적인 평균의 평균 거칠기 높이 값으로 정의된다. 랭크 테일러 홉슨 기기에 의해 정해진 평가 길이 내에서 표면상의 평균선 위아래 양쪽 지점에서 측정한다. Ra 및 Rtm (이하에서 정의)은 끝에 반지름이 5 마이크로미터인 다이아몬드가 달린 바늘인 프로필로미터 탐침(probe)으로 측정하며, 결과는 마이크로미터(㎛) 단위로 기록한다. 이러한 이탈 측정치들을 합하고 측정횟수로 나누어 평균값을 구한다. 일반적으로, Ra 값이 작을수록 표면 마무리 상태는 더 매끄럽다.Ra is defined as the average roughness height value of the arithmetic mean of the degree to which the surface roughness profile deviates from the mean line on the surface. Measure at both points above and below the average line on the surface within the evaluation length determined by the Rank Taylor Hobson instrument. Ra and Rtm (defined below) are measured with a profilometer probe, a needle with a diamond with a radius of 5 micrometers at the end, and the results are reported in micrometers (μm). Sum these deviations and divide by the number of measurements to find the average. In general, the lower the Ra value, the smoother the surface finish.

Rt는 최대 산-골 높이로 정의된다. Rtm은 5회의 연속된 길이 평가에서 측정된, 각 길이 평가에서의 최대 산-골 높이의 평균값이다. 일반적으로, Rtm 값이 작을수록 표면 마무리 상태는 더 매끄럽다. 같은 유리 마무리 표면에 대해 상업적으로 구입할 수 있는 다른 브랜드의 프로필로미터들로 측정할 때 Ra 및 Rtm 값에서 약간의 편차가 발생할 수는 있으나 반드시 그렇지는 않다.Rt is defined as the maximum hill-gol height. Rtm is the mean value of the maximum hill-bone height in each length assessment, measured in five consecutive length assessments. In general, the smaller the Rtm value, the smoother the surface finish. Some deviations in Ra and Rtm values may, but are not necessarily, be measured with other commercially available profilometers on the same glass finish surface.

전체 과정 중 세 번째 단계는 유리 물품상에 광학적으로 투명한 표면을 만드는 폴리싱(polishing) 단계이다. 전형적으로 루스 슬러리는 본질적으로 어떠한 흠, 결함 및(또는) 미세한 긁힘도 없는 광학적으로 투명한 표면을 만드는 수단을 제공하므로 대부분의 경우, 이 폴리싱 단계는 루스 연마 슬러리로 행한다. 루스 연마 슬러리는 전형적으로, 물 속에 분산된 산화세륨 연마 입자들을 포함한다.The third step of the overall process is the polishing step, which creates an optically transparent surface on the glass article. Typically, the loose slurry provides a means to create an optically clear surface that is essentially free of any flaws, defects and / or fine scratches, so in most cases this polishing step is done with a loose abrasive slurry. The loose abrasive slurry typically contains cerium oxide abrasive particles dispersed in water.

비록 루스 연마 슬러리가 유리 물품상에 광학적으로 투명한 표면 마무리 상태를 제공하는 미세 연마 및 폴리싱 단계에 널리 사용되기는 하나, 루스 연마 슬러리는 그에 연관된 많은 단점들을 갖는다. 이 단점들에는 필요한 큰 부피의 슬러리를 다루는 데에 있어서의 불편함, 연마 입자가 침전되는 것을 막고 폴리싱 경계면에서 연마 입자의 농도가 균일하게 되도록 하기 위한 교반의 필요성, 루스 연마 슬러리를 제조, 취급 및 처리하거나 회수 및 재순환시키는 추가 장비의 필요성이 포함된다. 또한 슬러리 자체를 그 품질과 분산 안정성을 담보하기 위해 주기적으로 분석할 필요가 있고 이에는 추가의 인시(人時) 비용이 필요하다. 더욱이 펌프 헤드, 밸브, 공급 라인, 연마 랩 및 루스 연마 슬러리와 접촉하는 슬러리 공급 장치의 기타 부분들이 결국은 바람직하지 못하게 마멸된다. 나아가, 루스 연마 슬러리는 점성의 액체로서 쉽게 튀어 나가고 담아두기가 어려우므로 슬러리를 사용하는 단계는 대개 매우 단정치못하다.Although loose polishing slurries are widely used in fine polishing and polishing steps to provide an optically transparent surface finish on glass articles, loose polishing slurries have many disadvantages associated with them. These disadvantages include the inconvenience of handling the large volume of slurry required, the need for agitation to prevent the abrasive particles from settling and to make the concentration of abrasive particles uniform at the polishing interface, to manufacture, handle and Included is the need for additional equipment to process or recover and recycle. In addition, the slurry itself needs to be periodically analyzed to ensure its quality and dispersion stability, which requires additional man-hour costs. Moreover, pump heads, valves, feed lines, abrasive wraps, and other parts of the slurry feeder in contact with the loose abrasive slurry eventually become undesirable. Furthermore, loose abrasive slurries are viscous liquids that are difficult to pop out and contain, so the steps for using the slurry are often very sloppy.

당연하게도, 루스 연마 슬러리 미세 연마 및 폴리싱 단계를 래핑 피복 연마재로 대체하려는 시도들이 이루어져 왔고 어느 정도 성공하였다. 일반적으로, 래핑 피복 연마재는 연마재 피복이 결합된 배면재를 포함한다. 이 연마재 피복은 바인더 내에 분산된 다수의 연마 입자들을 포함한다. 예를 들면, 미국 특허 제4,255,164호 (부츠케(Butzke) 등), 제4,576,612호 (슈클라(Shukla) 등), 제4,733,502호 (브라운(Braun)) 및 유럽특허 출원번호 제650,803호는 다양한 연마용품과 폴리싱 단계들을 개시하고 있다. 래핑 피복 연마용품에 관하여 개시하고 있는 기타 문헌에는 미국 특허 제4,644,703호 (칵쯔마렉 (Kaczmarek) 등), 제4,773,920호 (채스만 (Chasman) 등) 및 제5,014,468호 (래비파티 (Ravipati) 등)가 포함된다. 그러나, 래핑 피복 연마재는 루스 연마 슬러리를 완전하게 대체하지는 못하였다. 몇 가지 사례에서 래핑 피복 연마재는 광학적으로 투명하고 본질적으로 흠, 결함 및(또는) 미세한 긁힘이 없는 표면을 제공하지 못한다. 기타 사례에서는 래핑 피복 연마재로 유리 물품을 폴리싱하는 데에 긴 시간이 필요하여, 이로 인해 루스 연마 슬러리를 사용하는 것이 비용 면에서 유리하다. 몇 가지 경우와 유사하게, 래핑 피복 연마재의 수명은 루스 연마 슬러리에 비교해 볼 때 래핑 피복 연마재와 관련된 고비용을 정당화시킬 수 있을 정도로 수명이 충분히 길지 않다. 따라서 어떤 경우 래핑 피복 연마재는 루스 연마 슬러리만큼 경제적으로 바람직하지 못하다.Naturally, attempts have been made and some success has been made to replace the loose abrasive slurry fine polishing and polishing steps with wrapping coated abrasives. In general, the lapping coated abrasive includes a backing material bonded to the abrasive coating. This abrasive coating comprises a plurality of abrasive particles dispersed in a binder. For example, US Pat. Nos. 4,255,164 (Butzke et al.), 4,576,612 (Shukla et al.), 4,733,502 (Braun) and European Patent Application No. 650,803 Articles and polishing steps are disclosed. Other documents that disclose lapping coated abrasive articles include US Pat. Nos. 4,644,703 (Kaczmarek et al.), 4,773,920 (Chasman et al.) And 5,014,468 (Ravipati et al.). Included. However, lapping coated abrasives did not completely replace the loose abrasive slurry. In some instances, the lapping coated abrasives are optically transparent and do not provide a surface that is essentially free of flaws, defects, and / or fine scratches. In other cases a long time is required to polish the glass article with the lapping coated abrasive, which makes it advantageous in terms of cost to use a loose abrasive slurry. Similar to some cases, the life of the wrapped coated abrasive is not long enough to justify the high cost associated with the wrapped coated abrasive as compared to the loose abrasive slurry. Thus, in some cases lapping coated abrasives are not as economically desirable as loose abrasive slurries.

유리 산업에서 요구되는 것은 루스 연마 슬러리에 관련된 단점들을 가지지 않으면서 유리 표면상에 본질적으로 결함, 흠 및(또는) 긁힘이 없는 광학적 투명성을 얻기 위해 적절한 시간 내에 유리 표면을 효율적이고 경제적으로 폴리싱할 수 있는 연마용품이다.What is needed in the glass industry is that the glass surface can be efficiently and economically polished in a timely manner in order to obtain optical transparency essentially free of defects, scratches and / or scratches on the glass surface without the disadvantages associated with loose abrasive slurries. Abrasive products.

<발명의 간단한 설명>Brief Description of the Invention

본 발명의 일 면은 유리 중간제품을 폴리싱하기 위한 연마용품에 관한 것이다. 연마용품은 배면재와, 바람직하게는 배면재 표면에 결합된 바인더 내에 분산된 다이아몬드 입자를 포함하는 1종 이상의 삼차원 연마재 피복을 포함한다.One aspect of the present invention relates to an abrasive article for polishing a glass intermediate. The abrasive article comprises a backing and at least one three-dimensional abrasive coating comprising diamond particles dispersed in a binder bonded to the backing surface.

1종 이상의 삼차원 연마재 피복이 다수의 연마 복합재를 포함하는 것이 바람직하다. 다수의 연마 복합재는 명확한 형상을 갖는 복합재, 불규칙한 형상을 갖는 복합재 또는 평평한 상부 면을 가진 실질적으로 잘려진 피라미드 형상을 포함하는 명확한 형상을 갖는 복합재일 수 있다. 바람직하게는, 명확한 형상을 갖는 복합재는 상부보다 60% 이하, 더욱 바람직하게는 40% 이하, 가장 바람직하게는 20% 이하만큼 큰 표면적을 갖는 하부를 갖는다.It is preferred that the at least one three-dimensional abrasive coating comprises a plurality of abrasive composites. The plurality of abrasive composites may be composites having a clear shape, composites having irregular shapes, or composites having a clear shape, including substantially cut pyramid shapes with flat top faces. Preferably, the composite having a definite shape has a bottom with a surface area of 60% or less than the top, more preferably 40% or less and most preferably 20% or less.

바람직하게는, 바인더는 아크릴레이트 수지와 같은 에틸렌계 불포화 수지를 함유하는 바인더 전구체(binder precursor)로부터 형성된다. 더욱 바람직하게는, 바인더 전구체는 우레탄 아크릴레이트 올리고머, 에틸렌계 불포화 단량체 및 이들의 혼합물을 포함한다. 에틸렌계 불포화 단량체는 단일작용기 아크릴레이트 단량체, 2작용기 아크릴레이트 단량체, 3작용기 아크릴레이트 단량체 및 이들의 혼합물로 이루어진 군으로부터 선택되는 것이 바람직하다. 바람직하게는, 바인더 전구체는 약 30 내지 70 중량부의 우레탄 아크릴레이트 단량체와 약 70 내지 30 중량부의 에틸렌계 불포화 단량체, 더욱 바람직하게는 약 34 내지 65 중량부의 우레탄 아크릴레이트 올리고머와 약 46 내지 54 중량부의 에틸렌계 불포화 단량체, 가장 바람직하게는 약 50 중량부의 우레탄 아크릴레이트 올리고머와 약 50 중량부의 에틸렌계 불포화 단량체를 포함한다.Preferably, the binder is formed from a binder precursor containing ethylenically unsaturated resins such as acrylate resins. More preferably, the binder precursor comprises urethane acrylate oligomers, ethylenically unsaturated monomers and mixtures thereof. The ethylenically unsaturated monomer is preferably selected from the group consisting of monofunctional acrylate monomers, difunctional acrylate monomers, trifunctional acrylate monomers and mixtures thereof. Preferably, the binder precursor is about 30 to 70 parts by weight of urethane acrylate monomer and about 70 to 30 parts by weight of ethylenically unsaturated monomer, more preferably about 34 to 65 parts by weight of urethane acrylate oligomer and about 46 to 54 parts by weight Ethylenically unsaturated monomers, most preferably about 50 parts by weight of urethane acrylate oligomer and about 50 parts by weight of ethylenically unsaturated monomer.

연마 입자는 다이아몬드 연마 입자를 포함하는 것이 바람직하다. 임의로 다이아몬드 입자는 기타 비다이아몬드 경질 연마 입자, 연질 무기 연마 입자 및 이들의 혼합물과 혼합될 수 있다. 바람직하게는 연마 입자의 평균 크기는 약 0.01 내지 300 마이크로미터, 더욱 바람직하게는 약 5 내지 150 마이크로미터, 가장 바람직하게는 약 9 내지 80 마이크로미터이다.The abrasive grains preferably comprise diamond abrasive grains. Optionally, the diamond particles can be mixed with other non-diamond hard abrasive particles, soft inorganic abrasive particles and mixtures thereof. Preferably the average size of the abrasive particles is about 0.01 to 300 micrometers, more preferably about 5 to 150 micrometers and most preferably about 9 to 80 micrometers.

본 발명의 일 실시 태양에서, 연마용품은 시험 유리 블랭크 (glass test blank)상의 약 1.2㎛ 이상의 초기 Ra를 약 25초의 폴리싱 시간 간격을 갖는 RPP 방법을 이용하여 약 0.7㎛ 이하의 최종 Ra로 감소시킬 수 있다. 바람직하게는, 연마용품에 포함된 다이아몬드 입자는 약 74 마이크로미터의 평균 크기를 갖는다.In one embodiment of the present invention, the abrasive article may reduce an initial Ra of about 1.2 μm or more on a glass test blank to a final Ra of about 0.7 μm or less using an RPP method having a polishing time interval of about 25 seconds. Can be. Preferably, the diamond particles included in the abrasive article have an average size of about 74 micrometers.

본 발명의 또다른 일 실시 태양에서, 연마용품은 시험 유리 블랭크상의 약 0.2㎛ 이상의 초기 Ra를 약 25초의 폴리싱 시간 간격을 갖는 RPP 방법을 이용하여 약 0.12㎛ 이하의 최종 Ra로 감소시킬 수 있다. 바람직하게는, 연마용품에 포함된 다이아몬드 입자는 약 30 내지 45 마이크로미터의 평균 크기를 갖는다.In another embodiment of the present invention, the abrasive article can reduce an initial Ra of about 0.2 μm or greater on the test glass blank to a final Ra of about 0.12 μm or less using an RPP method having a polishing time interval of about 25 seconds. Preferably, the diamond particles included in the abrasive article have an average size of about 30 to 45 micrometers.

본 발명의 또다른 일 실시 태양에서, 연마용품은 시험 유리 블랭크상의 약 0.05㎛ 이상의 초기 Ra를 약 25초의 폴리싱 시간 간격을 갖는 RPP 방법을 이용하여 약 0.05㎛ 이하의 최종 Ra로 감소시킬 수 있다. 바람직하게는, 연마용품에 포함된 다이아몬드 입자는 약 9 내지 15 마이크로미터의 평균 크기를 갖는다.In another embodiment of the present invention, the abrasive article can reduce the initial Ra of about 0.05 μm or more on the test glass blank to a final Ra of about 0.05 μm or less using an RPP method having a polishing time interval of about 25 seconds. Preferably, the diamond particles included in the abrasive article have an average size of about 9 to 15 micrometers.

이들 연마용품은 아주 정교한 표면 마무리 상태를 갖도록 유리 중간제품을 폴리싱하기 위해 유리 폴리싱 시스템에서 연속적으로 제공될 수 있다.These abrasive articles can be provided continuously in a glass polishing system to polish the glass intermediate to have a very sophisticated surface finish.

RPP 시험 방법RPP test method

RPP 방법은 "Buehler Ecomet 2" 파워 헤드가 설치된 "Buehler Ecomet 4" 가변 속도 그라인더-폴리셔를 사용한다 (이들은 일리노이주 레이크 블러프에 소재한 부엘러 인더스트리스 리미티드사로부터 상업적으로 입수할 수 있다). 시험 유리 블랭크의 표면적에 걸쳐 약 7.1 psi (약 50kPa)의 압력에 해당하는 50 파운드의 힘을 가한 상태로 500 rpm의 모터 속도를 사용하여 시험을 수행한다.The RPP method uses a "Buehler Ecomet 4" variable speed grinder-polisher equipped with a "Buehler Ecomet 2" power head (these are commercially available from Bueller Industries Limited, Lake Bluff, Illinois). The test is performed using a motor speed of 500 rpm with a force of 50 pounds corresponding to a pressure of about 7.1 psi (about 50 kPa) over the surface area of the test glass blank.

지름이 7.62㎝ (3인치)이고 두께가 약 1.0㎝인 평평한 원형의 시험 유리 블랭크를 준비한다 (이는 CORNING #9061이라는 상품명으로 코닝 글래스 컴퍼니사로부터 상업적으로 입수 가능하다). 유리 재료를 그라인더-폴리셔의 파워 헤드 내에 고정한다. 시험 유리 블랭크가 고정된 파워 헤드를 35 rpm 속도로 시계 방향으로 회전시키는 반면, 그라인더-폴리셔의 12인치 알루미늄 플랫폼은 시계 반대 방향으로 회전시킨다.A flat round test glass blank, 7.62 cm (3 inches) in diameter and about 1.0 cm thick, is prepared (commercially available from Corning Glass Company under the trade name CORNING # 9061). The glass material is fixed in the grinder-polisher power head. The test glass blank rotates the fixed power head clockwise at 35 rpm, while the grinder-polisher's 12-inch aluminum platform rotates counterclockwise.

시험할 연마용품을 직경 20.3㎝ (8.0인치)의 원형으로 다이 절단 (die cut)하고 약 90 듀로미터(durometer)의 쇼어(Shore) A 경도를 갖는 압출된 슬랩 스톡 (slab stock) 발포체 우레탄 배면재 패드 상에 감압접착제로 직접 부착시킨다. 우레탄 배면재 패드를 두께가 약 30㎜인 연질 발포체 패드인 압출된 슬랩 오픈 셀(slab open cell)에 부착시킨다. 이 패드 조립품을 그라인더/폴리셔의 알루미늄 플랫폼 상에 고정시킨다. 수돗물을 연마용품 위에 약 3리터/분의 유속으로 뿌려주어 연마용품 표면과 시험 유리 블랭크 사이에서 윤활작용을 하게 한다.The extruded slab stock foam urethane backing material was die cut to a circular diameter of 20.3 cm (8.0 inches) and had a Shore A hardness of about 90 durometer. Attach directly to the pad with a pressure sensitive adhesive. The urethane backing pad is attached to an extruded slab open cell, a soft foam pad about 30 mm thick. The pad assembly is fixed on the grinder / polisher's aluminum platform. Tap water is sprayed onto the abrasive at a flow rate of about 3 liters / minute to lubricate between the abrasive surface and the test glass blank.

시험 유리 블랭크상에 실질적으로 유사한 초기 표면 마무리 상태를 제공하기 위해서 (즉, 연마용품으로 폴리싱하기 전), 각 시험 유리 블랭크를 "3M Flexible Diamond M125"라는 상품명으로 3M사 (미네소타주 세인트폴 소재)로부터 상업적으로 구입할 수 있는 금속 결합 다이아몬드 연마용품으로 마모시킨다. 이 다이아몬드 입자들은 약 125 마이크로미터의 평균 입자 크기를 갖는다.In order to provide a substantially similar initial surface finish on the test glass blanks (ie, prior to polishing with the abrasive), each test glass blank was named 3M (St. Paul, Minn.) Under the trade name "3M Flexible Diamond M125". It is worn with a metal bonded diamond abrasive article which is commercially available from. These diamond particles have an average particle size of about 125 micrometers.

시험 유리 블랭크상의 초기 표면 마무리 상태를 SURTRONIC 3 (112/1518-822323)이라는 상품명으로 테일러 홉슨사 (영국 레스터 소재)로부터 상업적으로 구입할 수 있는 다이아몬드 바늘 프로필로미터로 평가한다. 또한 시험 유리 블랭크의 초기 무게도 기록한다. 본 발명에 따른 연마용품을 평가하기 위한 초기 표면 마무리 상태 또는 Ra 값은 전형적으로 약 1.2㎛ 이상, 약 0.2㎛ 이상 및 약 0.05㎛ 이상의 세 범주에 속한다.Initial surface finish on test glass blanks is evaluated with a diamond needle profilometer commercially available from Taylor Hobson (Leicester, UK) under the name SURTRONIC 3 (112 / 1518-822323). Also record the initial weight of the test glass blank. Initial surface finish or Ra values for evaluating abrasive articles according to the invention typically fall into three categories: at least about 1.2 μm, at least about 0.2 μm and at least about 0.05 μm.

상기한 그라인더/폴리셔를 사용하여 시험 유리 블랭크를 폴리싱한다. 그라인더/폴리셔의 폴리싱 시간 간격은 15초 또는 10초로 설정한다. 그러나, 시험 유리 블랭크 표면상의 연마용품이 안정화되기 전까지는 그라인더/폴리셔가 계시(計時)를 시작하지 않기 때문에 연마용품과 시험 유리 블랭크 표면간의 실제 접촉시간은 설정 시간보다 클 수 있다. 즉, 유리 표면상의 연마용품이 튀어 오르거나 뛰놀 수 있고 그라인더/폴리셔는 연마용품과 유리 표면간의 접촉이 실질적으로 일정한 시점에서 계시를 시작한다. 따라서, 실제 폴리싱 시간 간격, 즉 연마용품과 유리 표면간의 접촉은 약 25초 이하이다. 폴리싱한 후, 최종 표면 마무리 상태와 최종 무게를 각각 기록한다. 폴리싱 시간 ("X"초) 동안의 시험 유리 블랭크의 무게 변화는 "절삭 속도"로서 인용되며 그램 단위로 주어진다 (제거된 유리 재료/"X"초).The test glass blanks are polished using the grinder / polisher described above. The polishing time interval of the grinder / polisher is set to 15 seconds or 10 seconds. However, the actual contact time between the abrasive article and the test glass blank surface may be greater than the set time since the grinder / polisher does not start counting until the abrasive article on the test glass blank surface has stabilized. That is, the abrasive article on the glass surface may bounce or run and the grinder / polisher begins to time at a point where the contact between the abrasive article and the glass surface is substantially constant. Thus, the actual polishing time interval, ie the contact between the abrasive article and the glass surface, is less than about 25 seconds. After polishing, the final surface finish and final weight are recorded respectively. The weight change of the test glass blank during the polishing time (“X” seconds) is referred to as “cutting speed” and is given in grams (glass material removed / “X” seconds).

상기한 방법에 따라 어떤 Ra 값에 이르도록 유리 중간제품을 폴리싱하는 데에 필요한 실제 시간 (속도)은 사용된 폴리싱 장치, 연마용품 아래의 배면재 패드, 연마 회전 속도, 폴리싱하는 표면적의 크기, 접촉 압력, 연마 입자 크기, 폴리싱되는 표면의 초기 상태 등과 같은 많은 요인들에 따라 변하게 됨을 이해할 수 있다. 상술한 RPP 방법의 각 단계는 본 발명에 따른 연마용품 및 방법을 통상적인 유리 폴리싱 기술과 비교하는 데에 사용될 수 있는 하나의 기준선 성능 특성을 간단하게 제공한다.The actual time (speed) required to polish the glass intermediate to a certain Ra value according to the method described above depends on the polishing apparatus used, the backing pad under the abrasive, the polishing rotational speed, the size of the surface area being polished, and the contact. It will be appreciated that this will vary depending on many factors such as pressure, abrasive grain size, initial state of the surface being polished and the like. Each step of the RPP method described above simply provides one baseline performance characteristic that can be used to compare the abrasive article and method according to the present invention with conventional glass polishing techniques.

본 발명의 또다른 일 면은 상술한 바와 같이 어떤 초기 Ra 값을 갖는 유리 중간제품과 연마용품을 접촉시키는 단계, 유리 중간제품과 연마용품 사이의 접촉부에 액체를 공급하는 단계, 유리 중간제품 및 연마용품을 서로에 대해 맞대어 비비는 단계 및 초기 Ra를 최종 Ra로 감소시키는 단계에 의해 유리 중간제품을 폴리싱하는 방법에 관한 것이다.Another aspect of the invention provides a method of contacting a glass intermediate having an initial Ra value with an abrasive as described above, supplying liquid to the contact between the glass intermediate and the abrasive, glass intermediate and polishing A method of polishing a glass intermediate by rubbing the articles against each other and reducing the initial Ra to the final Ra.

일 실시 태양에서, 본 방법은 약 25초의 폴리싱 시간 간격을 갖는 RPP 방법을 이용하여 시험 유리 블랭크로부터 약 0.75g의 유리 재료를 제거할 수 있는 연마용품과 약 1.2㎛ 이상의 초기 Ra값을 갖는 유리 중간제품을 접촉시키는 것을 포함하며, 여기에서 초기 Ra는 약 0.7㎛ 이하의 최종 Ra로 감소한다. 바람직하게는, 연마용품은 바인더 내에 분산된 다이아몬드 입자들을 포함한다. 더욱 바람직하게는, 연마 입자들은 약 74㎛의 평균 크기를 갖는다.In one embodiment, the method is an abrasive article capable of removing about 0.75 g of glass material from a test glass blank using an RPP method with a polishing time interval of about 25 seconds and a glass medium having an initial Ra value of at least about 1.2 μm. Contacting the product, where initial Ra is reduced to a final Ra of about 0.7 μm or less. Preferably, the abrasive article comprises diamond particles dispersed in the binder. More preferably, the abrasive particles have an average size of about 74 μm.

또 다른 일 실시 태양에서, 본 방법은 약 25초의 폴리싱 시간 간격을 갖는 RPP 방법을 이용하여 시험 유리 블랭크로부터 약 0.2g의 유리 재료를 제거할 수 있는 연마용품과 약 0.2㎛ 이상의 초기 Ra값을 갖는 유리 중간제품을 접촉시키는 것을 포함하며, 여기에서 초기 Ra는 약 0.05㎛ 이하의 최종 Ra로 감소한다. 바람직하게는, 연마용품은 바인더 내에 분산된 다이아몬드 입자들을 포함한다. 더욱 바람직하게는, 연마 입자들은 약 30 내지 45㎛의 평균 크기를 갖는다.In another embodiment, the method has an abrasive article capable of removing about 0.2 g of glass material from a test glass blank using an RPP method having a polishing time interval of about 25 seconds and an initial Ra value of about 0.2 μm or greater. Contacting the glass intermediate, wherein the initial Ra is reduced to a final Ra of about 0.05 μm or less. Preferably, the abrasive article comprises diamond particles dispersed in the binder. More preferably, the abrasive particles have an average size of about 30 to 45 μm.

또 다른 일 실시 태양에서, 약 25초의 폴리싱 시간 간격을 갖는 RPP 방법을 이용하여 시험 유리 블랭크로부터 약 0.02g의 유리 재료를 제거할 수 있는 연마용품과 약 0.05㎛ 이상의 초기 Ra값을 갖는 유리 중간제품을 접촉시키는 것을 포함하며, 여기에서 초기 Ra는 약 0.05㎛ 이하의 최종 Ra로 감소한다. 바람직하게는, 연마용품은 바인더 내에 분산된 다이아몬드 입자들을 포함한다. 더욱 바람직하게는, 연마 입자들은 약 9 내지 15㎛의 평균 크기를 갖는다.In another embodiment, an abrasive article capable of removing about 0.02 g of glass material from a test glass blank using an RPP method having a polishing time interval of about 25 seconds and a glass intermediate having an initial Ra value of about 0.05 μm or greater. In which the initial Ra is reduced to a final Ra of about 0.05 μm or less. Preferably, the abrasive article comprises diamond particles dispersed in the binder. More preferably, the abrasive particles have an average size of about 9-15 μm.

유리 표면의 연마에 사용되는 본 발명의 연마용품은 놀랍게도 상대적으로 짧은 시간 내에 매끄러운 표면을 제공한다. 어떠한 이론에 구속되는 것을 바라지는 않지만, 연마용품에 바람직한 특성을 부여하는 것은 바인더의 화학적 성질인 것으로 여겨진다. 특히, 이 바인더의 화학적 성질은 연마용품의 수명 기간에 걸쳐 연마 입자를 단단히 지탱하도록 강인하고 내구성이 있으며 오래 지속되는 매질을 제공하는 것으로 여겨진다. 이 바인더의 화학적 성질은 다이아몬드 연마 입자와 함께 사용될 때에 특히 효과가 있다. 다이아몬드 연마 입자가 대부분의 통상적인 연마 입자들보다 상당히 오래 지속되므로 강인하고 내구성 있는 바인더가 바람직하다. 따라서, 우레탄 아크릴레이트 올리고머, 또는 우레탄 아크릴레이트 올리고머와 아크릴레이트 단량체의 혼합물과 다이아몬드 연마 입자의 조합이 오래 지속되고 내구성 있는 연마재 피복을 제공한다. 연마 입자와 바인더의 화학적 성질이 본 발명에 따른 연마용품을 사용함으로써 개선된 유리 폴리싱 결과를 갖도록 하는 상승 효과를 갖는 조합을 제공하는 것으로 가정된다.The abrasive articles of the present invention used to polish glass surfaces provide a smooth surface in surprisingly relatively short time. While not wishing to be bound by any theory, it is believed that the chemical properties of the binder impart desirable properties to the abrasive article. In particular, the chemical nature of this binder is believed to provide a tough, durable and long lasting medium for firmly supporting abrasive particles over the life of the abrasive article. The chemical nature of this binder is particularly effective when used with diamond abrasive particles. Strong and durable binders are desirable because diamond abrasive particles last significantly longer than most conventional abrasive particles. Thus, the combination of urethane acrylate oligomers, or mixtures of urethane acrylate oligomers and acrylate monomers with diamond abrasive particles, provides a long lasting and durable abrasive coating. It is assumed that the chemical properties of the abrasive particles and the binder provide a combination with a synergistic effect such that the use of the abrasive article according to the invention has improved glass polishing results.

본 명세서에서 사용된 명확한 형상이란 전구체가 배면재 상에서 성형되고 생산용구 표면상의 공동(cavity)에 채워지는 동안 바인더 전구체를 경화시키는 것에 의해 성형되는 연마 복합재의 형상을 말한다. 이 연마 복합재는 비교적 매끄러운 표면을 갖는 면들로 규정되는 삼차원적인 형상을 갖는데, 이 면들은 여러 면들의 교차에 의해 규정되는 뚜렷한 단점(端點)들과 더불어 명확한 모서리 길이를 갖는 윤곽이 뚜렷한 예리한 모서리들에 의해 경계 지어지고 결합된다. 본 발명의 연마 복합재는 다수의 이러한 명확한 형상을 갖는 연마재가 배치된다는 의미에서 구조화된 것으로서 설명된다. 또한 연마 복합재는 불규칙한 형상을 가질 수 있는데, 이는 본 명세서에서 사용된 바와 같이 연마 복합재를 형성하는 면들 또는 경계가 무너지고 명확하지 않은 것을 뜻한다. 불규칙한 형상을 갖는 연마 복합재에 있어서, 연마 슬러리는 원하는 형상 및(또는) 패턴으로 우선 성형된다. 연마 슬러리가 일단 성형되면, 연마 슬러리 내의 바인더 전구체가 경화 또는 고화된다. 일반적으로 성형과 바인더 전구체를 경화시키는 것 사이에는 시간 간격이 있다. 이 시간 간격동안 연마 슬러리가 유동 및(또는) 변형될 수 있고 이에 의해 성형된 형상에 변형이 일어난다. 연마 복합재는 또한 WO 95/07797 (1995년 3월 23일 공개) 및 WO 95/22436 (1995년 8월 24일 공개)에 기재된 바와 같이 하나의 단일 연마용품 내에서 크기, 피치(pitch) 또는 형상을 달리할 수 있다.As used herein, the clear shape refers to the shape of the abrasive composite that is molded by curing the binder precursor while the precursor is molded on the backing material and filled in a cavity on the surface of the production tool. This abrasive composite has a three-dimensional shape, defined by faces with relatively smooth surfaces, which have sharp edges with clear edge lengths, with distinct drawbacks defined by the intersection of several faces. Bounded and combined by The abrasive composites of the present invention are described as structured in the sense that a number of such abrasive shapes are disposed. The abrasive composite may also have an irregular shape, which means that the faces or boundaries forming the abrasive composite, as used herein, are broken and unclear. In abrasive composites having irregular shapes, the polishing slurry is first molded into the desired shape and / or pattern. Once the polishing slurry is molded, the binder precursor in the polishing slurry is cured or solidified. Generally there is a time interval between molding and curing the binder precursor. During this time interval, the polishing slurry can flow and / or deform, thereby deforming the shaped shape. The abrasive composites are also sized, pitched or shaped within one single abrasive article as described in WO 95/07797 published March 23, 1995 and WO 95/22436 published August 24, 1995. Can be different.

본 명세서에서 사용된 경계란 각 연마 복합재의 실제의 삼차원적인 형상을 경계짓고 규정하는 각 복합재의 노출된 표면 및 모서리들을 말한다. 이 경계들은 본 발명의 연마용품의 단면을 현미경으로 관찰할 때 쉽게 볼 수 있고 식별 가능하다. 이 경계들은 비록 복합재들이 그 바닥에서 공통의 접경을 따라 서로 인접해 있는 경우라도 하나의 연마 복합재를 다른 것들로부터 구분하고 식별할 수 있게 한다. 명확한 형상을 갖는 연마 복합재의 경우 경계와 모서리들은 예리하고 뚜렷하다. 이에 비해, 명확한 형상을 갖지 않는 연마 복합재에서는 경계 및 모서리들은 뚜렷하지 않다 (즉, 연마 복합재가 경화가 완료되기 전에 처짐). 명확한 형상을 갖던 불규칙한 형상을 갖던 간에 이 연마 복합재들은 실질적으로 뚜렷하고 식별 가능한 경계에 의해 규정되는 임의의 기하학적 형상일 수 있으며, 여기에서 명확한 기하학적 형상은 입방형, 프리즘형, 원뿔형, 블록 모양의 잘려진 원뿔형, 피라미드형, 잘려진 피라미드형, 실린더형, 반구형 등으로 이루어진 군으로부터 선택된다.Boundary as used herein refers to the exposed surfaces and edges of each composite that bound and define the actual three-dimensional shape of each abrasive composite. These boundaries are readily visible and discernible when viewing the cross section of the abrasive article of the present invention under a microscope. These boundaries allow one abrasive composite to be distinguished and distinguished from the other even if the composites are adjacent to each other along a common border at the bottom. For abrasive composites with a definite shape, the boundaries and edges are sharp and distinct. In contrast, in abrasive composites that do not have a definite shape, the boundaries and edges are not apparent (ie, the abrasive composite sags before hardening is complete). These abrasive composites, whether clear or irregular, may be of any geometry defined by substantially distinct and identifiable boundaries, where the clear geometry is a cubic, prismatic, conical, or block-shaped truncated cone. , Pyramidal, truncated pyramidal, cylindrical, hemispherical, and the like.

본 명세서에서 사용된 것으로, 결(texture)은 각각의 삼차원 복합재가 명확한 형상을 갖던 또는 불규칙한 형상을 갖던 간에 상기한 삼차원 복합재 중 임의의 것을 갖는 폴리싱 층을 말한다. 이러한 결은 모두 실질적으로 동일한 기하학적 형상을 갖는 다수의 연마 복합재들로부터 형성될 수 있다 (즉, 결은 규칙적일 수 있다). 유사하게, 결은 복합재마다 서로 다른 기하학적 형상을 갖는, 임의의 패턴일 수 있다.As used herein, texture refers to a polishing layer having any of the three-dimensional composites described above, whether each three-dimensional composite has a definite or irregular shape. These grains may all be formed from a plurality of abrasive composites having substantially the same geometry (ie, the grains may be regular). Similarly, the texture can be any pattern, with different geometries per composite.

광학적으로 투명한 표면은 본질적으로 어떠한 흠, 결함 및(또는) 육안에 보이는 미세한 긁힘도 없는 표면을 말한다.Optically transparent surfaces refer to surfaces that are essentially free of any flaws, defects and / or microscopic scratches visible to the naked eye.

제1도는 본 발명에 따른 바람직한 일 연마용품의 평면도이다.1 is a plan view of one preferred abrasive article according to the present invention.

제2도는 제1도에 도시된 연마용품을 2-2 선을 따라 절단한 단면을 확대한 단면도이다.2 is an enlarged cross-sectional view of a cross section taken along line 2-2 of the abrasive article shown in FIG.

제3도는 본 발명에 따른 또다른 바람직한 일 연마용품의 평면도이다.3 is a plan view of another preferred abrasive article according to the present invention.

제4도는 제3도에 도시된 연마용품을 4-4 선을 따라 절단한 단면을 확대한 단면도이다.4 is an enlarged cross-sectional view of a cross section taken along the line 4-4 of the abrasive article shown in FIG.

본 발명은 배면재 및 바람직하게는 배면재 표면에 결합된 바인더 내에 분산된 다이아몬드 입자들을 포함하는 1종 이상의 삼차원 연마재 피복을 포함하는 연마용품으로 유리 중간제품을 미세하게 연마 (바람직하게는 폴리싱)하는 방법 및 물건에 관한 것이다. 연마재 피복은 바인더 전구체로부터 형성된 바인더와 다수의 연마 입자들, 바람직하게는 다이아몬드 연마 입자들을 포함한다.The present invention provides a method for finely polishing (preferably polishing) a glass intermediate with an abrasive article comprising at least one three-dimensional abrasive coating comprising a backing material and preferably diamond particles dispersed in a binder bonded to the backing surface. It relates to methods and things. The abrasive coating comprises a binder formed from a binder precursor and a plurality of abrasive particles, preferably diamond abrasive particles.

유리의 최종 용도는 가정용 또는 상업적 환경일 수 있다. 유리는 장식용 목적 또는 건축용 목적으로 사용될 수 있다. 유리는 하나 이상의 폴리싱될 표면을 갖는다. 유리는 비교적 평평하거나 그와 관계된 윤곽을 가질 수 있다. 이들 윤곽은 굴곡 또는 모퉁이 형상일 수 있다. 유리 중간제품의 예에는 렌즈, 프리즘, 거울, CRT (cathode ray tube) 스크린 등과 같은 광학적 구성 요소들이 포함된다. CRT 스크린은 텔레비전 세트, 컴퓨터 모니터, 컴퓨터 단말기 등과 같은 장치에 사용되는 디스플레이 표면에서 광범위하게 발견된다. CRT 스크린의 크기 (대각선을 따라 측정한 것)는 약 10㎝ (4인치) 내지 약 100㎝ (40인치) 이상의 범위이다. CRT 스크린은 볼록하고 곡률 반경을 갖는 외부 표면을 갖는다. 폴리싱하는 동안 본 발명의 연마용품은 이 CRT 스크린을 폴리싱한다.The end use of the glass may be a home or commercial environment. Glass can be used for decorative or architectural purposes. The glass has one or more surfaces to be polished. The glass may be relatively flat or have a contour associated therewith. These contours may be curved or corner shaped. Examples of glass intermediates include optical components such as lenses, prisms, mirrors, cathode ray tube (CRT) screens, and the like. CRT screens are widely found on display surfaces used in devices such as television sets, computer monitors, computer terminals, and the like. The size of the CRT screen (measured diagonally) ranges from about 10 cm (4 inches) to about 100 cm (40 inches) or more. The CRT screen has an outer surface that is convex and has a radius of curvature. The abrasive article of the present invention polishes this CRT screen during polishing.

가. 바인더end. bookbinder

바인더는 바인더 전구체로부터 형성된다. 바인더 전구체는 비경화 또는 비중합 상태의 수지를 포함한다. 연마용품을 제조하는 동안 바인더 전구체 내의 수지가 중합 또는 경화되어 바인더가 형성된다. 바인더 전구체는 축합 경화 가능한 수지, 부가중합 가능한 수지, 자유라디칼 경화 가능한 수지 및(또는) 이들의 조합 및 혼합물을 포함할 수 있다.The binder is formed from a binder precursor. The binder precursor includes a resin in an uncured or nonpolymerized state. During manufacture of the abrasive article, the resin in the binder precursor is polymerized or cured to form a binder. The binder precursor may include condensation curable resins, addition polymerizable resins, free radical curable resins, and / or combinations and mixtures thereof.

바람직한 바인더 전구체는 자유라디칼 메커니즘을 통해 중합할 수 있는 수지이다. 중합반응 과정은 바인더 전구체를 적절한 촉매와 함께 열에너지 또는 복사에너지 같은 에너지원에 노출시킴으로써 개시된다. 복사에너지의 예에는 전자 빔, 자외선 또는 가시광선이 포함된다.Preferred binder precursors are resins which can polymerize via a free radical mechanism. The polymerization process is initiated by exposing the binder precursor with an appropriate catalyst to an energy source such as thermal or radiant energy. Examples of radiant energy include electron beams, ultraviolet rays or visible light.

자유라디칼 경화 가능한 수지의 예에는 아크릴화 우레탄, 아크릴화 에폭시, 아크릴화 폴리에스테르, 에틸렌계 불포화 화합물, 1종 이상의 펜던트(pendant) 아크릴레이트기를 갖는 아미노수지계 유도체, 1종 이상의 펜던트 아크릴레이트기를 갖는 이소시아누레이트 유도체, 1종 이상의 펜던트 아크릴레이트기를 갖는 이소시아네이트 유도체 및 이들의 혼합물 및 조합이 포함된다. 아크릴레이트라는 용어는 아크릴레이트와 메타크릴레이트를 포괄한다.Examples of free radical curable resins include acrylated urethanes, acrylated epoxy, acrylated polyesters, ethylenically unsaturated compounds, amino resin derivatives having at least one pendant acrylate group, and isocyanurate having at least one pendant acrylate group. Derivatives, isocyanate derivatives having one or more pendant acrylate groups and mixtures and combinations thereof. The term acrylate encompasses acrylates and methacrylates.

본 발명의 바람직한 일 바인더 전구체는 우레탄 아크릴레이트 올리고머 또는 우레탄 아크릴레이트 올리고머와 에틸렌계 불포화 단량체의 혼합물을 포함한다. 바람직한 에틸렌계 불포화 단량체는 단일작용기 아크릴레이트 단량체, 2작용기 아크릴레이트 단량체, 3작용기 아크릴레이트 단량체 또는 이들의 조합이다. 어떤 이론에 속박되는 것을 원하지는 않지만, 연마용품에 바람직한 특성을 부여하는 것은 상기한 바인더 전구체들로부터 유래한 바인더의 화학적 성질인 것으로 여겨진다. 특히, 이 바인더의 화학적 성질은 연마용품의 수명 기간에 걸쳐 연마 입자를 단단히 지탱하도록 강인하고 내구성이 있으며 오래 지속되는 매질을 제공한다. 이 바인더의 화학적 성질은 다이아몬드 연마 입자가 대부분의 통상적인 연마 입자들보다 상당히 오래 지속되므로 다이아몬드 연마 입자와 함께 사용될 때에 특히 효과가 있다. 다이아몬드 입자와 연관된 긴 수명을 충분히 이용하기 위해서, 강인하고 내구성 있는 바인더가 요구된다. 따라서, 우레탄 아크릴레이트 올리고머 또는 우레탄 아크릴레이트 올리고머와 아크릴레이트 단량체의 혼합물과 다이아몬드 연마 입자의 조합이 오래 지속되고 내구성 있는 연마재 피복을 제공한다.One preferred binder precursor of the present invention comprises a urethane acrylate oligomer or a mixture of urethane acrylate oligomers and ethylenically unsaturated monomers. Preferred ethylenically unsaturated monomers are monofunctional acrylate monomers, difunctional acrylate monomers, trifunctional acrylate monomers or combinations thereof. While not wishing to be bound by any theory, it is believed that imparting desirable properties to the abrasive article is the chemical nature of the binder derived from the binder precursors described above. In particular, the binder's chemistry provides a tough, durable and long lasting medium for firmly supporting abrasive particles over the life of the abrasive article. The binder's chemistry is particularly effective when used with diamond abrasive particles because diamond abrasive particles last significantly longer than most conventional abrasive particles. In order to fully utilize the long life associated with diamond particles, a strong and durable binder is required. Accordingly, the combination of urethane acrylate oligomer or a mixture of urethane acrylate oligomer and acrylate monomer with diamond abrasive particles provides a long lasting and durable abrasive coating.

또한, 아크릴화 우레탄 하이드록시 말단기를 가진 이소시아네이트 확장 폴리에스테르 또는 폴리에테르의 아크릴화 에스테르이다. 이들은 지방족 또는 방향족일 수 있다. 상업적으로 이용 가능한 아크릴화 우레탄의 예에는 뉴저지주 호보켄에 소재한 헨켈 코퍼레이션사가 제조하고 PHOTOMER (예컨대 PHOTOMER 6010) 라는 상품명으로 알려진 것들, 조지아주 스미르나에 소재한 유씨비 래드큐어 인크사가 제조한 EBECRYL 220 (분자량 1000의 6작용기 방향족 우레탄 아크릴레이트), EBECRYL 284 (1,6-헥산디올 디아크릴레이트로 희석한 분자량 1200의 지방족 우레탄 디아크릴레이트), EBECRYL 4827 (분자량 1600의 방향족 우레탄 디아크릴레이트), EBECRYL 4830 (테트라에틸렌글리콜 디아크릴레이트로 희석한 분자량 1200의 지방족 우레탄 디아크릴레이트), EBECRYL 6602 (트리메틸올프로판 에톡시 트리아크릴레이트로 희석한 분자량 1300의 3작용기 방향족 우레탄 아크릴레이트) 및 EBECRYL 840 (분자량 1000의 지방족 우레탄 디아크릴레이트), 펜실바니아주 웨스트 체스터에 소재한 사르토머 컴퍼니사가 제조한 SARTOMER (예컨대 SARTOMER 9635, 9645, 9655, 963-B80, 966-A80 등) 및 일리노이주 시카고에 소재한 몰턴 인터내셔널사가 제조한 UVITHANE (예컨대 UVITHANE 782)이 속한다.It is also an acrylated ester of an isocyanate expanded polyester or polyether with an acrylated urethane hydroxy end group. These may be aliphatic or aromatic. Examples of commercially available acrylated urethanes include those manufactured by Henkel Corporation, Hoboken, NJ, under the trade name PHOTOMER (such as PHOTOMER 6010), and EBECRYL 220 (molecular weight 1000, manufactured by Yousvy Radcure Inc., Smirna, GA). 6-functional aromatic urethane acrylate), EBECRYL 284 (aliphatic urethane diacrylate with molecular weight 1200 diluted with 1,6-hexanediol diacrylate), EBECRYL 4827 (aromatic urethane diacrylate with molecular weight 1600), EBECRYL 4830 ( Aliphatic urethane diacrylate with molecular weight 1200 diluted with tetraethylene glycol diacrylate), EBECRYL 6602 (trifunctional aromatic urethane acrylate with molecular weight 1300 diluted with trimethylolpropane ethoxy triacrylate) and EBECRYL 840 (molecular weight of 1000 Aliphatic urethane diacrylate), West, PA Located in the master Sartomer Company, Inc. manufactured by SARTOMER (e.g., SARTOMER 9635, 9645, 9655, 963-B80, 966-A80, etc.), and UVITHANE (e.g., UVITHANE 782) a molteon International Inc., located in Chicago, IL Manufacturing belongs.

에틸렌계 불포화 단량체나 올리고머 또는 아크릴레이트 단량체나 올리고머는 단일작용기, 2작용기, 3작용기 또는 4작용기 또는 그 이상의 작용기일 수 있다. 아크릴레이트란 용어는 아크릴레이트와 메타크릴레이트를 포함한다. 에틸렌계 불포화 바인더 전구체는 탄소, 수소 및 산소 그리고 임의로 질소 및 할로겐 원자를 함유하는 단량체 및 중합체 화합물을 포함한다. 산소 또는 질소 원자 또는 이들 양자는 일반적으로 에테르, 에스테르, 우레탄, 아미드 및 우레아기에 존재한다. 에틸렌계 불포화 화합물은 바람직하게는 4000 미만의 분자량을 갖고, 바람직하게는 지방족 모노하이드록시기 또는 지방족 폴리하이드록시기를 포함하는 화합물과 아크릴산, 메타크릴산, 이타콘산, 크로톤산, 이소크로톤산, 말레산 등과 같은 불포화 카르복실산과의 반응으로부터 만들어지는 에스테르이다. 에틸렌계 불포화 단량체의 대표적인 예에는 메틸 메타크릴레이트, 에틸 메타크릴레이트, 스티렌, 디비닐벤젠, 하이드록시 에틸 아크릴레이트, 하이드록시 에틸 메타크릴레이트, 하이드록시 프로필 아크릴레이트, 하이드록시 프로필 메타크릴레이트, 하이드록시 부틸 아크릴레이트, 하이드록시 부틸 메타크릴레이트, 비닐 톨루엔, 에틸렌글리콜 디아크릴레이트, 폴리에틸렌글리콜 디아크릴레이트, 에틸렌글리콜 디메타크릴레이트, 헥산디올 디아크릴레이트, 트리에틸렌글리콜 디아크릴레이트, 트리메틸올프로판 트리아크릴레이트, 글리세롤 트리아크릴레이트, 펜타에리쓰리톨 트리아크릴레이트, 펜타에리쓰리톨 트리메타크릴레이트, 펜타에리쓰리톨 테트라아크릴레이트 및 펜타에리쓰리톨 테트라메타크릴레이트가 포함된다. 기타 에틸렌계 불포화 수지는 모노알릴, 폴리알릴 및 폴리메탈릴 에스테르와 디알릴 프탈레이트, 디알릴 아디페이트 및 N,N-디알릴아디프아미드와 같은 카르복실산의 아미드를 포함한다. 기타 질소함유 화합물들에는 트리스(2-아크릴-옥시에틸)이소시아누레이트, 1,3,5-트리(2-메틸아크릴옥시에틸)-s-트리아진, 아크릴아미드, 메틸아크릴아미드, N-메틸-아크릴아미드, N,N-디메틸아크릴아미드, N-비닐-피롤리돈, N-비닐-피페리돈 및 래드큐어 스페셜리티 (Radcure Specialities)사로부터 구입할 수 있는 CMD 3700이 포함된다. 에틸렌계 불포화 희석제 또는 단량체의 예들은 미국 특허 제5,236,472호 (커크(Kirk) 등) 및 제5,580,647호 (랄슨(Larson) 등)에서 찾아볼 수 있다. The ethylenically unsaturated monomers or oligomers or acrylate monomers or oligomers may be mono-, di-, tri- or tetra- or more functional groups. The term acrylate includes acrylates and methacrylates. Ethylenically unsaturated binder precursors include monomers and polymeric compounds containing carbon, hydrogen and oxygen and optionally nitrogen and halogen atoms. Oxygen or nitrogen atoms or both are generally present in ether, ester, urethane, amide and urea groups. The ethylenically unsaturated compound preferably has a molecular weight of less than 4000, preferably a compound containing an aliphatic monohydroxy group or an aliphatic polyhydroxy group and acrylic acid, methacrylic acid, itaconic acid, crotonic acid, isocrotonic acid, male Esters made from reaction with unsaturated carboxylic acids such as acids and the like. Representative examples of ethylenically unsaturated monomers include methyl methacrylate, ethyl methacrylate, styrene, divinylbenzene, hydroxy ethyl acrylate, hydroxy ethyl methacrylate, hydroxy propyl acrylate, hydroxy propyl methacrylate, Hydroxy butyl acrylate, hydroxy butyl methacrylate, vinyl toluene, ethylene glycol diacrylate, polyethylene glycol diacrylate, ethylene glycol dimethacrylate, hexanediol diacrylate, triethylene glycol diacrylate, trimethylol Propane triacrylate, glycerol triacrylate, pentaerythritol triacrylate, pentaerythritol trimethacrylate, pentaerythritol tetraacrylate and pentaerythritol tetramethacrylate. Other ethylenically unsaturated resins include amides of monoallyl, polyallyl and polymetall esters with carboxylic acids such as diallyl phthalate, diallyl adipate and N, N-diallyl adiamide. Other nitrogenous compounds include tris (2-acryl-oxyethyl) isocyanurate, 1,3,5-tri (2-methylacryloxyethyl) -s-triazine, acrylamide, methylacrylamide, N- Methyl-acrylamide, N, N-dimethylacrylamide, N-vinyl-pyrrolidone, N-vinyl-piperidone and CMD 3700 available from Radcure Specialities. Examples of ethylenically unsaturated diluents or monomers can be found in US Pat. Nos. 5,236,472 (Kirk et al.) And 5,580,647 (Larson et al.).

일반적으로 이들 아크릴레이트 단량체들간의 중량비는 최종 연마용품에 요구되는 다이아몬드 연마 입자의 중량 퍼센트에 의존한다. 그러나 전형적으로, 이들 아크릴레이트 단량체들은 약 5 내지 95 중량부의 에틸렌계 불포화 단량체에 대해 약 5 내지 95 중량부의 우레탄 아크릴레이트 올리고머의 범위를 갖는다. 바람직하게는, 이들 아크릴레이트 단량체는 약 30 내지 70 중량부의 에틸렌계 불포화 단량체에 대해 약 30 내지 70 중량부의 우레탄 아크릴레이트 올리고머, 더욱 바람직하게는 약 46 내지 54 중량부의 에틸렌계 불포화 단량체에 대해 약 34 내지 65 중량부의 우레탄 아크릴레이트 올리고머, 가장 바람직하게는 50 중량부의 에틸렌계 불포화 단량체에 대해 50 중량부의 우레탄 아크릴레이트 올리고머의 범위를 갖는다.In general, the weight ratio between these acrylate monomers depends on the weight percentage of diamond abrasive particles required for the final abrasive article. Typically, however, these acrylate monomers have a range of about 5 to 95 parts by weight of urethane acrylate oligomer relative to about 5 to 95 parts by weight of ethylenically unsaturated monomer. Preferably, these acrylate monomers are from about 30 to 70 parts by weight of urethane acrylate oligomers, and more preferably from about 46 to 54 parts by weight of ethylenically unsaturated monomers, relative to about 30 to 70 parts by weight of ethylenically unsaturated monomers. To 65 parts by weight of urethane acrylate oligomer, most preferably 50 parts by weight of urethane acrylate oligomer relative to 50 parts by weight of ethylenically unsaturated monomer.

기타 잠재적으로 유용한 바인더 및 바인더 전구체에 관한 추가의 정보는 1996년 8월 8일 출원되어 함께 계류중인 특허출원번호 제08/694,014호 (1995년 11월 11일 출원된 출원번호 제08/557,727호 (브룩스부르트(Bruxvoort) 등) 및 미국 특허 제4,773,920호 (채스만(Chasman) 등)의 부분 계속 출원임)에서 찾아볼 수 있다.Further information regarding other potentially useful binders and binder precursors is disclosed in pending patent application 08 / 694,014, filed Aug. 8, 1996 (Application No. 08 / 557,727, filed Nov. 11, 1995). Bruxvoort et al.) And US Pat. No. 4,773,920 (partial application of Chasman et al.).

아크릴화 에폭시는 비스페놀 A 에폭시 수지의 디아크릴레이트 에스테르와 같은 에폭시 수지의 디아크릴레이트 에스테르이다. 상업적으로 이용 가능한 아크릴화 에폭시의 예에는 래드큐어 스페셜리티사로부터 구입할 수 있는 CMD 3500, CMD 3600 및 CMD 3700 그리고 펜실바니아주 웨스트 체스터에 소재한 사르토머사로부터 구입 가능한 CN103, CN104, CN111, CN112 및 CN114가 포함된다.An acrylated epoxy is a diacrylate ester of an epoxy resin, such as a diacrylate ester of a bisphenol A epoxy resin. Examples of commercially available acrylated epoxies include CMD 3500, CMD 3600 and CMD 3700 available from Radcure Specialty Corporation and CN103, CN104, CN111, CN112 and CN114 available from Sartomer, West Chester, PA. .

폴리에스테르 아크릴레이트의 예에는 뉴저지주 호보켄에 소재한 헨켈 코퍼레이션사로부터 구입할 수 있는 Photomer 5007 및 Photomer 5018이 포함된다.Examples of polyester acrylates include Photomer 5007 and Photomer 5018, available from Henkel Corporation, Hoboken, NJ.

아미노계 수지는 분자 또는 올리고머당 하나 이상의 펜던트 알파, 베타-불포화 카보닐기를 갖는다. 이 불포화 카보닐기들은 아크릴레이트, 메타크릴레이트 또는 아크릴아미드 유형의 기일 수 있다. 이러한 물질들의 예에는 N-(하이드록시메틸)-아크릴아미드, N,N'-옥시디메틸렌비스아크릴아미드, o- 및 p-아크릴아미도메틸화 페놀, 아크릴아미도메틸화 페놀릭 노볼락(acrylamidomethylated phenolic novolac) 및 이들의 조합이 포함된다. 이 물질들은 미국 특허 제4,903,440호 (랄슨 등) 및 제5,236,472호 (커크 등)에 더 자세히 기술되어 있다.Amino resins have one or more pendant alpha, beta-unsaturated carbonyl groups per molecule or oligomer. These unsaturated carbonyl groups can be groups of the acrylate, methacrylate or acrylamide type. Examples of such materials include N- (hydroxymethyl) -acrylamide, N, N'-oxydimethylenebisacrylamide, o- and p-acrylamidomethylated phenols, acrylamidomethylated phenolic novolacs novolac) and combinations thereof. These materials are described in more detail in US Pat. Nos. 4,903,440 (Ralson et al.) And 5,236,472 (Kirk et al.).

하나 이상의 펜던트 아크릴레이트기를 갖는 이소시아누레이트 유도체 및 하나 이상의 펜던트 아크릴레이트기를 갖는 이소시아네이트 유도체들은 미국 특허 제4,652,27호 (뵈쳐(Boettcher) 등)에 더 자세히 기술되어 있다. 바람직한 이소시아누레이트 물질은 트리스(하이드록시 에틸)이소시아누레이트의 트리아크릴레이트이다.Isocyanurate derivatives having one or more pendant acrylate groups and isocyanate derivatives having one or more pendant acrylate groups are described in more detail in US Pat. No. 4,652,27 (Boettcher et al.). Preferred isocyanurate materials are triacrylates of tris (hydroxy ethyl) isocyanurate.

자유라디칼 경화 가능한 수지가 어떻게 경화 또는 중합되는가에 따라 바인더 전구체는 경화제 (촉매 또는 개시제로도 알려짐)를 추가로 포함할 수 있다. 경화제가 적절한 에너지원에 노출되면 중합반응을 개시시키는 자유라디칼원 (free radical source)을 발생시킨다.Depending on how the free radically curable resin is cured or polymerized, the binder precursor may further comprise a curing agent (also known as a catalyst or initiator). Exposure of the curing agent to a suitable energy source generates a free radical source that initiates the polymerization reaction.

바인더 전구체는 에폭시 수지를 포함할 수 있다. 에폭시 수지는 옥시란 (oxirane)을 갖고 고리 열림 반응 (ring opening)에 의해 중합된다. 이러한 에폭시 수지는 단량체의 에폭시 수지 및 중합체의 에폭시 수지를 포함한다. 바람직한 에폭시 수지의 몇 가지 예에는 2,2-비스[4-(2,3-에폭시프로폭시)-페닐)프로판, 비스페놀의 디글리시딜 에테르, 쉘 케미컬사로부터 EPON 828, EPON 1004 및 EPON 1001F라는 상품명으로 구입할 수 있는 물질들 및 다우 케미컬사로부터 구입할 수 있는 DER-331, DER-332 및 DER-334가 포함된다. 기타 적절한 에폭시 수지는 지방족고리 에폭시, 페놀 포름알데히드 노볼락의 글리시딜 에테르 (예컨대, 다우 케미컬사로부터 구입할 수 있는 DEN-431 및 DEN-428)를 포함한다. 자유라디칼 경화 가능한 수지와 에폭시 수지의 혼합물은 미국 특허 제4,751,138호 (튜메이(Tumey) 등) 및 제5,256,170호 (하머(Harmer) 등)에 더 자세히 기술되어 있다.The binder precursor may comprise an epoxy resin. Epoxy resins have an oxirane and are polymerized by ring opening. Such epoxy resins include epoxy resins of monomers and epoxy resins of polymers. Some examples of preferred epoxy resins include 2,2-bis [4- (2,3-epoxypropoxy) -phenyl) propane, diglycidyl ether of bisphenol, EPON 828, EPON 1004 and EPON 1001F from Shell Chemicals. And DER-331, DER-332 and DER-334 available from Dow Chemical. Other suitable epoxy resins include alicyclic epoxy, glycidyl ethers of phenol formaldehyde novolacs (eg, DEN-431 and DEN-428 available from Dow Chemical). Mixtures of free radical curable resins and epoxy resins are described in more detail in US Pat. Nos. 4,751,138 (Tumey et al.) And 5,256,170 (Harmer et al.).

어떤 경우 메이크 및 사이즈 피복 (make and size coating)을 이용하여 연마용품을 형성하는 것이 바람직할 수 있다. 이 연마용품 실시 태양들에 있어서, 배면재에 메이크 피복을 하고, 배면재에 연마 입자를 도포하며, 메이크 피복을 최소한 부분적으로 경화시키기 위해 메이크 피복을 일정한 조건에 노출시키고, 연마 입자 및 메이크 피복위로 사이즈 피복을 도포한다. 그 다음 이 구성품을 메이크 및 사이즈 피복을 경화시키기에 충분한 조건들에 노출시킨다. 임의의 프리사이즈 (presize) 및 슈퍼사이즈(supersize) 피복을 본 기술 분야에 알려진 것과 같이 적용할 수도 있다.In some cases it may be desirable to form the abrasive article using make and size coating. In these abrasive article embodiments, a make coat is applied to the backing material, abrasive particles are applied to the backing material, the make coat is exposed to constant conditions to at least partially cure the make coat, and over the abrasive particles and the make coat. Apply size coat. This component is then exposed to conditions sufficient to cure the make and size coating. Any presize and supersize coatings may be applied as known in the art.

나. 배면재 재료I. Backing material

배면재는 바인더와 연마 입자의 조합으로 형성되는 연마 복합재를 지지하는 기능을 한다. 본 발명에 유용한 배면재는 바인더 전구체를 경화 조건에 노출시킨 후 바인더에 부착할 수 있어야하고, 본 발명의 방법에 사용되는 물건이 유리내의 표면의 윤곽, 반경 및 불규칙함과 합치되도록 상기한 노출 뒤에 유연성이 있는 것이 바람직하다.The backing material functions to support an abrasive composite formed from a combination of a binder and abrasive particles. The backing material useful in the present invention should be able to adhere to the binder after exposing the binder precursor to curing conditions, and the flexibility after said exposure such that the object used in the method of the present invention matches the contour, radius and irregularities of the surface in the glass. It is desirable to have this.

많은 유리 폴리싱 응용에 있어서, 연마용품이 오래 지속될 수 있도록 배면재가 강하고 내구성이 있을 필요가 있다. 또한, 어떤 폴리싱 응용에 있어서는 연마용품이 유리 중간제품에 균일하게 합치될 수 있도록 배면재가 강하고 유연성이 있을 필요가 있다. 이는 대개 유리 중간제품이 그에 관련된 어떤 형상 또는 윤곽을 갖는 경우 그러하다. 배면재는 이러한 강도 및 합치성을 부여하기 위해 고분자 필름, 종이, 경화 섬유, 가공 처리된 부직포 배면재 또는 가공 처리된 천 배면재일 수 있다. 고분자 필름의 예에는 폴리에스테르 필름, 폴리에스테르 공중합체 필름, 폴리이미드 필름, 폴리아미드 필름 등이 포함된다. 종이를 포함하여, 부직포는 필요한 특성을 부여하기 위해 열경화성 또는 열가소성 재료로 포화시킬 수 있다.In many glass polishing applications, the backing material needs to be strong and durable so that the abrasive article can last long. In addition, for some polishing applications, the backing material needs to be strong and flexible so that the abrasive article can be uniformly matched to the glass intermediate. This is usually the case when the glass intermediate has some shape or contour associated with it. The backing material may be a polymeric film, paper, cured fiber, processed nonwoven backing or processed cloth backing to impart this strength and consistency. Examples of the polymer film include a polyester film, a polyester copolymer film, a polyimide film, a polyamide film, and the like. Including paper, the nonwoven can be saturated with a thermoset or thermoplastic material to impart the required properties.

바람직한 일 배면재는 가공 처리된 천 배면재이다. 천은 J 중량, X 중량, Y 중량 또는 M 중량 천일 수 있다. 천을 이루는 섬유 또는 사는 폴리에스테르, 나일론, 레이온, 면, 유리섬유 및 이들의 조합으로 이루어지는 군으로부터 선택될 수 있다. 천은 편물 또는 직물 (예컨대, 능직 무명(drills), 능직물(twills) 또는 면수자 직물(sateen weaves))일 수 있고 바늘로 꿰맨 것일 수 있고 또는 씨실 삽입 천(weft insertion cloth)일 수 있다. 그레이그 천(greige cloth)을 직조, 소모(singeing) 디사이징(desizing) 또는 그레이그 천에 대한 임의의 통상적인 가공처리를 할 수 있다. 천을 밀봉하고 천의 섬유를 보호하기 위해 천을 고분자 물질로 가공 처리하는 것이 바람직하다. 이러한 가공처리는 프리사이즈(presize), 포화제 (saturant) 또는 백사이즈(backsize) 가공처리 중 하나 이상과 관련될 수 있다. 그러한 가공처리 중 하나는 우선 프리사이즈 피복을 하고 그 다음에 백사이즈 피복을 하는 것과 관련된다. 별법으로, 포화제 피복을 하고 나서 백사이즈 피복을 한다. 일반적으로 배면재의 앞면은 비교적 매끄러운 것이 바람직하다. 또한, 유리 폴리싱이 대개 물의 존재 하에서 행해지므로 처리 피복(들)은 배면재가 방수 능력을 갖도록 해야한다. 또한, 처리 피복(들)은 배면재가 충분한 강도와 유연성을 갖도록 해야 한다. 바람직한 배면재 처리제 중 하나는 아크릴레이트 단량체 수지와 혼합된 가교 우레탄 아크릴레이트 올리고머이다. 천 처리제의 화학적 작용이 바인더의 화학적 작용과 사실상 동일하거나 유사한 것은 본 발명의 영역에 속한다. 천 처리제의 화학적 작용은 충진재, 염료, 안료, 습윤제, 결합제, 가소제 등을 추가로 포함할 수 있다.One preferred backing material is a processed cloth backing material. The fabric may be a J weight, X weight, Y weight or M weight cloth. The fibers or yarns of the cloth may be selected from the group consisting of polyester, nylon, rayon, cotton, glass fibers and combinations thereof. The fabric may be a knit or woven fabric (eg, trills, twills or sateen weaves), may be stitched, or may be a weft insertion cloth. The greige cloth can be woven, singed desizing or any conventional processing on the greige cloth. It is desirable to fabricate the fabric with a polymeric material to seal the fabric and protect the fibers of the fabric. Such processing may be associated with one or more of presize, saturant or backsize processing. One such processing involves first applying a presized coat followed by a backsized coat. Alternatively, the saturant coating is followed by the back size coating. In general, the front face of the backing material is preferably relatively smooth. In addition, since the glass polishing is usually performed in the presence of water, the treatment coating (s) should make the backing material waterproof. In addition, the treatment coating (s) should ensure that the backing material has sufficient strength and flexibility. One preferred backing agent is a crosslinked urethane acrylate oligomer mixed with acrylate monomer resin. It is within the scope of the present invention that the chemical action of the fabric treating agent is substantially the same as or similar to that of the binder. The chemical action of the fabric treating agent may further include fillers, dyes, pigments, wetting agents, binders, plasticizers and the like.

기타 처리제 피복들에는 열경화성 및 열가소성 수지가 포함된다. 전형적이고 바람직한 열경화성 수지의 예로는 페놀계 수지, 아미노계 수지, 우레탄 수지, 에폭시 수지, 에틸렌계 불포화 수지, 아크릴화 이소시아누레이트 수지, 우레아-포름알데히드 수지, 이소시아누레이트 수지, 아크릴화 우레탄 수지, 아크릴화 에폭시 수지, 비스말레이미드 수지 및 이들의 혼합물이 있다. 바람직한 열가소성 수지의 예로는 폴리아미드 수지 (예컨대 나일론), 폴리에스테르 수지 및 폴리우레탄 수지 (폴리우레탄-우레아 수지 포함)가 있다. 바람직한 열가소성 수지 중 하나는 폴리에스테르 폴리올과 이소시아네이트의 반응 생성물로부터 얻는 폴리우레탄이다.Other treatment coatings include thermosets and thermoplastics. Examples of typical and preferred thermosetting resins include phenolic resins, amino resins, urethane resins, epoxy resins, ethylenically unsaturated resins, acrylated isocyanurate resins, urea-formaldehyde resins, isocyanurate resins, acrylated urethane resins, Acrylated epoxy resins, bismaleimide resins and mixtures thereof. Examples of preferred thermoplastic resins are polyamide resins (such as nylon), polyester resins and polyurethane resins (including polyurethane-urea resins). One preferred thermoplastic resin is a polyurethane obtained from the reaction product of polyester polyols and isocyanates.

다. 연마 입자All. Abrasive particles

본 발명에 따른 연마용품은 또한 다수의 연마 입자들을 포함한다. "연마 입자"란 용어의 의미는 바인더에 의해 함께 결합되어 하나의 연마재 덩어리 (abrasive agglomerate) 또는 복합재를 형성하는 단일의 연마 입자를 포함한다. 연마재 덩어리는 미국 특허 제4,311,489호, 제4,652,275호 및 제4,799,939호에 더 자세히 기재되어 있다. 연마 입자는 결합제나 금속 또는 세라믹 피복과 같은 표면 처리제 또는 피복을 추가로 포함할 수 있다.The abrasive article according to the invention also comprises a plurality of abrasive particles. The term "abrasive particles" includes a single abrasive particle that is joined together by a binder to form an abrasive agglomerate or composite. Abrasive lumps are described in more detail in US Pat. Nos. 4,311,489, 4,652,275, and 4,799,939. The abrasive particles may further comprise a binder or a surface treatment agent or coating such as a metal or ceramic coating.

본 발명에 유용한 연마 입자들은 바람직하게는 약 0.01 마이크로미터 (작은 입자) 내지 300 마이크로미터 (큰 입자), 더욱 바람직하게는 약 5 마이크로미터 내지 150 마이크로미터, 가장 바람직하게는 약 9 내지 80 마이크로미터의 평균 입자 크기를 갖는다. 연마 입자는 8 이상, 더욱 바람직하게는 9 이상의 모오스 경도를 갖는 것이 바람직하다. 이러한 연마 입자들의 예에는 융해 산화알루미늄, 세라믹 산화알루미늄, 가열 처리 산화알루미늄, 탄화규소, 알루미나 지르코니아, 산화철, 다이아몬드 (천연 및 합성), 산화세륨, 입방 질화붕소, 석류석(garnet) 및 이들의 조합이 포함된다.Abrasive particles useful in the present invention are preferably about 0.01 micrometers (small particles) to 300 micrometers (large particles), more preferably about 5 micrometers to 150 micrometers, and most preferably about 9 to 80 micrometers. Has an average particle size. It is preferable that the abrasive particles have a Morse hardness of 8 or more, more preferably 9 or more. Examples of such abrasive particles include fused aluminum oxide, ceramic aluminum oxide, heat treated aluminum oxide, silicon carbide, alumina zirconia, iron oxide, diamond (natural and synthetic), cerium oxide, cubic boron nitride, garnet and combinations thereof. Included.

유리 폴리싱의 경우, 다이아몬드 연마 입자를 이용하는 연마용품이 바람직하다. 이들 다이아몬드 연마 입자는 천연 또는 인공적으로 합성된 다이아몬드일 수 있다. 합성 다이아몬드에 관하여, 입자들은 "수지 결합 다이아몬드", "톱날 등급 다이아몬드" 또는 "금속 결합 다이아몬드"로서 고려될 수 있다. 다이아몬드는 그들에 연관된 블록의 형상 또는 바늘과 같은 형상을 가질 수 있다. 다이아몬드 입자는 금속 피복 (예컨대, 니켈, 알루미늄, 구리 등), 무기 피복 (예컨대, 실리카) 또는 유기 피복과 같은 표면 피복을 포함할 수 있다. 본 발명의 연마용품은 다이아몬드와 기타 연마 입자의 혼합물을 포함할 수 있다.In the case of glass polishing, an abrasive article using diamond abrasive particles is preferred. These diamond abrasive particles may be natural or artificially synthesized diamonds. With regard to synthetic diamonds, the particles can be considered as "resin bonded diamonds", "saw blade grade diamonds" or "metal bonded diamonds". Diamonds can have the shape of a block or needle like shape associated with them. Diamond particles may include surface coatings such as metal coatings (eg nickel, aluminum, copper, etc.), inorganic coatings (eg silica) or organic coatings. The abrasive article of the present invention may comprise a mixture of diamond and other abrasive particles.

삼차원의 연마재 피복은 약 0.1 내지 90 중량부의 연마 입자와 약 10 내지 99.9 중량부의 바인더를 포함할 수 있다. 그러나 다이아몬드 연마 입자와 연관된 비용 때문에 연마재 피복은 약 0.1 내지 50 중량부의 연마 입자와 약 50 내지 99.9 중량부의 바인더를 포함하는 것이 바람직하다. 더욱 바람직하게는, 연마재 피복은 약 1 내지 30 중량부의 연마 입자와 약 70 내지 90 중량부의 바인더, 가장 바람직하게는 약 3 내지 25 중량부의 연마 입자와 약 75 내지 97 중량부의 바인더를 포함한다.The three-dimensional abrasive coating may comprise about 0.1 to 90 parts by weight of abrasive particles and about 10 to 99.9 parts by weight of the binder. However, due to the costs associated with diamond abrasive particles, the abrasive coating preferably includes about 0.1 to 50 parts by weight of abrasive particles and about 50 to 99.9 parts by weight of the binder. More preferably, the abrasive coating comprises about 1 to 30 parts by weight of abrasive particles and about 70 to 90 parts by weight binder, most preferably about 3 to 25 parts by weight abrasive particles and about 75 to 97 parts by weight binder.

라. 첨가제la. additive

본 발명의 연마재 피복은 연마 입자 표면 개질 첨가제, 결합제, 충진재, 팽창제, 섬유, 정전기 방지제, 경화제, 현탁제, 광증감제(photosensitizers), 윤활제, 습윤제, 계면활성제, 안료, 염료, 자외선 안정제, 및 산화방지제와 같은 임의의 첨가제들을 추가로 포함할 수 있다. 이 물질들은 원하는 특성을 부여하는데 필요한 양으로 사용된다.Abrasive coatings of the present invention include abrasive particle surface modification additives, binders, fillers, expanding agents, fibers, antistatic agents, curing agents, suspending agents, photosensitizers, lubricants, wetting agents, surfactants, pigments, dyes, ultraviolet stabilizers, and It may further include any additives such as antioxidants. These materials are used in amounts necessary to give the desired properties.

결합제Binder

결합제는 바인더와 연마 입자간의 결합 브리지를 제공할 수 있다. 또한 결합제는 바인더와 충진재 입자간의 결합 브리지를 제공할 수 있다. 결합제의 예에는 실란, 티탄산염 및 지르코알루민산염이 포함된다. 결합제를 도입하는 다양한 방법이 있다. 예를 들면, 결합제를 바인더 전구체에 직접 가할 수 있다. 연마재 피복은 약 0 내지 30 중량%, 바람직하게는 0.1 내지 25 중량% 정도의 결합제를 포함할 수 있다. 또한, 결합제를 충진재 입자 표면에 도포할 수 있다. 또 다른 한가지 방식에서는, 연마 입자를 연마용품 내로 도입하기 전에 그 표면에 결합제를 도포한다. 연마 입자는 연마 입자와 결합제의 중량을 기준으로 약 0 내지 3 중량%의 결합제를 포함할 수 있다. 상업적으로 이용 가능한 결합제의 예에는 오에스아이(OSI)사 제품인 "A174" 및 "A1230"이 포함된다. 상업상 이용 가능한 결합제의 또다른 일 예는 "KR-TTS"라는 상표명으로, 뉴저지주 베이욘에 소재한 켄리치 페트로케미컬사로부터 구입할 수 있는 이소프로필 트리이소스테로일 티타네이트이다.The binder can provide a binding bridge between the binder and the abrasive particles. The binder may also provide a binding bridge between the binder and the filler particles. Examples of binders include silanes, titanates and zircoaluminates. There are various ways of introducing the binder. For example, the binder can be added directly to the binder precursor. The abrasive coating may comprise about 0 to 30% by weight of binder, preferably about 0.1 to 25% by weight of binder. In addition, a binder may be applied to the filler particle surface. In another way, a binder is applied to the surface of the abrasive particles prior to introduction into the abrasive article. The abrasive particles may comprise about 0 to 3 weight percent binder based on the weight of the abrasive particles and binder. Examples of commercially available binders include "A174" and "A1230" from OSI. Another example of a commercially available binder is the isopropyl triisosteroyl titanate, available from Kenrich Petrochemical, Bayonne, NJ under the trade name “KR-TTS”.

충진재Filling

또한, 연마재 피복은 임의로 충진재를 포함할 수 있다. 충진재는 입상의 물질이며 일반적으로 0.1 내지 50 마이크로미터, 전형적으로는 1 내지 30 마이크로미터의 평균 입자 크기를 갖는다. 본 발명에 유용한 충진재의 예에는 금속 탄산염 (예컨대, 탄산칼슘 (초크, 방해석, 이회토, 석회화(travertine), 대리석 및 석회암), 탄산칼슘마그네슘, 탄산나트륨, 탄산마그네슘), 실리카 (예컨대 석영, 유리 비드, 유리 버블 (glass bubbles) 및 유리 섬유), 규산염 (예컨대, 활석, 점토, (몬모릴로나이트) 장석, 운모, 규산칼슘, 칼슘 메타실리케이트, 소디움 알루미노실리케이트, 규산나트륨), 금속 황산염 (예컨대 황산칼슘, 황산바륨, 황산나트륨, 황산알루미늄나트륨, 황산알루미늄), 석고, 질석, 목분, 알루미늄 삼수화물, 카본 블랙, 금속 산화물 (예컨대 산화칼슘 (석회), 산화알루미늄, 산화주석 (예컨대 산화제이주석), 이산화티탄) 및 금속 아황산염 (예컨대 아황산칼슘), 열가소성 수지 입자 (폴리카보네이트, 폴리에테르이미드, 폴리에스테르, 폴리에틸렌, 폴리술폰, 폴리스티렌, 아크릴로니트릴-부타디엔-스티렌 블록 공중합체, 폴리프로필렌, 아세탈 폴리머, 폴리우레탄, 나일론 입자들) 및 열경화성 수지 입자 (예컨대, 페놀계 수지 버블, 페놀계 수지 비드, 폴리우레탄 발포체 입자 등)가 포함된다. 충진재는 또한 할라이드 염과 같은 염일 수도 있다. 할라이드 염의 예에는 염화나트륨, 칼륨 빙정석, 나트륨 빙정석, 암모늄 빙정석, 포타슘 테트라플루오로보레이트, 소디움 테트라플루오로보레이트, 실리콘 플루오라이드, 염화칼륨, 염화마그네슘이 포함된다. 금속 충진재의 예에는 주석, 납, 비스무스, 코발트, 안티몬, 카드뮴, 철, 티타늄이 포함된다. 그 밖의 여러 가지 충진재로는 황, 유기 황화합물, 흑연, 금속 함유 황화합물 등이 포함된다.In addition, the abrasive coating may optionally include a filler. Fillers are granular materials and generally have an average particle size of 0.1 to 50 micrometers, typically 1 to 30 micrometers. Examples of fillers useful in the present invention include metal carbonates (such as calcium carbonate (choke, calcite, marl, travertine, marble and limestone), calcium magnesium carbonate, sodium carbonate, magnesium carbonate), silica (such as quartz, glass beads , Glass bubbles and glass fibers), silicates (such as talc, clay, (montmorillonite) feldspar, mica, calcium silicate, calcium metasilicate, sodium aluminosilicate, sodium silicate), metal sulfates (such as calcium sulfate, Barium sulfate, sodium sulfate, sodium aluminum sulfate, aluminum sulfate), gypsum, vermiculite, wood flour, aluminum trihydrate, carbon black, metal oxides (such as calcium oxide (lime), aluminum oxide, tin oxide (such as tin oxide), titanium dioxide) And metal sulfite (such as calcium sulfite), thermoplastic resin particles (polycarbonate, polyetherimide, polyester, polyethylene, Risulfone, polystyrene, acrylonitrile-butadiene-styrene block copolymers, polypropylene, acetal polymers, polyurethanes, nylon particles) and thermosetting resin particles (e.g. phenolic resin bubbles, phenolic resin beads, polyurethane foam particles) Etc.). The filler may also be a salt such as a halide salt. Examples of halide salts include sodium chloride, potassium cryolite, sodium cryolite, ammonium cryolite, potassium tetrafluoroborate, sodium tetrafluoroborate, silicon fluoride, potassium chloride, magnesium chloride. Examples of metal fillers include tin, lead, bismuth, cobalt, antimony, cadmium, iron, titanium. Other various fillers include sulfur, organic sulfur compounds, graphite, metal-containing sulfur compounds and the like.

현탁제Suspension

현탁제의 일 예는 150 ㎡/g 미만의 표면적을 갖는 무정형 실리카 입자이고, 이는 "OX-50" 이라는 상품명으로, 뉴저지주 릿지필드에 소재한 데굿사 (DeGussa Corp.,)사로부터 입수할 수 있다. 현탁제를 가하면 연마 슬러리의 전체적인 점도를 낮출 수 있다. 현탁제의 사용에 관해서는 미국 특허 제5,368,619호에 더 자세히 기술되어 있다.One example of a suspending agent is amorphous silica particles having a surface area of less than 150 m 2 / g, which is available under the trade name “OX-50” from DeGussa Corp., Ridgefield, NJ. . Adding a suspending agent may lower the overall viscosity of the polishing slurry. The use of suspending agents is described in more detail in US Pat. No. 5,368,619.

경화제Hardener

바인더 전구체는 경화제를 추가로 포함할 수 있다. 경화제는 바인더 전구체가 바인더로 전환될 수 있도록 중합 반응 또는 가교 절차를 개시시키고 완성하는 것을 돕는 물질이다. 경화제란 용어는 개시제, 광개시제(photoinitiators), 촉매 및 활성화제를 포괄한다. 경화제의 양 및 유형은 바인더 전구체의 화학적 성질에 크게 의존할 것이다.The binder precursor may further comprise a curing agent. Curing agents are materials that help initiate and complete a polymerization reaction or crosslinking procedure so that the binder precursor can be converted to a binder. The term curing agent encompasses initiators, photoinitiators, catalysts and activators. The amount and type of curing agent will depend largely on the chemistry of the binder precursor.

자유라디칼 개시제Free radical initiator

바람직한 에틸렌계 불포화 단량체(들) 또는 올리고머(들)의 중합반응은 자유-라디칼 메커니즘을 통해 일어난다. 에너지원이 전자빔이면, 전자빔은 중합반응을 개시시키는 자유-라디칼을 생성시킨다. 그러나, 바인더 전구체를 전자빔에 노출시키는 것이라도 개시제를 사용하는 것은 본 발명의 영역에 속한다. 에너지원이 열, 자외선, 또는 가시광선이면, 자유-라디칼을 생성시키기 위해 개시제가 존재해야만 할 수도 있다. 자외선 또는 열에 노출될 때 자유-라디칼을 생성시키는 개시제 (즉, 광개시제)의 예로는 유기 과산화물, 아조 화합물, 퀴논, 니트로소 화합물, 아실 할라이드, 하이드라존, 메르캅토 화합물, 피릴륨 화합물, 이미다졸, 클로로트리아진, 벤조인, 벤조인 알킬 에테르, 디케톤, 페논 및 이들의 혼합물이 포함되지만 이들에 제한되지는 않는다. 자외선에 노출될 때 자유 라디칼을 생성시키는 광개시제로서 상업적으로 이용 가능한 것들의 예에는 뉴저지주 호손에 소재하는 시바 가이기사로부터 구입할 수 있는 IGACURE 651 및 IGACURE 184, 및 머크사로부터 구입할 수 있는 DAROCUR 1173이 포함된다. 가시광선에 노출될 때 자유 라디칼을 생성시키는 개시제의 예들은 미국 특허 제4,735,632호에서 찾아볼 수 있다. 가시광선에 노출될 때 자유 라디칼을 생성시키는 또다른 광개시제는 IRGACURE 369라는 상표명을 가지며, 이는 시바 가이기사로부터 입수할 수 있다.The polymerization of the preferred ethylenically unsaturated monomer (s) or oligomer (s) takes place via a free-radical mechanism. If the energy source is an electron beam, the electron beam produces free-radicals that initiate the polymerization reaction. However, the use of an initiator even if exposing the binder precursor to an electron beam is within the scope of the present invention. If the energy source is heat, ultraviolet light, or visible light, an initiator may have to be present to generate free-radicals. Examples of initiators (ie photoinitiators) that generate free-radicals when exposed to ultraviolet or heat include organic peroxides, azo compounds, quinones, nitroso compounds, acyl halides, hydrazones, mercapto compounds, pyryllium compounds, imidazoles , Chlorotriazine, benzoin, benzoin alkyl ethers, diketones, phenones, and mixtures thereof, are included, but are not limited to these. Examples of those commercially available as photoinitiators that generate free radicals when exposed to ultraviolet light include IGACURE 651 and IGACURE 184 available from Ciba Gaiji, Hawthorne, New Jersey, and DAROCUR 1173 available from Merck. do. Examples of initiators that generate free radicals when exposed to visible light can be found in US Pat. No. 4,735,632. Another photoinitiator that generates free radicals when exposed to visible light bears the trade name IRGACURE 369, which is available from Ciba Geiza.

전형적으로, 개시제는 바인더 전구체의 중량을 기준으로 0.1 내지 10 중량%, 바람직하게는 2 내지 4 중량%의 양으로 사용된다. 또한, 연마 입자 및(또는) 충진재 입자와 같은 임의의 입상 물질을 첨가하기 전에, 개시제를 바인더 전구체 내에 분산시키는 (바람직하게는 균일하게 분산) 것이 바람직하다.Typically, the initiator is used in an amount of 0.1 to 10% by weight, preferably 2 to 4% by weight, based on the weight of the binder precursor. It is also desirable to disperse (preferably uniformly) the initiator in the binder precursor prior to adding any particulate matter such as abrasive particles and / or filler particles.

일반적으로, 바인더 전구체를 복사에너지, 바람직하게는 자외선 또는 가시 광선에 노출시키는 것이 바람직하다. 어떤 경우, 특정 연마 입자들 및(또는) 특정 첨가제는 자외선 및 가시광선을 흡수하여 바인더 전구체를 온전하게 경화시키는 것을 어렵게 만든다. 이 현상은 특히 산화세륨 연마 입자 및 탄화규소 연마 입자의 경우에 잘 나타난다. 상당히 예상외로, 포스페이트를 함유하는 광개시제, 특히 아실포스핀 옥사이드를 함유하는 광개시제를 사용할 경우 이 문제를 극복하는 경향이 있는 것을 발견하였다. 이러한 광개시제의 일 예는 LUCIRIN TPO라는 상품명으로, 노스캐롤라이나주 샬럿에 소재한 바스프사로부터 구입할 수 있는 2,4,6-트리메틸벤조일디페닐포스핀 옥사이드이다. 상업적으로 이용 가능한 아실포스핀 옥사이드의 기타 예에는 DAROCUR 4263 및 DAROCUR 4265 (모두 머크사로부터 입수 가능)가 포함된다.In general, it is desirable to expose the binder precursor to radiant energy, preferably ultraviolet or visible light. In some cases, certain abrasive particles and / or certain additives absorb ultraviolet and visible light, making it difficult to fully cure the binder precursor. This phenomenon is particularly well seen in the case of cerium oxide abrasive particles and silicon carbide abrasive particles. Quite unexpectedly, it has been found that the use of photoinitiators containing phosphates, in particular those containing acylphosphine oxide, tends to overcome this problem. One example of such a photoinitiator is 2,4,6-trimethylbenzoyldiphenylphosphine oxide, available from BASF Corporation, Charlotte, NC under the trade name LUCIRIN TPO. Other examples of commercially available acylphosphine oxides include DAROCUR 4263 and DAROCUR 4265 (both available from Merck).

광증감제Photosensitizer

임의로, 경화 가능한 복합재는 공기 중에서든 또는 질소와 같은 불활성 기체 환경에서든 중합 반응에 영향을 주는 광증감제 또는 광개시제 시스템을 포함할 수 있다. 이들 광증감제 또는 광개시제 시스템은 카르보닐기 또는 t-아미노기 및 이들의 혼합물을 갖는 화합물을 포함한다. 카르보닐기를 갖는 바람직한 화합물들로는 벤조페논, 아세토페논, 벤질, 벤즈알데히드, o-클로로벤즈알데히드, 크산톤, 티오크산톤, 9,10-안트라퀴논 및 광증감제로 작용할 수 있는 기타 방향족 케톤이 있다. 바람직한 t-아민들로는 메틸디에탄올아민, 에틸디에탄올아민, 트리에탄올아민, 페닐메틸에탄올아민 및 디메틸아미노에틸벤조에이트가 있다. 일반적으로, 광증감제 또는 광개시제 시스템의 양은 바인더 전구체의 중량을 기준으로 약 0.01 내지 10 중량%, 더욱 바람직하게는 0.25 내지 4.0 중량%의 범위에서 변할 수 있다. 광증감제의 예로는 QUANTICURE ITX, QUANTICURE QTX, QUANTICURE PTX 및 QUANTICURE EPD가 포함된다 (모두 비들 쏘오여(Biddle Sawyer Corp.) 사로부터 구입 가능함).Optionally, the curable composite can include a photosensitizer or photoinitiator system that affects the polymerization reaction, whether in air or in an inert gas environment such as nitrogen. These photosensitizers or photoinitiator systems include compounds having a carbonyl or t-amino group and mixtures thereof. Preferred compounds having carbonyl groups include benzophenone, acetophenone, benzyl, benzaldehyde, o-chlorobenzaldehyde, xanthone, thioxanthone, 9,10-anthraquinone and other aromatic ketones which can act as photosensitizers. Preferred t-amines are methyl diethanolamine, ethyl diethanolamine, triethanolamine, phenylmethylethanolamine and dimethylaminoethylbenzoate. In general, the amount of photosensitizer or photoinitiator system may vary in the range of about 0.01 to 10% by weight, more preferably 0.25 to 4.0% by weight, based on the weight of the binder precursor. Examples of photosensitizers include QUANTICURE ITX, QUANTICURE QTX, QUANTICURE PTX and QUANTICURE EPD (all available from Biddle Sawyer Corp.).

본 발명에 따른 연마용품은 연마재 피복이 결합된 배면재를 포함한다. 연마재 피복은 형상을 갖는 다수의 연마 복합재를 포함하는 것이 바람직하다. 이 연마 복합재들은 명확한 형상 또는 불규칙한 형상을 가질 수 있다. 명확한 형상을 갖는 복합재가 더 균일하고 일관성이 있으므로 연마 복합재는 명확한 형상을 갖는 것이 바람직하다.The abrasive article according to the present invention comprises a backing material bonded to an abrasive coating. The abrasive coating preferably includes a plurality of abrasive composites in shape. These abrasive composites can have a clear or irregular shape. Since the composite having a clear shape is more uniform and consistent, it is preferable that the abrasive composite has a clear shape.

도면과 관련하여 살펴보면, 본 발명에 따른 연마용품의 바람직한 일 실시 태양 (10)이 제1도 및 제2도에 각각 평면도 및 확대 단면도로 도시되어 있다. 연마용품 (10)은 배면재 (12)를 포함하며, 이 배면재는 그 주요 표면 중 하나의 표면상에 연마 복합재 (16)를 지니고 있다. 연마 복합재 (16)는 바인더 (15) 내에 분산된 다수의 연마 입자들 (14)을 포함한다. 연마 입자들 (14)은 상이한 연마 물질들의 혼합물일 수 있다. 바인더 (15)는 배면재 (12)에 연마 복합재 (16)를 결합시키는데 사용될 수 있다. 프리사이즈 (presize) 피복 또는 결합층 (tie layer) (13)을 임의로 연마 복합재 (16)와 배면재 (12) 사이에 삽입할 수 있다.Referring to the drawings, one preferred embodiment 10 of an abrasive article according to the present invention is shown in plan views and enlarged cross-sectional views, respectively, in FIGS. 1 and 2. The abrasive article 10 includes a backing 12, which has an abrasive composite 16 on one of its major surfaces. The abrasive composite 16 includes a plurality of abrasive particles 14 dispersed in the binder 15. The abrasive particles 14 may be a mixture of different abrasive materials. Binder 15 may be used to bond abrasive composite 16 to backing 12. A presize coating or tie layer 13 may optionally be inserted between the abrasive composite 16 and the backing 12.

연마 복합재 (16)는 바람직하게는 식별 가능한 형상을 갖는다. 처음에는, 연마 입자가 바인더 (15) 표면 밖으로 드러나도록 나와있지 않은 것이 바람직하다. 연마용품 (10)을 사용하여 표면이 마모되면 복합재가 붕괴되어 사용되지 않은 연마 입자들 (14)이 드러난다.The abrasive composites 16 preferably have an identifiable shape. Initially, it is preferred that the abrasive particles not come out of the surface of the binder 15. Wearing the surface with the abrasive article 10 causes the composite to collapse, revealing unused abrasive particles 14.

연마 복합재는 임의의 형상을 가질 수 있다. 전형적으로는, 배면재와 접촉하는 형상의 바닥면의 단면 표면적이 배면재로부터 떨어져 있는 복합재의 말단부 단면 표면적보다 크다. 복합재의 형상은 입방형, 블록과 유사한 형상, 실린더형, 프리즘형, 직사각형, 피라미드형, 잘려진 피라미드형, 원뿔형, 잘려진 원뿔형, 십자형, 최상부 면이 평평한 기둥 모양의 형상과 같은 다수의 기하학적 형상들로부터 선택될 수 있다. 또다른 일 형상은 반구형이고 이는 PCT 제WO95/22436호에 더 자세히 기술되어 있다. 결과로 얻어지는 연마용품은 상이한 연마 복합재 형상들의 혼합물을 가질 수 있다.The abrasive composites can have any shape. Typically, the cross-sectional surface area of the bottom surface of the shape in contact with the backing material is greater than the distal end surface area of the composite away from the backing material. The shape of the composite is from a number of geometries such as cubic, block-like, cylindrical, prismatic, rectangular, pyramid, truncated pyramid, cone, truncated cone, cross, and columnar flat top. Can be selected. Another shape is hemispherical and this is described in more detail in PCT WO 95/22436. The resulting abrasive article may have a mixture of different abrasive composite shapes.

연마 복합재의 밑면들은 서로 접할 수 있고, 또는 인접한 연마 복합재의 밑면들이 특정 거리만큼 서로 떨어져 있을 수 있다. 이러한 접경의 정의는 또한 인접한 복합재들이 공통의 연마 물질 영역을 공유하는 배열 또는 복합재간의 마주보는 측면들을 접촉시키고 확장해주는 브리지와 같은 구조를 포함하는 것을 이해할 수 있을 것이다. 연마 물질 영역은 연마 복합재를 형성하는데 사용되는 것과 같은 연마 슬러리로부터 형성된다. 복합재들의 중심간에 그어진 가상의 직선 상에 어떠한 복합재도 중간에 끼어있지 않다는 의미에서 복합재들은 "인접"한다.The bottoms of the abrasive composites may abut one another, or the bottoms of adjacent abrasive composites may be separated from each other by a certain distance. It will be appreciated that this definition of border also includes an arrangement, such as a bridge, in which adjacent composites share a common abrasive material area or bridge and contact opposite sides between the composites. The abrasive material region is formed from an abrasive slurry such as used to form an abrasive composite. Composites are "adjacent" in the sense that no composite is sandwiched in a virtual straight line drawn between the centers of the composites.

제2도에 나타낸 바와 같이, 연마 복합재 (16)의 바람직한 일 형상은 일반적으로 평평한 상부 (18)와 바깥쪽으로 벌어진 밑면 (20)을 갖는 잘려진 피라미드 형상이다. 피복된 연마용품 (10) 전반에 걸쳐 연마 복합재 (16)의 높이 H를 일정하게 하는 것이 바람직하나, 다양한 높이의 연마 복합재를 갖는 것도 가능하다. 복합재의 높이 H는 약 10 내지 1500 마이크로미터, 바람직하게는 약 25 내지 1000 마이크로미터, 더욱 바람직하게는 약 100 내지 600 마이크로미터, 가장 바람직하게는 약 300 내지 500 마이크로미터의 값을 가질 수 있다.As shown in FIG. 2, one preferred shape of the abrasive composite 16 is a truncated pyramid shape having a generally flat top 18 and an outwardly flanked bottom 20. Although it is desirable to make the height H of the abrasive composite 16 constant throughout the coated abrasive article 10, it is also possible to have abrasive composites of various heights. The height H of the composite may have a value of about 10 to 1500 micrometers, preferably about 25 to 1000 micrometers, more preferably about 100 to 600 micrometers and most preferably about 300 to 500 micrometers.

인접한 연마 복합재의 밑면들 (20)은 랜드 영역(land area) (22)에 의해 서로 분리되어 있는 것이 바람직하다. 어떠한 이론에 구속되는 것을 바라지는 않지만, 이 랜드 영역 (22) 또는 분리 간격이 유체 매질로 하여금 연마 복합재 사이를 자유롭게 흐를 수 있도록 하는 수단을 제공하는 것으로 여겨진다. 그리고 이러한 유체 매질의 자유로운 흐름이 유리 폴리싱 과정 동안 더 나은 절삭 속도, 표면 마무리 상태 또는 평탄도 증가에 기여하는 경향이 있는 것으로 여겨진다. 연마 복합재간의 간격은 직선 1㎝당 연마 복합재 약 1개 내지 100개, 바람직하게는 직선 1㎝당 연마 복합재 약 5 내지 20개, 더욱 바람직하게는 직선 1㎝당 연마 복합재 약 5 내지 10개, 가장 바람직하게는 직선 1㎝당 연마 복합재 약 6-7개 범위의 값을 갖는다.The bottoms 20 of adjacent abrasive composites are preferably separated from each other by land areas 22. While not wishing to be bound by any theory, it is believed that this land region 22 or separation gap provides a means by which the fluid medium can flow freely between the abrasive composites. And it is believed that this free flow of fluid medium tends to contribute to better cutting speed, surface finish or flatness during the glass polishing process. The spacing between the abrasive composites is about 1 to 100 abrasive composites per cm straight, preferably about 5 to 20 abrasive composites per cm straight, more preferably about 5 to 10 abrasive composites per cm straight Preferably it has a value in the range of about 6-7 abrasive composites per cm of straight line.

연마용품의 일 실시 태양에 있어서, 간격 면적은 5 복합재/㎠ 이상, 바람직하게는 100 복합재/㎠ 이상이다. 본 발명의 또다른 일 실시 태양에 있어서, 간격 면적은 약 1 내지 12,000 복합재/㎠의 값을 갖는다.In one embodiment of the abrasive article, the spacing area is at least 5 composites / cm 2, preferably at least 100 composites / cm 2. In another embodiment of the present invention, the spacing area has a value of about 1 to 12,000 composites / cm 2.

직사각형 또는 잘려진 피라미드 형상을 사용할 경우 일반적으로 밑면 (20)은 약 100 내지 500 마이크로미터의 길이를 갖는다. 연마 복합재를 이루는 면들은 곧거나 경사질 수 있다. 면이 경사진 경우, 아래에 기술하는 바와 같이 생산용구의 공동으로부터 연마 복합재 (16)를 제거하는 것이 일반적으로 더 쉽다. 제2도의 각 "A"는 연마 복합재(16)와 랜드 영역 (22)이 접하는 지점에서 연마 복합재 (16)의 밑면 (20)을 가로지르는 가상의 수직선, 즉 랜드 영역 (22)에 대한 가상의 수직선으로부터 측정한다. 각 "A"는 약 1 내지 75도, 바람직하게는 약 2 내지 50도, 더욱 바람직하게는 약 3 내지 35도 및 가장 바람직하게는 약 5 내지 15도의 범위를 가질 수 있다.The base 20 generally has a length of about 100 to 500 micrometers when using a rectangular or truncated pyramid shape. The faces that make up the abrasive composite can be straight or inclined. When the face is inclined, it is generally easier to remove the abrasive composite 16 from the cavity of the production tool as described below. Each “A” in FIG. 2 is an imaginary vertical line across the underside 20 of the abrasive composite 16, ie, the land region 22, at the point where the abrasive composite 16 is in contact with the land region 22. Measure from the vertical line. Each "A" may have a range of about 1 to 75 degrees, preferably about 2 to 50 degrees, more preferably about 3 to 35 degrees and most preferably about 5 to 15 degrees.

폴리싱 절차에서 연마용품 배면재 (12)는 예컨대 쇼어 A 경도가 약 90 듀로미터인 우레탄 배면재 패드 또는 쇼어 A 경도가 약 65 듀로미터인 실리콘 발포체 패드와 같은 배면재 패드 (24)에 부착된다. 연마용품 배면재 (12)는 감압접착제로 배면재 패드 (24)상에 직접 부착할 수 있다. 배면재 패드 (24)는 폴리싱 동안 연마용품에 대해 쿠션 작용을 하는 발포체 패드 (26)에 부착된다. 그 다음 연마용품을 포함한 발포체 패드 (26)는 폴리셔 플랫폼 (28)상에 설치된다.In the polishing procedure, the abrasive article backing 12 is attached to a backing pad 24 such as, for example, a urethane backing pad having a Shore A hardness of about 90 durometer or a silicone foam pad having a Shore A hardness of about 65 durometer. The abrasive article backing material 12 can be attached directly onto the backing pad 24 with a pressure sensitive adhesive. Back pad 24 is attached to foam pad 26 that cushions the abrasive article during polishing. Foam pads 26, including the abrasive article, are then installed on the polisher platform 28.

제3도 및 제4도에 관하여 살펴보면, 본 발명에 따른 연마용품의 또다른 바람직한 일 실시 태양 (10')이 제3도 및 제4도에 각각 평면도 및 확대 단면도로 도시되어 있다. 이 실시 태양에 있어서, 제4도에 나타난 바와 같이 연마 복합재 (16')는 반구형 형상을 갖는다. 연마용품 (10')은 직조된 폴리에스테르 배면재 (12')를 포함하며, 이 배면재는 그 주요 표면 중 하나의 표면이 열가소성 폴리에스테르 프리사이즈 피복 (13')으로 밀봉되어 있다. 경화된 프리사이즈 피복 (13')에 연마 입자 및 바인더 전구체를 포함하는 슬러리를 체(screen) (도시되지 않음)를 통해 도포한다. 반구형 연마 복합재 (16')들은 크기 및 형상이 다양할 수 있으며 프리사이즈 피복 (13')상에 불규칙적으로 또는 균일하게 분포될 수 있다. 바람직하게는, 반구형 연마 복합재 (16')는 제3도와 같은 평면도에서 원형으로 나타나고 같은 직경을 갖는다.With reference to FIGS. 3 and 4, another preferred embodiment 10 ′ of an abrasive article according to the present invention is shown in FIGS. 3 and 4 in plan and enlarged cross-sectional views, respectively. In this embodiment, the abrasive composite 16 'has a hemispherical shape as shown in FIG. The abrasive article 10 'comprises a woven polyester backing 12', which is sealed on one of its major surfaces with a thermoplastic polyester presized sheath 13 '. A slurry comprising abrasive particles and a binder precursor is applied to the cured presized coating 13 'through a screen (not shown). The hemispherical abrasive composites 16 'may vary in size and shape and may be distributed irregularly or uniformly on the presized sheath 13'. Preferably, the hemispherical abrasive composite 16 'appears circular in the top view as shown in FIG. 3 and has the same diameter.

각각의 연마 복합재의 형상과는 관계없이, 바람직하게는 배면재 표면적 중 약 20 내지 90%, 더욱 바람직하게는 약 40 내지 70%, 가장 바람직하게는 약 50 내지 60%가 연마 복합재로 덮이게 된다. 또한, 밑면과 상부면 간의 표면적 차이는 바람직하게는 약 0 내지 60%, 더욱 바람직하게는 약 0 내지 40%, 가장 바람직하게는 약 0 내지 20%이다.Regardless of the shape of each abrasive composite, preferably about 20-90%, more preferably about 40-70%, most preferably about 50-60% of the backing surface area is covered with the abrasive composite. . In addition, the surface area difference between the bottom and the top surface is preferably about 0 to 60%, more preferably about 0 to 40%, most preferably about 0 to 20%.

명확한 형상을 갖는 연마 복합재의 제조방법Manufacturing method of abrasive composites having a clear shape

연마용품을 제조하는 첫 번째 단계는 연마 슬러리를 제조하는 것이다. 연마 슬러리는 바인더 전구체, 연마 입자 및 임의의 첨가제들을 임의의 적절한 혼합 기술로 함께 섞어주어 제조한다. 혼합 기술의 예에는 저전단(low shear) 및 고전단 (high shear) 혼합이 포함되며, 고전단 혼합이 바람직하다. 또한 연마 슬러리의 점도를 낮추기 위해 초음파 에너지도 혼합 단계와 함께 사용될 수 있다. 전형적으로는, 연마 입자들을 바인더 전구체내로 점차적으로 가한다. 연마 슬러리는 바인더 전구체, 연마 입자들 및 임의의 첨가제의 균질한 혼합물인 것이 바람직하다. 필요한 경우 점도를 낮추기 위해 물 및(또는) 용매를 가할 수 있다. 연마 슬러리 중의 기포의 양은 혼합 단계 도중 또는 후에 진공으로 뽑아냄으로써 최소화시킬 수 있다. 어떤 경우, 점도를 낮춰 주기 위해 연마 슬러리를 가열(일반적으로 약 30 내지 70℃)하는 것이 바람직하다. 피복이 잘 이루어지는 유변학적 특성을 갖는지, 그리고 피복 전에 연마 입자들 및 기타 충진재들이 가라앉지 않았는지 확인하기 위해 피복 전에 연마 슬러리를 모니터 하는 것이 중요하다.The first step in making an abrasive article is to prepare an abrasive slurry. The abrasive slurry is prepared by mixing the binder precursor, abrasive particles and any additives together by any suitable mixing technique. Examples of mixing techniques include low shear and high shear mixing, with high shear mixing being preferred. Ultrasonic energy can also be used with the mixing step to lower the viscosity of the polishing slurry. Typically, abrasive particles are gradually added into the binder precursor. The polishing slurry is preferably a homogeneous mixture of binder precursor, abrasive particles and optional additives. If necessary, water and / or solvent may be added to lower the viscosity. The amount of bubbles in the polishing slurry can be minimized by drawing off in vacuum during or after the mixing step. In some cases, it is desirable to heat the polishing slurry (generally about 30 to 70 ° C.) to lower the viscosity. It is important to monitor the polishing slurry before coating to ensure that the coating has good rheological properties and that the abrasive particles and other fillers have not settled before coating.

이 방법에 따르면 일반적으로 명확한 형상을 갖는 연마 복합재를 얻는다. 명확한 형상을 얻기 위해, 연마 슬러리가 생산용구의 공동들 내에 존재하는 동안 바인더 전구체를 실질적으로 고화 또는 경화시킨다. 별법으로, 실질적인 경화 전에 바인더 전구체로부터 생산용구를 제거하면, 무너져 내린 어느 정도 불규칙한 형상을 갖는 측면들이 생긴다.According to this method, an abrasive composite generally having a definite shape is obtained. To obtain a clear shape, the binder precursor is substantially solidified or cured while the abrasive slurry is present in the cavities of the production tool. Alternatively, removal of the product from the binder precursor prior to substantial curing results in flanks having some irregular shape that collapses.

명확한 형상을 갖는 연마 복합재를 포함하는 연마용품의 바람직한 생산방법은 다수의 공동을 포함하는 생산용구를 사용한다. 이 공동들은 본질적으로 원하는 연마 복합재의 역형상이고, 연마 복합재의 형상을 생성한다. 단위 면적당 공동들의 수에 따라 연마용품은 단위 면적당 해당하는 수만큼의 연마 복합재를 갖는다. 이 공동들은 실린더형, 돔형, 피라미드형, 직사각형, 잘려진 피라미드형, 프리즘형, 입방형, 원뿔형, 잘려진 원뿔형 또는 상부 면의 절단면이 삼각형, 정사각형, 원형, 직사각형, 육각형, 팔각형 등인 임의의 형상과 같은 기하학적 형상을 가질 수 있다. 공동의 치수는 단위 면적당 원하는 수만큼의 연마 복합재를 얻을 수 있도록 선택한다. 공동들은 인접한 공동들간에 간격을 가진 점과 같은 패턴으로 존재할 수 있고 또는 서로간에 인접할 수 있다.A preferred method of producing an abrasive article comprising an abrasive composite having a definite shape uses a production tool comprising a plurality of cavities. These cavities are essentially the inverse of the desired abrasive composite and create the shape of the abrasive composite. Depending on the number of cavities per unit area, the abrasive article has a corresponding number of abrasive composites per unit area. These cavities can be cylindrical, domed, pyramid, rectangular, truncated pyramid, prismatic, cubic, conical, truncated cone, or any shape whose top face is triangular, square, circular, rectangular, hexagonal, octagonal, etc. It may have a geometric shape. The dimensions of the cavity are chosen to yield the desired number of abrasive composites per unit area. The cavities can be in the same pattern as a spaced point between adjacent cavities or can be adjacent to each other.

연마 슬러리는 다이 피복(die coating), 진공 다이 피복, 스프레이, 롤 피복, 이송 피복(transfer coating), 칼날 피복(knife coating) 등과 같은 임의의 통상적인 기술로 생산용구의 공동들 내로 피복될 수 있다. 생산용구가 평평한 상부면 또는 비교적 곧은 측면을 갖는 공동들을 포함하는 경우 기포가 갇히는 것을 최소화하기 위해 피복과정 동안 진공을 사용하는 것이 바람직하다.The polishing slurry may be coated into the cavities of the production tool by any conventional technique such as die coating, vacuum die coating, spray, roll coating, transfer coating, knife coating, or the like. . If the production tool comprises cavities having a flat top or relatively straight side, it is desirable to use a vacuum during the coating process to minimize trapping of the bubbles.

생산용구는 벨트, 시트(sheet), 연속적인 시트 또는 웹(web), 윤전그라비야 롤(rotogravure roll)과 같은 피복 롤, 피복 롤상에 설치된 슬리브 또는 다이일 수 있다. 생산용구는 금속 (니켈 도금한 표면을 갖는 것을 포함), 금속 합금, 세라믹 또는 플라스틱으로 구성될 수 있다. 생산용구, 그의 제조, 재료 등에 관한 더 자세한 내용은 미국 특허 제5,152,917호 (피이퍼(Pieper) 등) 및 제5,435,816호 (스퍼젼(Spurgeon) 등)에서 찾아볼 수 있다. 바람직한 일 생산용구는 금속 원판으로부터 부조세공을 한 열가소성 수지 생산용구이다.The production tool may be a belt, sheet, continuous sheet or web, coating rolls such as rotogravure rolls, sleeves or dies installed on the coating rolls. The production tool may consist of metal (including those with nickel plated surfaces), metal alloys, ceramics or plastics. More detailed information on production tools, their manufacture, materials and the like can be found in US Pat. Nos. 5,152,917 (Pieper et al.) And 5,435,816 (Spurgeon et al.). One preferred production tool is a thermoplastic resin production tool that has been embossed from a metal disc.

연마 슬러리가 열경화성 바인더 전구체를 포함하는 경우, 바인더 전구체를 경화 또는 중합한다. 이 중합반응은 일반적으로 에너지원에 노출시킴으로써 개시된다. 일반적으로, 에너지의 양은 바인더 전구체의 화학적 성질, 연마 슬러리의 치수, 연마 입자의 양 및 유형 그리고 임의의 첨가제의 양 및 유형과 같은 몇 가지 요인들에 의존한다. 복사에너지는 바람직한 에너지원이다. 복사에너지원은 전자빔, 자외선, 또는 가시 광선을 포함한다. 전자빔 (이온화) 복사는 약 0.1 내지 10 Mrad, 바람직하게는 약 0.1 내지 10 Mrad의 에너지 수준으로 사용될 수 있다. 자외선 복사는 약 200 내지 400 나노미터, 바람직하게는 약 250 내지 400 나노미터 범위내의 파장을 갖는 불특정 복사를 말한다. 복사원의 바람직한 출력은 118 내지 236 Watt/㎝이다. 가시광선 복사는 약 400 내지 800 나노미터, 바람직하게는 약 400 내지 550 나노미터 범위내의 파장을 갖는 불특정 복사를 말한다.If the polishing slurry comprises a thermosetting binder precursor, the binder precursor is cured or polymerized. This polymerization is usually initiated by exposure to an energy source. In general, the amount of energy depends on several factors, such as the chemical nature of the binder precursor, the dimensions of the abrasive slurry, the amount and type of abrasive particles, and the amount and type of any additives. Radiant energy is a preferred energy source. Radiant energy sources include electron beams, ultraviolet light, or visible light. Electron beam (ionized) radiation can be used at an energy level of about 0.1 to 10 Mrad, preferably about 0.1 to 10 Mrad. Ultraviolet radiation refers to unspecific radiation having a wavelength in the range of about 200 to 400 nanometers, preferably about 250 to 400 nanometers. The preferred power of the radiation source is 118 to 236 Watt / cm. Visible light radiation refers to unspecific radiation having a wavelength in the range of about 400 to 800 nanometers, preferably about 400 to 550 nanometers.

생산용구가 피복된 후, 연마 슬러리가 배면재 앞면을 적실 수 있도록 배면재 및 연마 슬러리를 임의의 수단에 의해 접촉시킨다. 연마 슬러리를 예컨대 접촉 물림 롤(contact nip roll)의 수단에 의해 배면재와 접촉시킨다. 그 다음, 본 명세서에서 기술된 바와 같은, 일정 형태의 복사에너지를 에너지원에 의해 연마 슬러리로 전달시켜 바인더 전구체를 최소한 부분적으로 경화시킨다. 예를 들면, 생산용구는 그 공동 안에 담겨진 슬러리에 복사광이 전달될 수 있도록 투명한 물질 (예컨대, 폴리에스테르, 폴리에틸렌 또는 폴리프로필렌)일 수 있다. "부분 경화"란 용어는 연마 슬러리를 생산용구로부터 제거할 때 바인더 전구체가 흐르지 않는 정도의 상태까지 중합되었다는 것을 뜻한다. 바인더 전구체가 완전히 경화되지 않은 경우, 이것을 생산용구로부터 제거한 다음 임의의 에너지원으로 완전히 경화시킬 수 있다. 이러한 바람직한 방법에 따라 연마용품을 제조하기 위한 생산용구의 사용에 대한 기타 자세한 것들은 미국 특허 제5,152,917호 (피이퍼 등) (여기에서 생산된 피복 연마용구는 생산용구의 역 복제임) 및 제5,435,816호 (스퍼젼 등)에 더 자세히 기술되어 있다.After the production tool is coated, the backing material and the polishing slurry are contacted by any means so that the polishing slurry wets the front face of the backing material. The abrasive slurry is contacted with the backing material, for example by means of a contact nip roll. Thereafter, some form of radiant energy, as described herein, is transferred to the polishing slurry by an energy source to at least partially cure the binder precursor. For example, the production tool may be a transparent material (eg, polyester, polyethylene or polypropylene) to allow radiant light to be transferred to the slurry contained in the cavity. The term "partially hardened" means that the polymer is polymerized to the extent that the binder precursor does not flow when the polishing slurry is removed from the production tool. If the binder precursor is not fully cured, it can be removed from the production tool and then completely cured with any energy source. Other details of the use of the production tool for producing abrasive articles according to this preferred method are described in US Pat. Nos. 5,152,917 (Pieper et al.) (Coated abrasives produced here are reverse replicas of production tools) and 5,435,816. (Spursion, etc.).

이러한 첫 번째 방법의 또다른 일 변형에서, 연마 슬러리를 생산용구의 공동 속으로가 아닌 배면재 상에 피복할 수 있다. 그 다음 연마 슬러리가 피복된 배면재를 연마 슬러리가 생산용구의 공동 내로 흘러 들어갈 수 있도록 생산용구와 접촉시킨다. 연마용구를 만들기 위한 나머지 단계들은 위에서 기술한 바와 같다. 이 방법과 관련하여, 바인더 전구체를 복사에너지에 의해 경화시키는 것이 바람직하다. 복사에너지는 배면재 및(또는) 생산용구를 통과하여 전달될 수 있다. 만약 복사에너지가 배면재 또는 생산용구를 통과하여 전달된다면, 배면재 또는 생산용구는 상당한 정도로 복사에너지를 흡수하여서는 안된다. 또한, 복사에너지원은 상당한 정도로 배면재 또는 생산용구를 붕괴시켜서는 안된다. 예컨대 자외선은 폴리에스테르 필름 배면재를 통과하여 전달될 수 있다.In another variant of this first method, the polishing slurry can be coated onto the backing material rather than into the cavity of the production tool. The backing material coated with the polishing slurry is then contacted with the production tool to allow the polishing slurry to flow into the cavity of the production tool. The remaining steps for making the abrasive tool are as described above. In connection with this method, it is preferable to cure the binder precursor by radiant energy. Radiant energy may be transmitted through the backing material and / or the production tool. If radiant energy is transferred through the backing material or production equipment, the backing material or production equipment should not absorb radiation energy to a significant extent. In addition, the source of radiant energy should not significantly disrupt the backing material or production equipment. For example, ultraviolet light can be transmitted through the polyester film backing material.

별법으로, 생산용구가 폴리에틸렌, 폴리프로필렌, 폴리에스테르, 폴리카보네이트, 폴리(에테르 술폰), 폴리(메틸 메타크릴레이트), 폴리우레탄, 폴리비닐클로라이드 또는 이들의 조합과 같은 일정한 열가소성 재료로 만들어질 때, 자외선 또는 가시광선은 생산용구를 통과하여 연마 슬러리 내로 전달될 수 있다. 어떤 경우, 열가소성 수지 생산용구 내로 자외선 안정화제 및(또는) 산화방지제를 도입하는 것이 바람직하다. 변형이 쉬운 재료일수록 가공 절차가 용이하다. 열가소성 수지를 기재로 한 연마용구의 경우, 연마용구를 만들기 위한 작업 조건은 과다한 열이 발생되지 않도록 설정되어야 한다. 지나친 열이 발생하면, 열가소성 수지 용구를 변형시키거나 용융시킬 수 있다. Alternatively, when the production tool is made of a constant thermoplastic material such as polyethylene, polypropylene, polyester, polycarbonate, poly (ether sulfone), poly (methyl methacrylate), polyurethane, polyvinylchloride or a combination thereof Ultraviolet or visible light may pass through the production tool and into the polishing slurry. In some cases, it is desirable to introduce ultraviolet stabilizers and / or antioxidants into the thermoplastic resin production tool. The easier the material to deform, the easier the processing procedure. In the case of a polishing tool based on a thermoplastic resin, the operating conditions for making the polishing tool should be set so that excessive heat is not generated. If excessive heat is generated, the thermoplastic resin tool may be deformed or melted.

연마용품이 만들어진 다음, 사용 전에 적절한 형태(형상)으로 전환시키기에 앞서 이를 구부리거나 습화(humidified) 할 수 있다.After the abrasive article is made, it may be bent or wetted prior to conversion to the appropriate form (shape) prior to use.

연마용품을 제조하는 또다른 한 방법은 배면재에 다수의 연마재 덩어리를 결합시키는 것이다. 이들 연마재 덩어리는 첫 번째 바인더에 의해 함께 결합되어 형상을 갖춘 덩어리로 성형된 다수의 연마 입자들을 포함한다. 생성된 연마재 덩어리를 두 번째 바인더 전구체 내에 분산시키고 배면재위에 피복한다. 두 번째 바인더 전구체를 고화시켜 바인더를 형성하고 연마재 덩어리를 배면재에 결합시킨다.Another method of making an abrasive article is to join a plurality of lumps of abrasive to the backing material. These abrasive masses comprise a plurality of abrasive particles joined together by a first binder and shaped into a shaped mass. The resulting abrasive mass is dispersed in a second binder precursor and coated on the backing material. The second binder precursor solidifies to form a binder and bonds the abrasive mass to the backing material.

연마재 덩어리는 이상에서 기술된 임의의 첨가제를 포함할 수 있다. 연마재 덩어리는 사용하는 동안 붕괴되도록 원하는 마모 속도를 가져야 한다. 다시 언급하면, 이 마모 속도는 연마 입자의 유형, 첫 번째 바인더 유형, 첨가제의 유형 및 이들의 비율에 의해 결정될 수 있다.The abrasive mass may comprise any of the additives described above. The abrasive mass should have the desired wear rate to collapse during use. In other words, this wear rate can be determined by the type of abrasive particles, the first binder type, the type of additives and their proportions.

연마재 덩어리는 미국 특허 제4,311,489호; 제4,652,275호; 제4,799,939호 및 제5,500,273호에 자세하게 기술된 것과 같은 임의의 통상적인 방법에 의해 제조될 수 있다.Abrasive lumps are described in US Pat. No. 4,311,489; No. 4,652,275; It may be prepared by any conventional method such as those described in detail in US Pat. Nos. 4,799,939 and 5,500,273.

연마재 덩어리를 두 번째 바인더 전구체 내에 분산시켜 연마 슬러리를 형성한다. 연마용품을 만들기 위한 나머지 단계들은 본 명세서에서 언급된 것과 같을 수 있다. 별법으로, 연마 슬러리를 칼날피복, 롤피복, 스프레이, 그라비야피복, 다이 피복, 커튼피복 또는 기타 통상적인 피복 기술로 배면재 상에 도포시킬 수 있다. 그 다음, 연마 슬러리를 에너지원에 노출시켜 바인더 전구체를 경화시키고 연마 슬러리를 연마 복합재로 전환시킨다.The abrasive mass is dispersed in a second binder precursor to form an abrasive slurry. The remaining steps for making the abrasive article may be as mentioned herein. Alternatively, the polishing slurry may be applied onto the backing material by blade coating, roll coating, spraying, gravure coating, die coating, curtain coating or other conventional coating techniques. The polishing slurry is then exposed to an energy source to cure the binder precursor and convert the polishing slurry into an abrasive composite.

명확하지 않은 형상을 갖는 연마 복합재의 제조방법Method for producing an abrasive composite having an unclear shape

연마용품을 제조하는 두 번째 방법은 연마 복합재가 불명확한 형상 또는 불규칙한 형상을 갖는 방법에 관련된다. 이 방법에서, 연마 슬러리를 일단 생산용구로부터 제거하자마자 에너지원에 노출시킨다. 첫 번째 단계는 드롭 다이 피복기, 롤 피복기, 칼날 피복기, 커튼 피복기, 진공 다이 피복기, 또는 다이 피복기와 같은 임의의 통상적인 기술로 배면재의 한 면에 연마 슬러리를 피복하는 것이다. 원하는 경우, 점도를 낮추기 위해 피복 전에 연마 슬러리를 가열 및(또는) 초음파 처리할 수 있다. 그 다음, 연마 슬러리/배면재 조합을 생산용구와 접촉시킨다. 생산용구는 위에 기술된 생산용구의 유형과 같은 것일 수 있다. 생산용구는 일련의 공동들을 포함하며 연마 슬러리는 이 공동들 내로 흘러 들어간다. 생산용구로부터 연마 슬러리를 제거하면, 연마 슬러리는 그것과 관련된 패턴을 갖게된다 (즉, 연마 복합재의 패턴이 생산용구 내의 공동들로부터 형성된다). 제거 후, 연마 슬러리가 피복된 배면재를 에너지원에 노출시켜 바인더 전구체의 중합 반응을 개시시키고, 연마 복합재를 형성한다. 일반적으로 연마 슬러리가 피복된 배면재를 생산용구로부터 제거하는 것으로부터 바인더 전구체를 경화시키는데 까지의 시간은 상대적으로 최소화하는 것이 바람직하다. 이 시간이 너무 길어지면, 연마 슬러리가 흘러 패턴이 실질적으로 사라지는 정도로까지 변형될 것이다.A second method of making an abrasive article relates to a method in which the abrasive composites have an opaque or irregular shape. In this method, the polishing slurry is exposed to an energy source once removed from the production tool. The first step is to coat the polishing slurry on one side of the backing material by any conventional technique, such as a drop die coater, roll coater, blade coater, curtain coater, vacuum die coater, or die coater. If desired, the polishing slurry may be heated and / or sonicated prior to coating to lower the viscosity. The polishing slurry / back material combination is then contacted with the production tool. The tool may be the same as the type of tool described above. The production tool includes a series of cavities and the abrasive slurry flows into these cavities. When the abrasive slurry is removed from the tool, the abrasive slurry has a pattern associated with it (ie, the pattern of abrasive composite is formed from the cavities in the tool). After removal, the backing material coated with the polishing slurry is exposed to an energy source to initiate the polymerization reaction of the binder precursor and form an abrasive composite. It is generally desirable to minimize the time from removing the backing material coated with the polishing slurry from the production tool to curing the binder precursor. If this time is too long, the polishing slurry will flow and deform to the extent that the pattern disappears substantially.

이 두 번째 방법의 또다른 일 변형에 있어서, 연마 슬러리를 배면재상이 아니라 생산용구의 공동 내로 피복할 수 있다. 그 다음 연마 슬러리가 배면재를 습화시키고 배면재에 부착되도록 배면재를 생산용구와 접촉시킨다. 이 변형된 방법에서, 예컨대 생산용구는 윤전그라비야 롤일 수 있다. 연마용품을 제조하기 위한 나머지 단계들은 상술한 바와 같다.In another variant of this second method, the polishing slurry can be coated into the cavity of the production tool rather than on the backing material. The backing material is then contacted with the production tool such that the polishing slurry wets the backing material and adheres to the backing material. In this modified method, for example, the production tool may be a rotogravure roll. The remaining steps for producing the abrasive article are as described above.

또다른 일 변형은 연마 슬러리를 체를 통해 분무 또는 피복하여 패턴을 생성하는 것이다. 그 다음 바인더 전구체를 경화 또는 고화시켜 연마 복합재를 형성한다.Another variant is to spray or coat the abrasive slurry through a sieve to create a pattern. The binder precursor is then cured or solidified to form an abrasive composite.

패턴 또는 그와 연관된 결을 갖는 연마재 피복을 갖는 연마용품 제조를 위한 또다른 기술은 양각 처리된 배면재를 제공하고 그 다음 이 배면재 위에 연마슬러리를 피복하는 것이다. 연마 슬러리는 양각 처리된 배면재의 윤곽을 따라 패턴 또는 결을 갖는 피복을 제공한다. Another technique for manufacturing an abrasive article having an abrasive coating having a pattern or a grain associated therewith is to provide an embossed backing material and then coat the polishing slurry on the backing material. The abrasive slurry provides a coating having a pattern or texture along the contour of the embossed backing material.

연마용품을 제조하기 위한 또다른 방법은 미국 특허 제5,219,462호에 기술되어 있다. 연마 슬러리를 양각 처리된 배면재의 움푹 들어간 곳으로 피복한다. 연마 슬러리는 연마 입자, 바인더 전구체 및 팽창제를 포함한다. 결과로 얻는 구조물을 팽창제가 연마재 슬러리를 배면재의 앞면 위로 팽창시킬 수 있는 조건에 노출시킨다. 그 다음, 바인더 전구체를 고화시켜 바인더를 형성하고 연마 슬러리를 연마 복합재로 전환시킨다.Another method for making an abrasive article is described in US Pat. No. 5,219,462. The polishing slurry is coated with a dent in the embossed backing material. The abrasive slurry includes abrasive particles, binder precursors and swelling agents. The resulting structure is exposed to conditions such that the expanding agent can expand the abrasive slurry onto the front of the backing material. The binder precursor is then solidified to form a binder and the polishing slurry is converted to an abrasive composite.

연마용품은 유리 폴리싱을 위한 원하는 외형에 따라 임의의 원하는 형상 또는 형태로 전환시킬 수 있다. 이 전환은 슬릿팅(slitting), 다이 절단 또는 임의의 적절한 수단으로 수행할 수 있다.The abrasive article can be converted into any desired shape or form, depending on the desired appearance for glass polishing. This switching can be performed by slitting, die cutting or any suitable means.

유리 폴리싱 방법Glass polishing method

본 발명의 방법에 따라 폴리싱하기 전에, 전형적으로는 원하는 치수의 유리를 얻기 위해 유리를 다양한 물리적 처리 절차 (연마 포함)로 처리한다. 이들 전 단계들은 유리 표면상에 긁힘이나 노출된 결함을 남기고 이는 전형적으로 흐릿하게 보이는 표면을 초래한다. 본 발명은 광학적 투명성을 얻도록 폴리싱될 수 있는 표면을 제공하기 위해 긁힘의 깊이와 결함들을 충분히 제거하는, 유리 표면을 폴리싱하는 방법에 관한 것이다.Prior to polishing according to the method of the invention, the glass is typically treated with various physical treatment procedures (including polishing) to obtain glass of the desired dimensions. These previous steps leave scratches or exposed defects on the glass surface, which results in a surface that is typically blurred. The present invention is directed to a method of polishing a glass surface that sufficiently removes the depth of scratches and defects to provide a surface that can be polished to obtain optical transparency.

일반적으로 1종 이상의 "폴리싱" 또는 "미세 연마"용품이 본 발명의 방법의 폴리싱 단계에 사용된다. 과거에는, 주어진 평균 연마 입자 크기를 갖는 하나의 연마용품으로는 매우 높은 광택을 갖는 표면을 생성시키는데 불충분하였다. 이보다는 평균 긁힘 깊이가 연속적으로 감소하도록 연마용품들을 연속적으로 사용한다. 첫 번째 연마용품은 전형적으로는 보다 큰 입자 크기를 갖는 연마 입자들을 포함한다. 폴리싱이 계속됨에 따라서, 사용자가 연마용품을 교환해줌으로써 사용되는 연마용품 내의 연마 입자 크기는 점차적으로 감소한다. 이는 긁힘 깊이의 점차적인 감소를 가져온다. 연마용품의 수, 폴리싱 시간, 연마 입자의 유형 및 연마 입자의 크기는 폴리싱될 유리 표면의 크기, 폴리싱 전에 유리에 존재하는 긁힘 및(또는) 결함의 심한 정도 및 유리 자체의 조성과 같은 여러 요인들에 의존할 것이다.Generally one or more "polishing" or "fine abrasive" articles are used in the polishing step of the method of the present invention. In the past, one abrasive article with a given average abrasive grain size was insufficient to produce a surface with a very high gloss. Rather, abrasive articles are used continuously so that the average scratch depth decreases continuously. The first abrasive article typically includes abrasive particles having a larger particle size. As polishing continues, the abrasive particle size in the abrasive article used by the user to replace the abrasive article gradually decreases. This leads to a gradual decrease in scratch depth. The number of abrasive articles, polishing time, type of abrasive particles and the size of the abrasive particles can be affected by several factors such as the size of the glass surface to be polished, the severity of scratches and / or defects present in the glass prior to polishing, and the composition of the glass itself Will depend on.

액체의 존재 하에서 유리를 폴리싱하는 것이 바람직하다. 액체는 그와 연관된 몇 가지 이점을 갖는다. 이는 폴리싱 과정 동안 열이 발생하는 것을 막아주고 폴리싱 계면으로부터 절삭 부스러기(swarf)를 제거한다. "절삭 부스러기"는 연마용품에 의해 연마되어 나온 실제의 유리 파편 부스러기를 기술하는 용어이다. 어떤 경우, 유리 절삭 부스러기는 폴리싱될 유리 표면을 손상시킬 수 있다. 따라서 계면으로부터 절삭 부스러기를 제거하는 것이 바람직하다. 또한 액체 존재 하에서 폴리싱을 하면 더욱 미세한 유리 마무리 표면을 결과로 얻는다. 이 액체는 물, 유기 윤활제, 세제, 냉각제 또는 이들의 조합일 수 있다. 액체는 또한 폴리싱을 강화시켜주기 위한 첨가제들을 추가로 포함할 수 있다. 일반적으로 물이 바람직한 액체이다.Preference is given to polishing the glass in the presence of a liquid. Liquids have several advantages associated with them. This prevents heat generation during the polishing process and removes cutting swarf from the polishing interface. "Cutting shavings" is a term that describes the actual glass shavings polished by the abrasive article. In some cases, the glass cutting chips can damage the glass surface to be polished. Therefore, it is desirable to remove the cutting chips from the interface. Polishing in the presence of liquid also results in a finer glass finish surface. This liquid can be water, organic lubricants, detergents, coolants or combinations thereof. The liquid may also further include additives to enhance polishing. In general, water is the preferred liquid.

폴리싱 과정 동안 연마용품은 유리 표면에 대하여 운동하며 바람직하게는 약 0.35 내지 7.0g/㎟, 더욱 바람직하게는 약 0.7 내지 3.5g/㎟, 가장 바람직하게는 약 5g/㎟의 힘으로 유리표면상에서 아래 방향으로 힘이 가해진다. 아래 방향으로의 힘이 너무 크면 연마용품이 긁힘 깊이를 미세하게 하지 못할 수 있고 어떤 경우에는 긁힘 깊이를 증가시킬 수 있다. 또한, 아래 방향으로의 힘이 너무 크면 연마용품이 과도하게 마모될 수 있다. 반대로, 아래 방향으로의 힘이 너무 약하면 연마용품은 효율적으로 긁힘 깊이를 미세하게 하고 광학적으로 투명한 표면을 생성시키지 못할 수 있다.During the polishing process, the abrasive article moves against the glass surface and is preferably lowered on the glass surface with a force of about 0.35 to 7.0 g / mm 2, more preferably about 0.7 to 3.5 g / mm 2 and most preferably about 5 g / mm 2. Force is applied in the direction. If the force in the downward direction is too great, the abrasive may not be able to fine the scratch depth and in some cases increase the scratch depth. In addition, too much force in the downward direction may cause the abrasive article to wear excessively. Conversely, if the force in the downward direction is too weak, the abrasive article may not effectively fine the scratch depth and produce an optically transparent surface.

상술한 바와 같이, 유리 또는 연마용품 또는 이들 양자는 폴리싱 단계 동안 서로에 대해 운동한다. 이 운동은 회전 동작, 랜덤 동작 또는 직선 동작일 수 있다. 회전 동작은 연마 디스크를 회전 용구에 부착시킴으로써 발생시킬 수 있다. 유리 표면 및 연마용품은 같은 방향 또는 반대 방향으로 회전할 수 있으나, 같은 방향인 경우 회전 속도를 달리한다. 기계의 경우, 작업 분당 회전수는 사용하는 연마용품에 따라 약 4000rpm 이하, 바람직하게는 약 25 내지 2000rpm, 더욱 바람직하게는 약 50 내지 1000rpm의 범위를 갖는다. 예를 들면, 제1도 및 제2도에 도시된 것과 같은 디스크가 사용되는 경우, 기계는 약 25 내지 2000rpm, 전형적으로는 약 500rpm의 회전 속도를 가질 수 있다. 랜덤 궤도 동작은 랜덤 궤도 용구에 의해, 직선 동작은 연속적인 연마 벨트에 의해 각각 발생시킬 수 있다. 유리 및 연마용품간의 상대 운동은 또한 유리의 치수에 의존할 수 있다. 유리가 비교적 크면, 폴리싱 과정 동안 유리를 고정시켜두고 연마용품을 운동시키는 것이 바람직하다.As mentioned above, the glass or abrasive article or both move relative to each other during the polishing step. This motion may be a rotational motion, a random motion or a linear motion. The rotational motion can be generated by attaching the polishing disk to the rotating tool. The glass surface and the abrasive may rotate in the same direction or in opposite directions, but in the same direction, vary the rotation speed. In the case of a machine, the revolutions per working minute ranges from about 4000 rpm or less, preferably from about 25 to 2000 rpm, more preferably from about 50 to 1000 rpm, depending on the abrasive article used. For example, when disks such as those shown in FIGS. 1 and 2 are used, the machine may have a rotation speed of about 25 to 2000 rpm, typically about 500 rpm. Random track motion can be generated by a random track tool, and linear motion by a continuous polishing belt. The relative motion between the glass and the abrasive article may also depend on the dimensions of the glass. If the glass is relatively large, it is desirable to hold the glass and move the abrasive article during the polishing process.

많은 경우, 연마용품을 지지 패드에 결합시킨다. 지지 패드는 전형적으로는 연마용품을 지지하는 압축성 물질이다. 또한, 지지 패드는 연마용품이 지지 패드에 부착되는 경우 결과로 얻어지는 연마용품이 필요한 경우, 특히 유리 중간 제품이 굴곡을 가지거나 자체와 연관된 형상을 갖는 경우 유리 중간제품의 형상에 일치할 수 있도록 형상을 일치시킬 수 있는 물질로부터 제조된다. 지지 패드는 폴리우레탄 발포체, 고무 물질, 엘라스토머, 고무를 기재로 한 발포체 또는 임의의 기타 적절한 물질들로 제조될 수 있다. 지지 패드 물질의 경도 및(또는) 압축성은 원하는 폴리싱 특성 (절삭 속도, 연마용품 수명 및 유리 중간제품의 표면 마무리 상태)을 제공하기에 적합하도록 선택된다.In many cases, the abrasive article is bonded to a support pad. The support pad is typically a compressive material that supports the abrasive article. In addition, the support pads may be shaped so that they conform to the shape of the glass intermediate, if the resulting abrasive is required when the abrasive is attached to the support pad, especially when the glass intermediate has a curve or a shape associated with itself. It is made from a material capable of matching. The support pad can be made of polyurethane foam, rubber material, elastomer, foam based on rubber, or any other suitable material. The hardness and / or compressibility of the support pad material is selected to provide the desired polishing properties (cutting speed, abrasive life and surface finish of the glass intermediate).

지지 패드는 연마용품이 고정되는 연속적이고 비교적 평평한 표면을 가질 수 있다. 별법으로, 지지 패드는 연마용품이 고정되는 일련의 돌출부와 함몰부가 있는 불연속적인 표면을 가질 수 있다. 불연속적인 표면의 경우, 연마용품은 돌출부에만 고정될 수도 있다. 이와 반대로, 전체의 연마용품이 완전히 지지되지 않도록 하나의 연마용품 단편이 하나 이상의 돌출부에 고정될 수 있다. 지지 패드의 불연속적인 표면은 원하는 물의 흐름 및 원하는 폴리싱 특성 (절삭 속도, 연마용품 수명 및 유리 중간제품의 표면 마무리 상태)을 제공하기에 적합하도록 선택된다.The support pad may have a continuous, relatively flat surface to which the abrasive article is secured. Alternatively, the support pad may have a discontinuous surface with a series of protrusions and depressions to which the abrasive article is secured. In the case of discontinuous surfaces, the abrasive article may be fixed only to the protrusions. In contrast, one piece of abrasive article may be secured to one or more protrusions such that the entire abrasive article is not fully supported. The discontinuous surface of the support pad is selected to provide the desired flow of water and the desired polishing properties (cut speed, abrasive life and surface finish of the glass intermediate).

또한, 연마용품의 배면재가 지지 패드로서 기능하는 것은 본 발명의 영역에 속한다. 예를 들면, 배면재는 폴리우레탄 발포체와 같은 발포체 배면재일 수 있다.It is also within the scope of the present invention that the backing material of the abrasive article functions as a support pad. For example, the backing material may be a foam backing material, such as a polyurethane foam.

지지 패드는 원형, 직사각형, 정사각형, 타원형 등과 같은 임의의 형상을 가질 수 있다. 지지 패드는 약 5 내지 1500㎝ 범위의 크기 (가장 긴 치수)를 가질 수 있다.The support pads can have any shape, such as round, rectangular, square, oval, or the like. The support pad can have a size (longest dimension) in the range of about 5-1500 cm.

부착 수단Attachment means

연마용품은 부착 수단에 의해 지지 패드에 고정된다. 이 부착 수단들은 감압접착제, 후크 및 루프(hook and loop) 부착 수단, 기계적 부착 수단 또는 영구 접착제일 수 있다. 부착 수단은 연마용품이 지지 패드에 단단히 부착되어 유리 폴리싱 동안의 가혹한 조건 (습기가 있는 환경, 열 발생 및 압력)에서 견딜 수 있도록 하는 것이어야 한다.The abrasive article is fixed to the support pad by the attachment means. These attachment means can be pressure sensitive adhesives, hook and loop attachment means, mechanical attachment means or permanent adhesives. The attachment means should be such that the abrasive article is firmly attached to the support pad to withstand the harsh conditions (humid environment, heat generation and pressure) during glass polishing.

본 발명에 적합한 감압접착제의 대표적인 예에는 라텍스 크레이프(latex crepe), 로진(rosin), 아크릴 중합체 및 공중합체 (예컨대, 폴리부틸아크릴레이트, 폴리아크릴레이트 에스테르), 비닐 에테르 (예컨대, 폴리비닐 n-부틸 에테르), 알키드 접착제, 고무 접착제 (예컨대, 천연 고무, 합성 고무, 염소화 고무) 및 이들의 혼합물이 포함된다. 감압접착제는 물 또는 용매로부터 피복될 수 있다. 어떤 경우, 무극성 유기 용매로부터 피복되는 고무를 기재로 한 감압접착제를 사용하는 것이 바람직하다. 또는, 감압접착제는 전사 테이프(transfer tape)일 수 있다.Representative examples of pressure sensitive adhesives suitable for the present invention include latex crepe, rosins, acrylic polymers and copolymers (e.g. polybutylacrylate, polyacrylate esters), vinyl ethers (e.g. polyvinyl n- Butyl ether), alkyd adhesives, rubber adhesives (eg, natural rubber, synthetic rubber, chlorinated rubber) and mixtures thereof. Pressure sensitive adhesives may be coated from water or solvents. In some cases, it is preferable to use a pressure-sensitive adhesive based on rubber coated from a nonpolar organic solvent. Alternatively, the pressure sensitive adhesive may be a transfer tape.

별법으로, 연마용품은 지지 패드에 연마용품을 고정시키기 위한 후크 및 루프 유형 부착 시스템을 포함할 수 있다. 지지 패드 상에는 후크가 있고 루프 직물은 피복된 연마재의 뒷면에 존재할 수 있다. 또는, 루프가 지지 패드 상에 존재하고 후크는 피복된 연마재의 뒷면에 있을 수도 있다. 이러한 후크 및 루프 유형의 부착 시스템은 미국 특허 제4,609,581호, 제5,254,194호, 제5,505,747호 및 PCT WO95/19242호에 더 자세히 기술되어 있다.Alternatively, the abrasive article may include a hook and loop type attachment system for securing the abrasive article to the support pad. There is a hook on the support pad and the loop fabric may be on the back side of the coated abrasive. Alternatively, a loop may be present on the support pad and the hook may be on the back side of the coated abrasive. Such hook and loop type attachment systems are described in more detail in US Pat. Nos. 4,609,581, 5,254,194, 5,505,747 and PCT WO95 / 19242.

이하의 시험 방법 및 실시예들로 본 발명을 더 자세히 예시하고자 하나, 본 발명은 이 실시예들에 제한되는 것은 아니다. 실시예에서 사용된 모든 부, 퍼센트, 비율 등은 다르게 표시되지 않았으면 중량을 기준으로 한 것이다.The following test methods and examples are intended to illustrate the invention in more detail, but the invention is not limited to these examples. All parts, percentages, ratios, etc. used in the examples are by weight unless otherwise indicated.

RPP 시험 방법RPP test method

"RPP" 방법은 "Buehler Ecomet 2" 파워 헤드가 설치된 "Buehler Ecomet 4" 가변 속도 그라인더-폴리셔를 사용하였고, 이들 양자는 일리노이주 레이크 블러프에 소재한 부엘러 인더스트리사로부터 상업적으로 입수 가능하다. 시험은 시험 유리 블랭크의 표면적에 걸쳐 약 7.1psi (약 50kPa)의 압력에 해당하는 50 파운드의 힘을 가한 상태로 500rpm 모터 속도를 사용하여 수행하였다.The "RPP" method used a "Buehler Ecomet 4" variable speed grinder-polisher equipped with a "Buehler Ecomet 2" power head, both of which are commercially available from Bueller Industries, Lake Bluff, Illinois. The test was performed using a 500 rpm motor speed with a force of 50 pounds corresponding to a pressure of about 7.1 psi (about 50 kPa) over the surface area of the test glass blank.

CORNING #9061이라는 상품명으로 코닝 글래스 컴퍼니사로부터 입수 가능한, 7.62㎝ (3인치) 직경과 약 1.0㎝ 두께를 갖는 평평한 원형의 시험 유리 블랭크를 준비하였다. 유리 재료를 그라인더-폴리셔의 파워 헤드 내에 고정하였다. 시험 유리 블랭크가 고정된 파워 헤드를 35rpm으로 시계방향으로 회전시키는 반면 그라인더-폴리셔의 12인치 알루미늄 플랫폼은 시계 반대 방향으로 회전시켰다.A flat circular test glass blank was prepared from Corning Glass Company under the trade name CORNING # 9061, having a diameter of about 7.62 cm (3 inches) and about 1.0 cm thick. The glass material was fixed in the grinder-polisher power head. The grinder-polisher's 12-inch aluminum platform was rotated counterclockwise while the test glass blank rotated the fixed power head clockwise at 35 rpm.

시험할 연마용품을 20.3㎝ (8.0 인치) 직경의 원형으로 다이 절단하여 쇼어 A 경도가 약 90 듀로미터인 우레탄 배면재 패드 상에 감압접착제로 직접 부착시켰다. 우레탄 배면재 패드를 오픈 셀 (연질 발포체 시트로부터 잘라낸 약 30㎜의 두께를 갖는 연질 발포체 패드)에 부착시켰다. 이 패드 조립품을 그라인더/폴리셔의 알루미늄 플랫폼에 고정시켰다. 수돗물을 연마용품 위에 분당 약 3리터의 유속으로 뿌려주어 연마용품 표면과 시험 유리 블랭크간의 경계면에서 윤활작용을 하도록 하였다.The abrasive article to be tested was die cut into 20.3 cm (8.0 inch) diameter circles and attached directly with a pressure sensitive adhesive onto a urethane backing pad having a Shore A hardness of about 90 durometer. The urethane backing pad was attached to an open cell (soft foam pad having a thickness of about 30 mm cut out from the soft foam sheet). This pad assembly was fixed to the aluminum platform of the grinder / polisher. Tap water was sprayed onto the abrasive article at a flow rate of about 3 liters per minute to lubricate at the interface between the abrasive article surface and the test glass blank.

시험 유리 블랭크 (즉, 연마용품으로 폴리싱하기 전)상에 실질적으로 유사한 초기 표면 마무리 상태를 제공하기 위해서 각 시험 유리 블랭크를 금속이 결합된 다이아몬드 연마용품 ("3M Flexible Diamond M125"라는 상품명으로 미네소타주 세인트폴에 소재한 3M사로부터 상업적으로 입수 가능)으로 마모시킨다. 이 다이아몬드 입자들은 약 125 마이크로미터의 평균 입자 크기를 갖는다.In order to provide a substantially similar initial surface finish on the test glass blanks (ie, prior to polishing with the abrasive), each test glass blank was mined with a metal-bonded diamond abrasive (trade name "3M Flexible Diamond M125"). Wear, commercially available from 3M, St. Paul). These diamond particles have an average particle size of about 125 micrometers.

시험 유리 블랭크상의 초기 표면 마무리 상태를 다이아몬드 탐침 프로필로미터 (SURTRONIC 3(112/1518-822323)이라는 상품명으로 영국 레스터에 소재한 테일러 홉슨사로부터 상업적으로 입수 가능)로 평가하였다. 시험 유리 블랭크의 초기 중량 또한 기록하였다. 본 발명에 따른 연마용품을 평가하기 위한 초기 표면 마무리 상태 또는 Ra 값은 전형적으로 약 1.2㎛ 이상, 약 0.2㎛ 이상, 및 약 0.05㎛ 이상의 세 범주에 속한다.Initial surface finish on test glass blanks was evaluated with a diamond probe profilometer (commercially available from Taylor Hobson, Leicester, UK under the tradename SURTRONIC 3 (112 / 1518-822323)). The initial weight of the test glass blank was also recorded. Initial surface finish or Ra values for evaluating abrasive articles according to the present invention typically fall into three categories: at least about 1.2 μm, at least about 0.2 μm, and at least about 0.05 μm.

위에 기술한 그라인더/폴리셔를 사용하여 시험 유리 블랭크를 폴리싱하였다. 그라인더/폴리셔의 폴리싱 시간 간격은 15초 또는 10초로 설정하였다. 그러나, 그라인더/폴리셔가 시험 유리 블랭크 표면상에 연마용품이 안정화되기 전까지는 계시를 시작하지 않기 때문에, 연마용품과 시험 유리 블랭크 표면간의 실제 접촉 시간은 설정 시간보다 큰 것으로 나타났다. 즉, 유리 표면상의 연마용품이 튀어 오르거나 뛰노는 것이 관찰되었고 그라인더/폴리셔는 연마용품과 유리 표면간의 접촉이 실질적으로 일정한 시점에서 계시를 시작하였다. 따라서, 실시간 폴리싱 간격, 즉 연마용품과 유리 표면간의 접촉 시간은 폴리싱 시간 간격을 15초 또는 10초로 설정한 경우 약 25초 이하였다.The test glass blanks were polished using the grinder / polisher described above. The polishing time interval of the grinder / polisher was set to 15 seconds or 10 seconds. However, since the grinder / polisher does not start counting until the abrasive is stabilized on the test glass blank surface, the actual contact time between the abrasive and the test glass blank surface was found to be greater than the set time. That is, the abrasive article on the glass surface bouncing or jumping was observed and the grinder / polisher began to time at the point where the contact between the abrasive article and the glass surface was substantially constant. Thus, the real time polishing interval, ie the contact time between the abrasive article and the glass surface, was less than about 25 seconds when the polishing time interval was set to 15 seconds or 10 seconds.

폴리싱한 후, 최종 표면 마무리 상태와 최종 무게를 각각 기록하였다. 폴리싱 시간 동안의 시험 유리 블랭크의 무게 변화는 제거된 유리 재료(glass stock)의 그램 수로 나타낸다. 절삭 속도(제거된 유리 재료의 그램수) 및 Ra 값을 기록하였다.After polishing, the final surface finish and final weight were recorded respectively. The change in weight of the test glass blank during the polishing time is represented by the number of grams of glass stock removed. The cutting speed (grams of glass material removed) and Ra value were recorded.

전체적으로 다음의 약어들이 사용되었다.The following abbreviations have been used throughout.

재료 설명Material description

UAO : 우레탄 아크릴레이트 (UVITHANE 893이라는 상품명으로, 일리노이주 시카고에 소재한 몰턴 인터내셔널 인크사로부터 구입 가능함);UAO: urethane acrylate (available under the trade name UVITHANE 893, available from Malton International Inc., Chicago, Illinois);

HDDA : 1,6-헥산디올 디아크릴레이트 (SR 238이라는 상품명으로, 펜실바니아주 엑스턴에 소재한 사르토머사로부터 구입 가능함);HDDA: 1,6-hexanediol diacrylate (available under the trade name SR 238, available from Sartomer, Exton, Pa.);

TPDA : 트리프로필렌글리콜 디아크릴레이트 (SR 306이라는 상품명으로, 펜실바니아주 엑스턴에 소재한 사르토머사로부터 구입 가능함);TPDA: tripropylene glycol diacrylate (available under the trade name SR 306, available from Sartomer, Exton, Pa.);

PH2 : 2-벤질-2-N,N-디메틸아미노-1-(4-모르폴리노-페닐)-1-부탄온 광개시제 (IRGACURE 369라는 상품명으로, 노스캐롤라이나주 그린스보로에 소재한 시바 가이기사로부터 구입 가능함);PH2: Ciba Geiza, Greensboro, NC under the trade name IRGACURE 369, 2-benzyl-2-N, N-dimethylamino-1- (4-morpholino-phenyl) -1-butanone photoinitiator Available from);

ACH : 1,1'-아조비스(시클로헥산카르보니트릴) (VAZO 88이라는 상품명으로, 델라웨어주 윌밍턴에 소재한 듀퐁 데 네모어스사로부터 구입 가능함);ACH: 1,1'-azobis (cyclohexanecarbonitrile) (available under the trade name VAZO 88, available from Dupont de Nemours, Wilmington, Delaware);

ASF : 무정형 실리카 충진재 (AEROSIL R-972라는 상품명으로, 독일 데굿사 게엠베하사로부터 구입 가능함);ASF: amorphous silica filler (available under the trade name AEROSIL R-972, available from Demug GmbH, Germany);

TFS : 트리플루오로프로필메틸 실록산 소포제 (7이라는 상품명으로, 미시간주 미드랜드에 소재한 다우 코닝 컴퍼니사로부터 구입 가능함);TFS: trifluoropropylmethyl siloxane defoaming agent (trade name, available from Dow Corning Company, Midland, Mich.);

DIA : 산업용 다이아몬드 입자 (다양한 크기) (RB라는 상품명으로, 펜실바니아주 올리판트에 소재한 워렌 다이아몬드사로부터 구입 가능함); 및DIA: Industrial Diamond Particles (various sizes) (available under the trade name RB, available from Warren Diamond, Olifant, Pa.); And

SIC : 탄화규소 연마 입자 (평균 크기가 60 마이크로미터) (매사추세츠주 워세스터에 소재한 노턴 컴퍼니사로부터 구입 가능함).SIC: Silicon carbide abrasive grains with an average size of 60 micrometers (available from Norton Company, Worcester, Mass.).

연마 복합재 토포그래피Abrasive Composite Topography

토포그래피(topography) A는 하기의 절차에 따라 제조하였다. 인접한 잘려진 피라미드의 집합으로 이루어진 캐스팅 표면을 갖는 금속 원판 용구 상에 폴리프로필렌 재료를 캐스팅하여 생산 용구를 제조하였다. 금속 원판 용구는 다이아몬드 세공(turning) 절차에 의해 만들어진 것이다. 결과로 얻어지는 고분자 생산 용구는 네 면을 가진 잘려진 피라미드 형상의 공동들을 포함한다. 각 잘려진 피라미드의 높이는 약 355 마이크로미터 (14밀(1밀=1000분의 1인치)), 각 밑면은 면당 약 1427 마이크로미터 (1.4㎜)이고 상부는 면당 약 1350 마이크로미터 (1.35㎜)였다. 인접한 잘려진 피라미드 밑면들 사이는 약 445 마이크로미터였다.Topography A was prepared according to the following procedure. The production tool was made by casting a polypropylene material onto a metal disc tool having a casting surface consisting of a set of adjacent truncated pyramids. The metal disc tool was made by a diamond turning procedure. The resulting polymer production tool includes four-sided cut pyramidal cavities. The height of each truncated pyramid was about 355 micrometers (14 mils (1 mil = 1/1000 inch)), each base was about 1427 micrometers (1.4 mm) per side and the top was about 1350 micrometers (1.35 mm) per side. Between the bases of adjacent truncated pyramids was about 445 micrometers.

토포그래피 B는 각 잘려진 피라미드의 높이가 약 760 마이크로미터, 각 밑면이 면당 약 880 마이크로미터이고 상부가 면당 약 640 마이크로미터인 점만 제외하고 위에 기술된 바와 같이 제조하였다. 인접한 잘려진 피라미드 밑면들 사이는 약 127 마이크로미터였다. 이들 토포그래피 각각에 있어서 복합재들은 명확한 형상을 갖는다.Topography B was prepared as described above except that the height of each truncated pyramid was about 760 micrometers, each base was about 880 micrometers per side and the top was about 640 micrometers per side. Between the bases of adjacent truncated pyramids was about 127 micrometers. In each of these topography, the composites have a definite shape.

실시예 1-18Example 1-18

실시예 1-9는 표1에 기재된 성분들을 30분 동안 고전단 공기 혼합기(high shear air mixer)내에서 혼합하여 (왼쪽으로부터 오른쪽으로 기재된 순서로 첨가) 제조하였다. 다이아몬드 (DIA)는 74 마이크로미터의 평균 입자 크기를 갖는다. Examples 1-9 were prepared by mixing the ingredients listed in Table 1 in a high shear air mixer for 30 minutes (added in the order described from left to right). Diamond (DIA) has an average particle size of 74 micrometers.

실시예Example UAOUAO HDDAHDDA TPDATPDA PH2PH2 ACHACH ASFASF DIADIA SICSIC TFSTFS DIADIA 1One 60.7060.70 7.707.70 23.0023.00 1.001.00 0.500.50 2.002.00 5.005.00 00 0.100.10 5%5% 22 55.7055.70 7.707.70 23.0023.00 1.001.00 0.500.50 2.002.00 10.0010.00 00 0.100.10 10%10% 33 50.7050.70 7.707.70 23.0023.00 1.001.00 0.500.50 2.002.00 15.0015.00 00 0.100.10 15%15% 44 45.745.7 7.707.70 23.0023.00 1.001.00 0.0500.050 2.002.00 20.0020.00 00 0.100.10 20%20% 55 35.7035.70 7.707.70 23.0023.00 1.001.00 0.500.50 2.002.00 30.0030.00 00 0.100.10 30%30% 66 25.7025.70 7.707.70 23.0023.00 1.001.00 0.500.50 2.002.00 40.0040.00 00 0.100.10 40%40% 77 55.7055.70 7.707.70 23.0023.00 1.001.00 0.500.50 2.002.00 5.005.00 5.005.00 0.100.10 88 45.7045.70 7.707.70 23.0023.00 1.001.00 0.500.50 2.002.00 10.0010.00 10.0010.00 0.100.10 99 35.7035.70 7.707.70 23.0023.00 1.001.00 0.500.50 2.002.00 15.0015.00 15.0015.00 0.100.10

연마용품의 제조Manufacture of abrasive articles

위에서 혼합한 연마 슬러리를 실온에서 고무 주걱을 사용하여 생산용구 (토포그래피 A)의 공동들 내로 도포하였다. 그 다음, 표면에 에틸렌 아크릴산 (EAA) 전처리제를 갖는 폴리에스테르 필름 (108 마이크로미터 두께)을 연마 슬러리가 전처리제를 갖는 배면재의 앞면을 적실 수 있도록 연마 슬러리가 피복된 생산용구와 접촉시켰다. 자외선 및 가시광선 복사선을 배면재를 통과해 연마 슬러리 내로 쬐어주었다. 두 개의 램프를 연속적으로 사용하였다. 두 램프는 뉴저지주 머레이힐에 소재한 아메리칸 울트라바이올렛 컴퍼니사로부터 입수한 자외선-가시광선 램프로, 중간 압력 수은 전구를 사용하고 157.5 왓트/㎝ (400 왓트/인치)에서 작동된다. 경화 속도는 약 7.62 미터/분 (25 ft/분)이었다. 자외선에 노출되자 곧 바인더 전구체는 바인더로 전환되고 연마 슬러리는 연마 복합재로 전환되었다. 그 다음, 생산용구를 연마 복합재/배면재로부터 제거하였다.The polishing slurry mixed above was applied into the cavities of the production tool (topography A) using a rubber spatula at room temperature. Then, a polyester film (108 micrometers thick) with an ethylene acrylic acid (EAA) pretreatment on the surface was contacted with the production tool coated with the abrasive slurry so that the polishing slurry could wet the front side of the backing material with the pretreatment agent. Ultraviolet and visible radiation was passed through the backing material and into the polishing slurry. Two lamps were used in series. Both lamps are ultraviolet-visible lamps from American Ultraviole Company, Murray Hill, NJ, using medium pressure mercury bulbs and operate at 157.5 watts / cm (400 watts / inch). Curing rate was about 7.62 meters / minute (25 ft / minute). Upon exposure to ultraviolet light the binder precursor turned into a binder and the polishing slurry turned into an abrasive composite. The tool was then removed from the abrasive composite / backing material.

사용하는 생산용구가 토포그래피 B인 것을 제외하고는 실시예 1-9에 기술한 바와 같은 방법으로 실시예 10-18을 제조하였다.Examples 10-18 were prepared in the same manner as described in Examples 1-9, except that the production tool used was Topography B.

실시예 1-18을 상술한 바와 같이 약 25초의 폴리싱 타임 간격을 갖는 RPP 시험 방법을를 이용하여 시험하였다. 입력 Ra는 약 1.4 내지 1.5 마이크로미터였다. 결과를 아래 표2에 나타내었다.Examples 1-18 were tested using the RPP test method with a polishing time interval of about 25 seconds as described above. The input Ra was about 1.4 to 1.5 micrometers. The results are shown in Table 2 below.

실시예Example 제거된 재료 (그램)Removed Material (grams) Ra (마이크로미터)Ra (micrometer) 1One 1.541.54 0.550.55 22 1.521.52 0.520.52 33 1.431.43 0.440.44 44 1.421.42 0.390.39 55 1.101.10 0.340.34 66 1.251.25 0.350.35 77 1.331.33 0.450.45 88 1.331.33 0.470.47 99 1.191.19 0.440.44 1010 1.391.39 0.600.60 1111 1.351.35 0.480.48 1212 1.431.43 0.530.53 1313 1.321.32 0.400.40 1414 NANA NANA 1515 1.131.13 0.360.36 1616 1.081.08 0.520.52 1717 1.171.17 0.430.43 1818 1.171.17 0.390.39

실시예 19 및 20 (토포그래피 A)를 아래 표3에 기재된 성분을 사용한 점만 제외하고 실시예 1-9에서와 같은 방법으로 제조하였다. 실시예 19 및 21은 약 30㎛ 및 약 45㎛ 크기의 두 가지 다이아몬드 입자 혼합물을 사용하였다. 실시예 20 및 22는 약 9㎛ 및 약 15㎛ 크기의 두 가지 다이아몬드 입자 혼합물을 사용하였다. 사용된 생산용구가 토포그래피 B인 점만 제외하고 실시예 19 및 20에서와 같은 방법으로 실시예 21 및 22를 제조하였다.Examples 19 and 20 (topography A) were prepared in the same manner as in Examples 1-9, except that the components shown in Table 3 below were used. Examples 19 and 21 used a mixture of two diamond particles of size about 30 μm and about 45 μm. Examples 20 and 22 used two diamond particle mixtures of about 9 μm and about 15 μm in size. Examples 21 and 22 were prepared in the same manner as in Examples 19 and 20 except that the production tool used was Topography B.

실시예 19 및 20을 실시예 4, 7, 8 및 9의 연마용품으로 폴리싱한 시험 유리 블랭크를 사용하여 시험하였다. 따라서, 최종 Ra 값은 실시예 19-22의 입력 Ra 값이 된다. 실시예 19 및 21을 실시예 1-18에 대해 기술된 RPP 시험 방법을 이용하여 시험하였다. 상술한 바와 같이 약 25초의 폴리싱 시간 간격을 갖는 RPP 시험 방법을 이용하고 실시예 20 및 22의 연마용품을 사용하여 이 시험 유리 블랭크들을 폴리싱하였다. 따라서, 실시예 4, 7, 8 및 9 (다이아몬드 입자의 평균 크기가 약 74㎛), 실시예 19 (약 30㎛ 및 약 45㎛ 크기의 두 가지 다이아몬드 입자들의 혼합물) 및 실시예 20 (약 9㎛ 및 약 15㎛ 크기의 두 가지 다이아몬드 입자들의 혼합물)의 폴리싱 순서로 본 발명에 따른 연마용품을 포함하는 폴리싱 시스템을 평가하였다. 실시예 4, 7, 8 및 9로 폴리싱하기 전의 초기 Ra는 약 1.4㎛ 이상이었다. 결과를 표4에 나타내었다.Examples 19 and 20 were tested using test glass blanks polished with the abrasive articles of Examples 4, 7, 8 and 9. Thus, the final Ra value is the input Ra value of Examples 19-22. Examples 19 and 21 were tested using the RPP test method described for Examples 1-18. These test glass blanks were polished using the RPP test method with a polishing time interval of about 25 seconds as described above and using the abrasive articles of Examples 20 and 22. Thus, Examples 4, 7, 8 and 9 (with an average particle size of about 74 μm), Example 19 (a mixture of two diamond particles about 30 μm and about 45 μm in size) and Example 20 (about 9 The polishing system comprising the abrasive article according to the present invention was evaluated in the order of polishing of a mixture of two diamond particles having a size of about 탆 and about 15 탆. The initial Ra before polishing with Examples 4, 7, 8 and 9 was at least about 1.4 μm. The results are shown in Table 4.

실시예Example UAOUAO HDDAHDDA TPDATPDA PH2PH2 ACHACH ASFASF TFSTFS DIADIA DIADIA 19/2119/21 45.7045.70 7.707.70 23.0023.00 1.001.00 0.500.50 2.002.00 0.100.10 15.00 (30㎛)15.00 (30㎛) 5.00 (45㎛)5.00 (45㎛) 20/2220/22 45.8045.80 30.6030.60 00 1.001.00 0.500.50 2.002.00 0.100.10 15.00 (9㎛)15.00 (9㎛) 5.00 (15㎛)5.00 (15㎛)

실시예Example RaRa 실시예Example RaRa 실시예Example RaRa 실시예Example RaRa 44 0.390.39 77 0.450.45 88 0.470.47 99 0.440.44 1919 0.060.06 1919 0.070.07 1919 0.080.08 1919 0.080.08 2020 0.040.04 2020 0.030.03 2020 0.040.04 2020 0.040.04

표4의 결과는 큰 입자 크기를 갖는 연마용품에 탄화규소 입자를 가하더라도 보다 작은 입자 크기를 갖는 두 연마용품으로 폴리싱하기 전의 표면 마무리 Ra 값을 개선시키지 못함을 보여준다. 그러나, 전체적인 마무리 상태는 불리한 영향을 받지는 않았다.The results in Table 4 show that addition of silicon carbide particles to an abrasive article having a large particle size does not improve the surface finish Ra value before polishing with two abrasive articles having a smaller particle size. However, the overall finish was not adversely affected.

비교예 A-FComparative Example A-F

비교예 A-F를 표5에 기술한 성분을 사용하는 점만 제외하고 실시예 1-9에서와 같은 방법으로 제조하였다. 각 비교예 짝 (즉, A와B, C와D, E와F)에 대하여 첫 번째 비교예에는 토포그래피 A를, 두 번째 비교예에는 토포그래피 B를 사용하였다. 탄화규소 입자 (SIC)는 60㎛의 평균 입자 크기를 가졌다.Comparative Example A-F was prepared in the same manner as in Example 1-9 except for using the components shown in Table 5. For each comparative pair (ie, A and V, C and D, E and F), Topography A was used for the first comparative example and Topography X was used for the second comparative example. Silicon carbide particles (SIC) had an average particle size of 60 μm.

비교예 A-F를 상술한 실시예 1-18에서와 같은 방법으로 시험하였다. 각 비교예에 대하여 두 개의 시료를 시험하고 양 결과를 나타내었다. 결과를 아래의 표6에 나타내었다. Ra 및 Rtm 값들은 시험한 각 연마용품에 대해 5회 측정한 값의 평균값이다.Comparative Example A-F was tested in the same manner as in Example 1-18 described above. Two samples were tested for each comparative example and both results were shown. The results are shown in Table 6 below. Ra and Rtm values are the average of five measurements for each abrasive article tested.

비교예Comparative example UAOUAO HDDAHDDA TPDATPDA PH2PH2 ACHACH ASFASF SICSIC TFSTFS SICSIC A/BA / B 60.7060.70 7.707.70 23.0023.00 1.001.00 0.500.50 2.002.00 5.005.00 0.100.10 5%5% C/DC / D 55.7055.70 7.707.70 23.0023.00 1.001.00 0.500.50 2.002.00 10.0010.00 0.100.10 10%10% E/FE / F 50.7050.70 7.707.70 23.0023.00 1.001.00 0.500.50 2.002.00 15.0015.00 0.100.10 15%15%

비교예 AComparative Example A 비교예 BComparative Example 비교예 CComparative Example C 비교예 DComparative Example D 비교예 EComparative Example E 비교예 FComparative Example F 제거된 재료 (그램)Removed Material (grams) 0.010.01 0.010.01 0.020.02 0.030.03 0.020.02 0.050.05 평균 RaAverage Ra 0.810.81 0.900.90 1.211.21 0.700.70 0.730.73 0.520.52 제거된 재료 (그램)Removed Material (grams) 0.020.02 0.050.05 0.020.02 0.030.03 0.030.03 0.050.05 평균 RaAverage Ra 1.171.17 0.950.95 0.690.69 0.710.71 0.740.74 0.960.96

위의 데이터는 탄화규소 입자를 포함하는 연마용품이 표2의 실시예 1-18에 나타낸 바와 같은 본 발명에 따른 연마용품보다 더 적은 유리 재료를 제거한다는 것을 보여준다. 더욱이, 토포그래피 A와 토포그래피 B간의 두드러진 폴리싱 차이는 나타나지 않았다.The data above show that an abrasive article comprising silicon carbide particles removes less glass material than the abrasive article according to the present invention as shown in Examples 1-18 of Table 2. Moreover, no significant polishing difference between topography A and topography B was seen.

비교예 G-SComparative example

이하의 비교 실시예 G-S는 약 9㎛ 및 약 15㎛의 평균 크기를 갖는 다이아몬드 입자를 20% 포함하는 실시예 20의 능력을 보여준다. 비교예 G-S는 상업적으로 구입할 수 있는 기타 유사한 연마용품들이다. 이 연마용품들을 실시예 4 (다이아몬드 입자의 평균 크기가 약 74㎛) 및 실시예 19 (약 30㎛ 및 약 45㎛ 크기의 두 가지 다이아몬드 입자들의 혼합)의 연마용품으로 폴리싱한 후의 시험 유리 블랭크에 대해 시험하였다. 우레탄 오픈 셀 (연질 발포체 패드) 대신 쇼어 A 경도가 약 65 듀로미터인 실리콘 발포체 패드를 사용한 점만 제외하고 위에서와 같은 시험 방법을 사용하여 연마용품을 시험하였다. 상술한 바와 같이, 배면재 패드를 교환하면 제거된 재료의 그램수 및 Ra 값으로 표시되는 표면 마무리 상태 모두에 있어서 폴리싱 성능에 영향을 미칠 것으로 예상되었다. 또한, 아래의 표에 기재된 "폴리싱 시간"은 실제로 폴리셔/그라인더 장치 상에 설정한 폴리싱 시간 간격을 말한다. 실시예 4의 연마용품으로 폴리싱하기 전의 입력 Ra 값은 약 1.4㎛ 이상이었다.Comparative Example Vs-S below demonstrates the ability of Example 20 to include 20% diamond particles having an average size of about 9 μm and about 15 μm. Comparative Examples V-S are other similar abrasive articles commercially available. These abrasive articles were polished with an abrasive article of Example 4 (average size of diamond particles about 74 μm) and Example 19 (mixing of two diamond particles of size about 30 μm and about 45 μm) onto a test glass blank after polishing. Was tested. The abrasive article was tested using the test method above, except that silicone foam pads with Shore A hardness of about 65 durometers were used instead of urethane open cells (soft foam pads). As mentioned above, it was expected that changing the backing pad would affect polishing performance both in the number of grams of material removed and in the surface finish indicated by the Ra value. In addition, the "polishing time" described in the table below actually refers to the polishing time interval set on the polisher / grinder device. The input Ra value before polishing with the abrasive article of Example 4 was at least about 1.4 μm.

실시예Example 44 1919 2020 폴리싱 시간Polishing time 15초15 seconds 15초15 seconds 10초10 sec 제거된 재료 (그램)Removed Material (grams) 1.21.2 0.180.18 00 평균 RaAverage Ra 0.320.32 0.070.07 0.030.03

표7에 나타낸 데이터는 배면재 패드의 교환이 세 가지 크기 범위의 연마 입자들을 포함하는 본 발명에 따른 연마용품을 이용하여 시험 유리 블랭크로부터 제거되는 재료의 그램수에 영향을 미치지 않았다는 것을 보여준다. 그러나, 실시예 20의 연마용품 (약 9㎛ 및 약 15㎛ 크기의 두 가지 다이아몬드 입자들의 혼합)으로 폴리싱한 후 시험 유리 블랭크상에 실질적으로 광학적으로 투명한 표면이 얻어졌다.The data shown in Table 7 shows that the exchange of the backing pad did not affect the number of grams of material removed from the test glass blank using the abrasive article according to the invention comprising abrasive grains in three size ranges. However, after polishing with the abrasive article of Example 20 (mixing of two diamond particles of about 9 μm and about 15 μm in size), a substantially optically transparent surface was obtained on the test glass blank.

비교예 G-S에 대해, 실시예 20 및 22에 대해 기술된 바와 같은 RPP 시험 방법을 이용하여 폴리싱 시험을 행하였다. 결과를 아래에 나타내었다.For Comparative Example V-S, a polishing test was conducted using the RPP test method as described for Examples 20 and 22. The results are shown below.

비교예 G는 미네소타주 세인트폴에 소재한 미네소타 마이닝 앤드 매뉴팩춰링 컴퍼니사 (이하 "3M"이라 함)로부터 "Flexible Diamond M20 (3M 6001J)"라는 상품명으로 입수 가능한, 금속이 결합된 다이아몬드 연마용품이다. 다이아몬드 입자들은 약 20 마이크로미터의 평균 입자 크기를 갖는다.Comparative Example 금속 is a metal-bonded diamond abrasive product available under the trade name "Flexible Diamond M20 (3M 6001J)" from Minnesota Mining and Manufacturing Company (hereinafter referred to as "3M") in St. Paul, Minn. . Diamond particles have an average particle size of about 20 micrometers.

비교예 H는 3M사로부터 "3M Flexible Diamond M10 (3M 6001J)"라는 상품명으로 입수 가능한, 금속이 결합된 다이아몬드 연마용품이다. 다이아몬드 입자들은 약 10 마이크로미터의 평균 입자 크기를 갖는다.Comparative Example H is a metal-bonded diamond abrasive article available from 3M under the trade name "3M Flexible Diamond M10 (3M 6001J)". Diamond particles have an average particle size of about 10 micrometers.

실시예Example 44 1919 비교예 GComparative Example 비교예 HComparative Example H 제거된 재료 (그램)Removed Material (grams) 0.20.2 0.020.02 1.561.56 0.790.79 폴리싱 시간Polishing time 10초10 sec 10초10 sec 10초10 sec 10초10 sec 평균 RaAverage Ra 0.230.23 0.060.06 0.610.61 0.350.35

비교예 I는 3M사로부터 "Imperial Microfinishing Film S/C PSA (3M 468L)"이라는 상품명으로 입수 가능한, 통상적인 탄화규소 래핑 연마용품이다. 탄화규소 입자들은 약 9 마이크로미터의 평균 입자 크기를 갖는다.Comparative Example I is a conventional silicon carbide wrapped abrasive article available from 3M under the trade name "Imperial Microfinishing Film S / C PSA (3M 468L)". Silicon carbide particles have an average particle size of about 9 micrometers.

실시예 4 및 19 및 비교예 I를 상술한 바와 같이 시험하였다. 입력 Ra 값은 약 1.59 마이크로미터였다.Examples 4 and 19 and Comparative Example I were tested as described above. The input Ra value was about 1.59 micrometers.

실시예Example 44 1919 비교예 IComparative Example I 폴리싱 시간Polishing time 15초15 seconds 15초15 seconds 10초10 sec 평균 RaAverage Ra 0.240.24 0.060.06 0.040.04

비교예 J는 3M사로부터 "Imperial Microfinishing Film S/C PSA (3M 468L)"이라는 상품명으로 입수 가능한, 통상적인 탄화규소 래핑 연마용품이다. 탄화규소 입자들은 약 15 마이크로미터의 평균 입자 크기를 갖는다.Comparative Example V is a conventional silicon carbide wrapped abrasive article available from 3M under the trade name "Imperial Microfinishing Film S / C PSA (3M 468L)". Silicon carbide particles have an average particle size of about 15 micrometers.

실시예 4 및 19 및 비교예 J를 상술한 바와 같이 시험하였다. 입력 Ra 값은 1.42 마이크로미터이고 입력 Rtm 값은 15.35 마이크로미터였다.Examples 4 and 19 and Comparative Example VII were tested as described above. The input Ra value was 1.42 micrometers and the input Rtm value was 15.35 micrometers.

실시예Example 44 1919 비교예 JComparative Example 제거된 재료 (그램)Removed Material (grams) 0.780.78 0.090.09 0.040.04 폴리싱 시간Polishing time 15초15 seconds 15초15 seconds 10초10 sec 평균 RaAverage Ra 0.240.24 0.060.06 0.050.05 평균 RtmAverage Rtm 8.918.91 10.2510.25 9.829.82

실시예 4 및 19 그리고 비교예 I 및 J를 상술한 바와 같이 시험하였다. 입력 Ra 값은 1.50 마이크로미터이고 입력 Rtm 값은 10.56 마이크로미터였다.Examples 4 and 19 and Comparative Examples I and V were tested as described above. The input Ra value was 1.50 micrometers and the input Rtm value was 10.56 micrometers.

실시예Example 44 1919 비교예 JComparative Example J 비교예 IComparative Example I 제거된 재료 (그램)Removed Material (grams) 0.630.63 0.090.09 0.080.08 0.00.0 폴리싱 시간Polishing time 10초10 sec 10초10 sec 10초10 sec 10초10 sec 평균 RaAverage Ra 0.0260.026 0.060.06 0.060.06 0.040.04 평균 RtmAverage Rtm 3.423.42 4.224.22 5.915.91 7.777.77

비교예 K는 3M사로부터 "Imperial Fre-Cut Microfinishing Film PSA (3M 266L)"이라는 상품명으로 입수 가능한, 통상적인 산화알루미늄 래핑 연마용품이다. 산화알루미늄 입자들은 약 15 마이크로미터의 평균 입자 크기를 갖는다.Comparative Example V is a conventional aluminum oxide wrapped abrasive article available from 3M under the trade name "Imperial Fre-Cut Microfinishing Film PSA (3M 266L)". Aluminum oxide particles have an average particle size of about 15 micrometers.

실시예 4 및 19 및 비교예 K를 상술한 바와 같이 시험하였다. 입력 Ra 값은 1.54 마이크로미터이고 입력 Rtm 값은 10.38 마이크로미터였다.Examples 4 and 19 and Comparative Example VII were tested as described above. The input Ra value was 1.54 micrometers and the input Rtm value was 10.38 micrometers.

실시예Example 44 1919 비교예 KComparative Example 제거된 재료 (그램)Removed Material (grams) 0.950.95 0.130.13 0.00.0 폴리싱 시간Polishing time 15초15 seconds 15초15 seconds 10초10 sec 평균 RaAverage Ra 0.290.29 0.070.07 0.060.06 평균 RtmAverage Rtm 2.442.44 0.900.90 0.840.84

비교예 L은 3M사로부터 "Imperial Diamond Lapping Film 3 mil backing (3M 662X)"이라는 상품명으로 입수 가능한, 통상적인 다이아몬드 래핑 연마용품이다. 다이아몬드 입자들은 약 15 마이크로미터의 평균 입자 크기를 갖는다.Comparative Example L is a conventional diamond wrapped abrasive article available from 3M under the trade name "Imperial Diamond Lapping Film 3 mil backing (3M 662X)". Diamond particles have an average particle size of about 15 micrometers.

비교예 M은 3M사로부터 "Imperial Diamond Lapping Film 3 mil backing (3M 662X)"이라는 상품명으로 입수 가능한, 통상적인 다이아몬드 래핑 연마용품이다. 다이아몬드 입자들은 약 9 마이크로미터의 평균 입자 크기를 갖는다.Comparative Example M is a conventional diamond wrapped abrasive article available from 3M under the trade name "Imperial Diamond Lapping Film 3 mil backing (3M 662X)". Diamond particles have an average particle size of about 9 micrometers.

실시예 4 및 19 그리고 비교예 L 및 M을 상술한 바와 같이 시험하였다. 입력 Ra 값은 1.41 마이크로미터였다.Examples 4 and 19 and Comparative Examples L and M were tested as described above. The input Ra value was 1.41 micrometers.

실시예Example 44 1919 비교예 LComparative Example L 비교예 MComparative Example M 제거된 재료 (그램)Removed Material (grams) 0.210.21 0.020.02 0.020.02 0.010.01 폴리싱 시간Polishing time 10초10 sec 10초10 sec 10초10 sec 10초10 sec 평균 RaAverage Ra 0.190.19 0.080.08 0.080.08 0.060.06

비교예 N은 3M사로부터 "Imperial Diamond Lapping Film - Type P PSA (3M 664X)"이라는 상품명으로 입수 가능한, 통상적인 수지 결합 다이아몬드 연마용품이다. 다이아몬드 입자들은 약 9 마이크로미터의 평균 입자 크기를 갖는다.Comparative Example N is a conventional resin bonded diamond abrasive article available from 3M under the trade name "Imperial Diamond Lapping Film-Type P PSA (3M 664X)". Diamond particles have an average particle size of about 9 micrometers.

실시예 4 및 19 및 비교예 N을 상술한 바와 같이 시험하였다. 입력 Ra 값은 1.34 마이크로미터였다.Examples 4 and 19 and Comparative Example N were tested as described above. The input Ra value was 1.34 micrometers.

실시예Example 44 1919 비교예 NComparative Example N 제거된 재료 (그램)Removed Material (grams) 0.440.44 0.070.07 0.020.02 폴리싱 시간Polishing time 15초15 seconds 15초15 seconds 10초10 sec 평균 RaAverage Ra 0.230.23 0.070.07 0.060.06

비교예 O는 3M사로부터 "Imperial Diamond Lapping Film - Type B PSA (3M 666X)"이라는 상품명으로 입수 가능한, 통상적인 비드화 다이아몬드 연마용품이다. 다이아몬드 입자들은 약 9 마이크로미터의 평균 입자 크기를 갖는다.Comparative Example O is a conventional beaded diamond abrasive article available from 3M under the trade name "Imperial Diamond Lapping Film-Type B PSA (3M 666X)". Diamond particles have an average particle size of about 9 micrometers.

실시예 4 및 19 및 비교예 O를 상술한 바와 같이 시험하였다. 입력 Ra 값은 1.60 마이크로미터였다.Examples 4 and 19 and Comparative Example O were tested as described above. The input Ra value was 1.60 micrometers.

실시예Example 44 1919 비교예 OComparative Example 제거된 재료 (그램)Removed Material (grams) 0.680.68 0.090.09 0.040.04 폴리싱 시간Polishing time 15초15 seconds 15초15 seconds 10초10 sec 평균 RaAverage Ra 0.250.25 0.060.06 0.080.08

비교예 P는 3M사로부터 "Imperial Fre-Cut Microfinishing Film PSA (3M 266L)"이라는 상품명으로 입수 가능한, 통상적인 산화알루미늄 래핑 연마용품이다. 산화알루미늄 입자들은 약 9 마이크로미터의 평균 입자 크기를 갖는다.Comparative Example P is a conventional aluminum oxide wrapped abrasive article available from 3M under the trade name "Imperial Fre-Cut Microfinishing Film PSA (3M 266L)". Aluminum oxide particles have an average particle size of about 9 micrometers.

실시예 4 및 19 그리고 비교예 K 및 P를 상술한 바와 같이 시험하였다. 입력 Ra 값은 1.72 마이크로미터이고 입력 Rtm 값은 11.62 마이크로미터였다.Examples 4 and 19 and Comparative Examples X and P were tested as described above. The input Ra value was 1.72 micrometers and the input Rtm value was 11.62 micrometers.

실시예Example 44 1919 비교예 KComparative Example 비교예 PComparative example P 제거된 재료 (그램)Removed Material (grams) 0.550.55 0.110.11 0.010.01 0.00.0 폴리싱 시간Polishing time 10초10 sec 10초10 sec 10초10 sec 10초10 sec 평균 RaAverage Ra 0.310.31 0.080.08 0.060.06 0.050.05 평균 RtmAverage Rtm 2.862.86 0.850.85 0.640.64 0.610.61

실시예 4 및 19 및 비교예 P를 상술한 바와 같이 시험하였다. 입력 Ra 값은 1.47 마이크로미터였다.Examples 4 and 19 and Comparative Example P were tested as described above. The input Ra value was 1.47 micrometers.

실시예Example 44 1919 비교예 PComparative example P 제거된 재료 (그램)Removed Material (grams) 0.450.45 0.070.07 0.00.0 폴리싱 시간Polishing time 15초15 seconds 15초15 seconds 10초10 sec 평균 RaAverage Ra 0.220.22 0.060.06 0.060.06

사용된 연마 입자가 약 9 및 약 15 마이크로미터의 평균 입자 크기를 갖는 입자들의 50/50 혼합물인 백색 산화알루미늄인 점만 제외하고 실시예 20에서와 같은 방법으로 비교예 Q를 제조하였다.Comparative Example V was prepared in the same manner as in Example 20 except that the abrasive particles used were white aluminum oxide, a 50/50 mixture of particles having an average particle size of about 9 and about 15 microns.

실시예 4 및 19 및 비교예 Q를 상술한 바와 같이 시험하였다. 입력 Ra 값은 1.51 마이크로미터였다.Examples 4 and 19 and Comparative Example VII were tested as described above. The input Ra value was 1.51 micrometers.

실시예Example 44 1919 비교예 QComparative Example 제거된 재료 (그램)Removed Material (grams) 0.280.28 0.030.03 0.010.01 폴리싱 시간Polishing time 15초15 seconds 15초15 seconds 10초10 sec 평균 RaAverage Ra 0.150.15 0.050.05 0.060.06

백색 산화알루미늄 대신 탄화규소 연마 입자를 사용한 점만 제외하고 실시예 20에서와 같은 방법으로 비교예 R을 제조하였다.Comparative Example R was prepared in the same manner as in Example 20 except for using silicon carbide abrasive particles instead of white aluminum oxide.

비교예 S는 산화세륨 입자를 포함하는 연마용품이며 아래와 같이 제조하였다. 연마 슬러리는 다음의 성분들을 포함한다:Comparative Example S is an abrasive article containing cerium oxide particles and prepared as follows. The polishing slurry contains the following components:

BP1 : 펜타에리쓰리톨 테트라아크릴레이트 (SR 295라는 상품명으로, 펜실바니아주 엑스턴에 소재한 사르토머사로부터 구입 가능함);BP1: pentaerythritol tetraacrylate (available under the trade name SR 295, available from Sartomer, Exton, Pa.);

BP2 : 2-페녹시에틸 아크릴레이트 수지 (SR 339라는 상품명으로 사르토머사로부터 구입 가능함);BP2: 2-phenoxyethyl acrylate resin (commercially available from Sartomer under the trade name SR 339);

CA1 : 3-메타크릴옥시프로필트리메톡시실란 결합제 (A-174라는 상품명으로, 코네티컷주 댄버리에 소재한 오에스아이 스페셜리티스사로부터 구입 가능함);CA1: 3-methacryloxypropyltrimethoxysilane binder (available under the trade name A-174, available from OSI Specialty, Inc., Danbury, CT);

PH7 : 2,4,6-트리메틸벤조일-디페닐-포스핀 옥사이드 액체 광개시제 (Lucirin LR 8893이라는 상품명으로 노스캐롤라이나주 샬럿에 소재한 바스프사로부터 구입 가능함);PH7: 2,4,6-trimethylbenzoyl-diphenyl-phosphine oxide liquid photoinitiator (commercially available from BASF Corporation, Charlotte, NC under the trade name Lucirin LR 8893);

CEO1 : 평균 입자 크기가 약 0.5 마이크로미터인 산화세륨 연마 입자 (코네티컷주 쉘턴에 소재한 롱 프랑사로부터 구입 가능함); 및CEO1: cerium oxide abrasive particles having an average particle size of about 0.5 micrometers (available from Long Franca, Shelton, CT); And

APS : 음이온 폴리에스테르 계면활성제 (FP4 및 PS4라는 상품명으로, 델라웨어주 윌밍턴에 소재한 아이씨아이 아메리카사로부터 구입 가능함).APS: Anionic polyester surfactant (available under the trade names FP4 and PS4, available from IC America, Inc., Wilmington, Delaware).

실시예 1의 연마용품은 표19에 기술한 연마 슬러리 처방으로부터 제조하였다.The abrasive article of Example 1 was prepared from the abrasive slurry formulation described in Table 19.

성분ingredient 중량%weight% BP1BP1 6.856.85 BP2BP2 6.856.85 CA1CA1 0.840.84 APSAPS 1.261.26 PH7PH7 0.470.47 CEO1CEO1 83.7483.74

이 연마용품을 위의 처방을 갖는 산화세륨 슬러리로부터 제조하였다. 연마용품은 명확한 형상을 갖는 연마 복합재들을 포함한다. 산화세륨 입자는 약 0.3 마이크로미터의 평균 입자 크기를 갖는다.This abrasive article was made from a cerium oxide slurry having the above formulation. The abrasive article includes abrasive composites having a definite shape. The cerium oxide particles have an average particle size of about 0.3 micrometers.

실시예 4 및 19 그리고 비교예 R 및 S를 표20에 표시한 폴리싱 시간을 사용한 점만 제외하고 위에 기술한 것과 동일한 시험 방법을 사용하여 시험하였다. 입력 Ra 는 약 1.46 마이크로미터였다.Examples 4 and 19 and Comparative Examples R and S were tested using the same test method as described above except that the polishing times shown in Table 20 were used. The input Ra was about 1.46 micrometers.

실시예Example 44 1919 비교예 RComparative Example R 비교예 SComparative Example S 제거된 재료 (그램)Removed Material (grams) 0.250.25 0.00.0 0.00.0 0.00.0 폴리싱 시간Polishing time 10초10 sec 10초10 sec 10초10 sec 10초10 sec 평균 RaAverage Ra 0.150.15 0.070.07 0.050.05 0.060.06

비교예 G-S의 연마용품들은 위의 실시예 20의 연마용품으로 얻은 결과에 비해 거의 광학적으로 투명한 표면 마무리 상태를 얻어내는 데에 효과적이지 못하였다. 비록 Ra 값은 실시예 20의 연마용품을 사용하여 달성되는 것과 유사할 수 있으나, 비교예 G-S의 연마용품으로 폴리싱한 시험 유리 블랭크는 어떤 경우 깊은 긁힘과 함께 전체적으로 흐릿한 표면 마무리 상태를 나타내었다.The abrasive articles of Comparative Example V-S were not effective in obtaining a nearly optically transparent surface finish compared with the results obtained with the abrasive article of Example 20 above. Although the Ra value may be similar to that achieved using the abrasive article of Example 20, the test glass blanks polished with the abrasive article of Comparative Example B-S exhibited an overall hazy surface finish with deep scratches in some cases. .

비교예 T-WComparative Example T-W

실시예 4 (연마 입자 평균 크기가 약 74㎛), 실시예 19 (약 30㎛ 및 약 45㎛의 평균 크기를 갖는 연마 입자), 및 실시예 20 (약 9㎛ 및 약 15㎛의 평균 크기를 갖는 연마 입자)에 나타낸 세 부분의 연마용품 시스템으로서, 본 발명의 유리 폴리싱용품을 평균 크기가 125㎛, 35㎛, 10㎛ 및 5㎛ (각각 비교예 T, U, V 및 W)인 산화알루미늄 입자를 포함하는 구조화된 연마 패드 시스템과 비교하였다. 이 연마 패드들은 전형적으로는 오프-핸드 래핑 (off-hand lapping)에 사용되고, 3M사로부터 각각 A 125 MIC 3M 268XA AO, A 35 MIC 3M 268XA XO, A 10 MIC 3M 268XA AO, 및 A 5 MIC 3M 268XA AO라는 상품명으로 입수 가능하다.Example 4 (average size of abrasive particles about 74 μm), Example 19 (abrasive particles having an average size of about 30 μm and about 45 μm), and Example 20 (average sizes of about 9 μm and about 15 μm) A three-part abrasive article system, wherein the glass polishing article of the present invention has an aluminum oxide having an average size of 125 탆, 35 탆, 10 탆, and 5 탆 (Comparative Examples T, V, V and V, respectively). Compared to structured polishing pad system comprising particles. These polishing pads are typically used for off-hand lapping, A 125 MIC 3M 268XA AO, A 35 MIC 3M 268XA XO, A 10 MIC 3M 268XA AO, and A 5 MIC 3M, respectively, from 3M Available under the trade name 268XA AO.

비교예Comparative example 제거된 재료 (그램)Removed Material (grams) 8.05 2.268.05 2.26 0.58 0.160.58 0.16 0.10 0.030.10 0.03 0.01 0.010.01 0.01 폴리싱 시간Polishing time 15초15 seconds 15초15 seconds 15초15 seconds 10초10 sec 평균 RaAverage Ra 1.971.97 0.430.43 0.170.17 0.080.08

비교예 T-W의 연마용품 시스템으로 폴리싱한 시험 유리 블랭크는 본 발명의 연마용품에 대한 표7의 결과와 비교할때 미세한 표면 마무리 상태를 보여주지 못하였다. 나아가, 비교예 T-W의 연마용품으로 만들어진 표면 마무리 상태는 본 발명의 연마용품으로 생성한 것보다 더 흐렸다.The test glass blank polished with the abrasive article system of Comparative Example T-X did not show a fine surface finish compared to the results of Table 7 for the abrasive article of the present invention. Furthermore, the surface finish state made of the abrasive article of Comparative Example T-X was more cloudy than that produced with the abrasive article of the present invention.

본 발명의 범위와 기술 사상을 벗어나지 않으면서 본 발명을 다양하게 수정 또는 변경하는 것은 당업자에게 자명할 것이며, 본 발명은 본 명세서에 밝힌 예시적인 실시 태양들로 부당하게 제한되어서는 안되는 것으로 이해하여야 한다.It will be apparent to those skilled in the art that various modifications or variations of the present invention can be made without departing from the scope and spirit of the invention, and it is to be understood that the invention is not to be unduly limited to the illustrative embodiments set forth herein. .

Claims (12)

배면재; 및 Backing material; And 우레탄 아크릴레이트 올리고머를 갖는 경화된 바인더 전구체를 함유하고 배면재 표면에 결합된 바인더 내에 분산된 다이아몬드 입자를 함유하는 1종 이상의 삼차원 연마재 피복을 포함하며, 폴리싱 시간 간격이 약 25초인 RPP 방법에 따라 시험 유리 블랭크 (glass test blank)상의 약 0.05㎛ 이상의 초기 Ra를 약 0.05㎛ 이하의 최종 Ra로 감소시킬 수 있는 연마용품.One or more three-dimensional abrasive coatings containing cured binder precursors with urethane acrylate oligomers and containing diamond particles dispersed in a binder bound to the backing surface and tested according to the RPP method having a polishing time interval of about 25 seconds. An abrasive article capable of reducing an initial Ra of about 0.05 μm or more on a glass test blank to a final Ra of about 0.05 μm or less. 제1항에 있어서, 상기 1종 이상의 연마재 피복이 명확한 형상을 갖는 다수의 복합재를 포함하는 연마용품.The abrasive article of claim 1, wherein the at least one abrasive coating comprises a plurality of composites having a definite shape. 제1항에 있어서, 상기 1종 이상의 연마재 피복이 불규칙한 형상을 갖는 다수의 복합재를 포함하는 연마용품.The abrasive article of claim 1, wherein the at least one abrasive coating comprises a plurality of composites having an irregular shape. 제2항에 있어서, 상기 명확한 형상을 갖는 복합재 각각이 상부보다 최대 약 60% 큰 표면적을 갖는 하부를 포함하는 연마용품.3. The abrasive article of claim 2, wherein each of the composites having a definite shape comprises a bottom having a surface area of up to about 60% greater than the top. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 폴리싱 시간 간격이 약 25초인 RPP 방법에 따라 시험 유리 블랭크로부터 약 0.75g 이상의 유리 재료를 제거할 수 있는 능력을 갖는 제1항 내지 제4항 중 어느 한 항에 따른 연마용품을 유리 중간 제품과 접촉시키는 단계;Contacting the abrasive article according to any one of claims 1 to 4 with the ability to remove at least about 0.75 g of glass material from the test glass blank according to the RPP method having a polishing time interval of about 25 seconds. Making a step; 유리 중간 제품과 연마용품간의 계면에 액체를 공급하는 단계;Supplying a liquid to an interface between the glass intermediate product and the abrasive article; 유리 중간 제품과 연마용품을 서로 맞대어 비비는 단계; 및Rubbing the glass intermediate product and the abrasive article against each other; And 초기 Ra를 약 0.7㎛ 이하로 감소시키는 단계를 포함하는, 유리 중간 제품의폴리싱 방법.Reducing the initial Ra to about 0.7 μm or less. 배면재, 및 배면재 표면에 결합된 바인더 내에 분산된 다수의 다이아몬드 입자를 함유하는 1종 이상의 삼차원 연마재 피복을 포함하는 연마용품을 음극선 튜브 제품의 볼록한 표면과 접촉시키는 단계;Contacting an abrasive article comprising a backing material and at least one three-dimensional abrasive coating containing a plurality of diamond particles dispersed in a binder bonded to the backing surface, with the convex surface of the cathode ray tube product; 음극선 튜브 유리 제품의 볼록한 표면과 연마용품을 물의 존재 하에서 서로 맞대어 비비는 단계; 및Rubbing the convex surface of the cathode ray tube glass article and the abrasive article against each other in the presence of water; And 음극선 튜브 유리 제품의 볼록한 표면을 표면 마무리를 감소시키는 단계를 포함하는, 평평하지 않은 음극선 튜브 유리 제품의 폴리싱 방법.A method of polishing a non-flat cathode tube glass article, the method comprising reducing the surface finish of a convex surface of the cathode tube glass article. 삭제delete
KR10-1999-7008073A 1997-03-07 1998-01-28 Abrasive Article for Providing a Clear Surface Finish on Glass KR100494605B1 (en)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US08/813,878 1997-03-07
US08/813,228 1997-03-07
US8/813,878 1997-03-07
US8/813,228 1997-03-07
US08/813,228 US5888119A (en) 1997-03-07 1997-03-07 Method for providing a clear surface finish on glass
US08/813,878 US5910471A (en) 1997-03-07 1997-03-07 Abrasive article for providing a clear surface finish on glass
PCT/US1998/001558 WO1998039142A1 (en) 1997-03-07 1998-01-28 Abrasive article for providing a clear surface finish on glass

Publications (2)

Publication Number Publication Date
KR20000075987A KR20000075987A (en) 2000-12-26
KR100494605B1 true KR100494605B1 (en) 2005-06-10

Family

ID=27123709

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-7008073A KR100494605B1 (en) 1997-03-07 1998-01-28 Abrasive Article for Providing a Clear Surface Finish on Glass

Country Status (10)

Country Link
EP (1) EP0964772A1 (en)
JP (1) JP2001512375A (en)
KR (1) KR100494605B1 (en)
CN (1) CN1188252C (en)
AU (1) AU727191B2 (en)
BR (1) BR9808152A (en)
CA (1) CA2281921A1 (en)
MY (1) MY129538A (en)
TW (1) TW411303B (en)
WO (1) WO1998039142A1 (en)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6231629B1 (en) 1997-03-07 2001-05-15 3M Innovative Properties Company Abrasive article for providing a clear surface finish on glass
JP3373797B2 (en) * 1998-10-28 2003-02-04 株式会社ノリタケカンパニーリミテド Resin-impregnated reinforced vitrified grinding wheel and method of manufacturing the same
US6458018B1 (en) 1999-04-23 2002-10-01 3M Innovative Properties Company Abrasive article suitable for abrading glass and glass ceramic workpieces
US6634929B1 (en) * 1999-04-23 2003-10-21 3M Innovative Properties Company Method for grinding glass
EP1052062A1 (en) * 1999-05-03 2000-11-15 Applied Materials, Inc. Pré-conditioning fixed abrasive articles
US6616513B1 (en) 2000-04-07 2003-09-09 Applied Materials, Inc. Grid relief in CMP polishing pad to accurately measure pad wear, pad profile and pad wear profile
EP1276593B1 (en) * 2000-04-28 2005-08-17 3M Innovative Properties Company Abrasive article and methods for grinding glass
US20020090901A1 (en) * 2000-11-03 2002-07-11 3M Innovative Properties Company Flexible abrasive product and method of making and using the same
US20020072296A1 (en) * 2000-11-29 2002-06-13 Muilenburg Michael J. Abrasive article having a window system for polishing wafers, and methods
US20030017797A1 (en) 2001-03-28 2003-01-23 Kendall Philip E. Dual cured abrasive articles
US7169029B2 (en) * 2004-12-16 2007-01-30 3M Innovative Properties Company Resilient structured sanding article
BRPI0607298A2 (en) * 2005-01-28 2009-08-25 Saint Gobain Abrasives Inc abrasive articles and processes for obtaining them
US7344574B2 (en) 2005-06-27 2008-03-18 3M Innovative Properties Company Coated abrasive article, and method of making and using the same
KR20080027767A (en) * 2005-07-22 2008-03-28 티디와이 인더스트리스, 인코포레이티드 Composite materials
KR101334012B1 (en) * 2005-07-25 2013-12-02 호야 가부시키가이샤 Manufacturing method of substrate for mask blank, and manufacturing method of mask blank and mask
US20070243798A1 (en) * 2006-04-18 2007-10-18 3M Innovative Properties Company Embossed structured abrasive article and method of making and using the same
CN101214637B (en) * 2008-01-16 2010-09-29 郑州安华磨具有限公司 Glass edging wheel
JPWO2011126028A1 (en) * 2010-04-08 2013-07-11 旭硝子株式会社 Glass plate manufacturing method and manufacturing apparatus
JP5851124B2 (en) * 2011-06-13 2016-02-03 スリーエム イノベイティブ プロパティズ カンパニー Polishing structure
CN103465155B (en) * 2013-09-06 2016-05-11 蓝思科技股份有限公司 A kind of epoxide resin type diamond lap pad and preparation method thereof
JP6611414B2 (en) * 2014-05-27 2019-11-27 スリーエム イノベイティブ プロパティズ カンパニー Paint surface finishing method and polishing material
WO2015194278A1 (en) * 2014-06-17 2015-12-23 バンドー化学株式会社 Polishing pad and method for producing polishing pad
CN104128880B (en) * 2014-07-21 2017-01-11 蓝思科技股份有限公司 Grinding pad special for modified epoxy resin type ceramic and preparation method thereof
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
WO2016067857A1 (en) * 2014-10-28 2016-05-06 バンドー化学株式会社 Polishing material and process for producing polishing material
CN104987708A (en) * 2015-08-03 2015-10-21 金宝丽科技(苏州)有限公司 Fiber-reinforced nylon composite material and preparation method therefor
CN108290267B (en) 2015-10-30 2021-04-20 应用材料公司 Apparatus and method for forming polishing article having desired zeta potential
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
US10391605B2 (en) * 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US11112544B2 (en) 2016-08-12 2021-09-07 3M Innovative Properties Company Truncated beadfilm constructions and methods of making the same
CN106271959A (en) * 2016-08-15 2017-01-04 安徽省银锐玻璃机械有限公司 The method of glass craft edging
KR20180072243A (en) * 2016-12-21 2018-06-29 엠.씨.케이 (주) Resin composition for abrasive article and pad prepared by the same
GB201622441D0 (en) * 2016-12-30 2017-02-15 3M Innovative Properties Co Abrasive article and method of use
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
WO2019032286A1 (en) 2017-08-07 2019-02-14 Applied Materials, Inc. Abrasive delivery polishing pads and manufacturing methods thereof
WO2019108805A2 (en) * 2017-11-30 2019-06-06 Saint-Gobain Abrasives, Inc. Abrasive articles and methods of forming same
KR102053651B1 (en) * 2018-05-30 2019-12-09 이화다이아몬드공업 주식회사 Fixed abrasive pads for sapphire, SiC, glass, and Si wafer polishing and a method for manufacturing the pad
CN108747876B (en) * 2018-06-11 2021-03-19 河北思瑞恩新材料科技有限公司 Preparation method of paint surface grinding sand disc
CN109048698B (en) * 2018-06-22 2020-08-28 广东伟艺研磨技术开发有限公司 Polyurethane polishing wheel and preparation method thereof
CN112654655A (en) 2018-09-04 2021-04-13 应用材料公司 Advanced polishing pad formulations
EP3666461A1 (en) * 2018-12-12 2020-06-17 3M Innovative Properties Company Abrasive article
CN110922096A (en) * 2019-12-16 2020-03-27 韶关大唐研磨材料有限公司 Diamond gasket and preparation method thereof
JP2021098250A (en) * 2019-12-20 2021-07-01 スリーエム イノベイティブ プロパティズ カンパニー Polishing sheet and polishing method
CN112428165B (en) * 2020-10-22 2021-10-22 德阳展源新材料科技有限公司 Preparation method of damping cloth polishing pad
CN113211336B (en) * 2021-03-31 2022-03-08 安徽禾臣新材料有限公司 Polishing pad for polishing corners of electronic display screen and production method thereof
CN114605922B (en) * 2022-03-18 2023-05-09 北京通美晶体技术股份有限公司 Chemical polishing solution for rapid polishing and preparation method thereof

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2094824B (en) * 1981-03-12 1985-07-17 Interface Developments Ltd Abrasive member
JPS63196363A (en) * 1987-02-10 1988-08-15 Asahi Glass Co Ltd Manufacture of cathode-ray tube with irregular reflection surface
JPS63283857A (en) * 1987-05-15 1988-11-21 Asahi Chem Ind Co Ltd Polishing cloth
US5011513A (en) * 1989-05-31 1991-04-30 Norton Company Single step, radiation curable ophthalmic fining pad
JPH04201181A (en) * 1990-11-30 1992-07-22 Ichikawa Woolen Textile Co Ltd Felt for polishing
JPH0768469A (en) * 1993-09-03 1995-03-14 Asahi Glass Co Ltd Sheet for grinding glass plate

Also Published As

Publication number Publication date
CN1249704A (en) 2000-04-05
BR9808152A (en) 2000-03-28
CA2281921A1 (en) 1998-09-11
AU727191B2 (en) 2000-12-07
EP0964772A1 (en) 1999-12-22
MY129538A (en) 2007-04-30
TW411303B (en) 2000-11-11
CN1188252C (en) 2005-02-09
KR20000075987A (en) 2000-12-26
AU6044798A (en) 1998-09-22
JP2001512375A (en) 2001-08-21
WO1998039142A1 (en) 1998-09-11

Similar Documents

Publication Publication Date Title
KR100494605B1 (en) Abrasive Article for Providing a Clear Surface Finish on Glass
US5888119A (en) Method for providing a clear surface finish on glass
US5910471A (en) Abrasive article for providing a clear surface finish on glass
US6231629B1 (en) Abrasive article for providing a clear surface finish on glass
KR100810205B1 (en) Method for Grinding Glass
KR100562446B1 (en) Abrasive article and method for grinding glass
CN106457526B (en) Abrasive material having multiple abrasive elements of different sets and tool for making same
KR100674052B1 (en) Abrasive Article Suitable for Abrading Glass and Glass Ceramic Workpieces
EP0949986B1 (en) Method for the production of optical quality surfaces on glass
AU750293B2 (en) Abrasive slurries and abrasive articles comprising multiple abrasive particle grades
KR100733948B1 (en) Abrasive Article and Methods for Grinding Glass
US8425278B2 (en) Structured abrasive article and method of using the same
CA2227613A1 (en) Abrasive article and method of making such article
EP1015179A1 (en) A structured abrasive article adapted to abrade a mild steel workpiece
JP4808848B2 (en) Glass grinding method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110421

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee