KR100476707B1 - Method of manufacturing a semiconductor device - Google Patents

Method of manufacturing a semiconductor device Download PDF

Info

Publication number
KR100476707B1
KR100476707B1 KR10-2002-0085463A KR20020085463A KR100476707B1 KR 100476707 B1 KR100476707 B1 KR 100476707B1 KR 20020085463 A KR20020085463 A KR 20020085463A KR 100476707 B1 KR100476707 B1 KR 100476707B1
Authority
KR
South Korea
Prior art keywords
film
copper
active metal
interlayer insulating
forming
Prior art date
Application number
KR10-2002-0085463A
Other languages
Korean (ko)
Other versions
KR20040058944A (en
Inventor
김형준
Original Assignee
매그나칩 반도체 유한회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 매그나칩 반도체 유한회사 filed Critical 매그나칩 반도체 유한회사
Priority to KR10-2002-0085463A priority Critical patent/KR100476707B1/en
Publication of KR20040058944A publication Critical patent/KR20040058944A/en
Application granted granted Critical
Publication of KR100476707B1 publication Critical patent/KR100476707B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation

Abstract

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 활성 금속막을 통해 CMP 공정시 구리의 환원 속도를 증가시킴으로서 오버 폴리싱 마진이 넓어지고, 웨이퍼 내 구리 배선의 균일도를 향상시킬 수 있으며, 다싱이나 산화막 침식등 구리 상부에서의 금속 잔류물이 남게 되는 근본적인 원인을 줄일 수 있어서, 공정의 정밀도를 크게 향상시킬 수 있는 반도체 소자의 제조 방법을 제공한다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and by increasing the reduction rate of copper during the CMP process through the active metal film, the overpolishing margin can be widened, and the uniformity of copper wiring in the wafer can be improved, and the smashing and oxide erosion can be performed. There is provided a method of manufacturing a semiconductor device that can reduce the underlying cause of remaining metal residues on top of copper, thereby greatly improving the precision of the process.

Description

반도체 소자의 제조 방법{Method of manufacturing a semiconductor device} Method of manufacturing a semiconductor device

본 발명은 반도체 소자의 제조 방법에 관한 것으로, 특히 구리 배선의 평탄화 공정시 구리의 연마속도를 줄여 구리의 디싱과 산화막 침식을 줄일 수 있는 반도체 소자의 제조 방법에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a semiconductor device capable of reducing copper dishing and oxide film erosion by reducing a polishing rate of copper during a planarization process of copper wiring.

종래의 메탈 라인(Metal Line)은 알루미늄(Al)배선에서 구리(Cu)배선으로 변경되고 있다. 이는 소자가 집적화될 수록 백 앤드 오브 라인(Back End Of Line; BEOL)의 금속화(Metallization)에 의한 RC(Resistance Capacitance) 딜레이(Delay)가 소자의 속도(Device Speed)를 좌우하게 되었다. 이러한 RC 딜레이를 줄이기 위해 저항이 낮은 구리(Cu)를 메탈로 적용하고 유전체(Dielectric)로 저유전율(Low-k)의 물질을 사용하여 비아홀(Via Hole)과 메탈 배선을 동시에 형성하는 듀얼 다마신(Dual Damascene) 방법을 사용한다.Conventional metal lines have been changed from aluminum (Al) wiring to copper (Cu) wiring. As the device is integrated, a resistance capacitance (RC) delay due to metallization of the back end of line (BEOL) has influenced the device speed. In order to reduce this RC delay, dual damascene is achieved by applying low-resistance copper (Cu) as a metal and simultaneously forming via-holes and metal wiring using low-k dielectric materials. Use the Dual Damascene method.

상술한 듀얼 다마신 공정은 구리 도금후 화학 기계적 연마공정(Chemical Mechanical Polishing; CMP)을 실시하여 배선간 금속을 모두 제거함으로서 최종적으로 금속배선을 형성하게 된다. CMP 공정중 배선간의 구리를 제거하는 동안 단차 또는 불균일한 연마에 의해 배리어 금속이 먼저 노출된 지역은 다른 지역의 구리를 제거하는 동안 오버 폴리싱(Over Polishing)에 의해 구리 디싱과 산화막 침식이 커지게 되기 때문에 웨이퍼 내 구리 배선간의 두께 차이를 유발하게 된다. 이로써 구리를 이용한 금속배선의 전기적 신뢰성을 떨어뜨리게 되는 등의 많은 문제점이 발생한다.The dual damascene process described above forms a metal wiring by removing all metals between wires by performing chemical mechanical polishing (CMP) after copper plating. During the CMP process, areas where the barrier metal is first exposed by stepping or uneven polishing during copper removal between wires may increase copper dishing and oxide erosion by over polishing while removing copper from other areas. This causes a difference in thickness between the copper interconnects in the wafer. This causes a number of problems such as lowering the electrical reliability of the metal wiring using copper.

따라서, 본 발명은 상기의 문제점을 해결하기 위하여 배리어 금속이 노출되는 시점에서 구리의 연마 속도를 저하시킴으로써 웨이퍼 상에 형성되는 구리 배선을 동일하게 평탄화할 수 있는 반도체 소자의 제조 방법을 제공하는데 그 목적이 있다. Accordingly, the present invention provides a method of manufacturing a semiconductor device capable of equally flattening a copper wiring formed on a wafer by lowering the polishing rate of copper at the time when the barrier metal is exposed to solve the above problems. There is this.

본 발명에 따른 반도체 기판 상에 층간 절연막을 형성하는 단계와, 상기 층간 절연막 상에 구리막 보다 전기 화학적으로 액티브한 활성 금속막을 형성하는 단계와, 상기 활성 금속막 및 상기 층간 절연막을 패터닝 하여 트렌치를 형성하는 단계와, 전체 구조상에 단차를 따라 배리어막을 형성하는 단계와, 상기 활성 금속막 상의 상기 배리어막을 식각하는 단계와, 전체 구조 상부에 상기 트렌치를 매립하도록 구리막을 형성하는 단계 및 화학 기계적 연마를 이용한 평탄화 공정을 실시하여 상기 층간 절연막 상의 상기 활성 금속막, 상기 베리어막 및 상기 구리막을 제거하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법을 제공한다. Forming an interlayer insulating film on the semiconductor substrate according to the present invention, forming an active metal film electrochemically more active than the copper film on the interlayer insulating film, patterning the active metal film and the interlayer insulating film, and forming a trench Forming a barrier film along a step on the entire structure, etching the barrier film on the active metal film, forming a copper film to fill the trench over the entire structure, and chemical mechanical polishing And removing the active metal film, the barrier film, and the copper film on the interlayer insulating film by performing a planarization process using the same.

이하, 첨부된 도면을 참조하여 본 발명의 실시예를 더욱 상세히 설명하기로 한다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예들은 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 도면상에서 동일 부호는 동일한 요소를 지칭한다.Hereinafter, with reference to the accompanying drawings will be described an embodiment of the present invention in more detail. However, the present invention is not limited to the embodiments disclosed below, but will be implemented in various forms, and only the embodiments are intended to complete the disclosure of the present invention, and to those skilled in the art to fully understand the scope of the invention. It is provided to inform you. Like numbers refer to like elements in the figures.

도 1a 내지 도 1f는 본 발명에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다. 1A to 1F are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with the present invention.

도 1a를 참조하면, 트랜지스터나 커패시터와 같은 반도체 소자(미도시)를 포함하는 여러 요소(접합부, 하부금속배선; 12)가 형성된 반도체 기판(10) 상에 제 1 식각정지막(14), 제 1 층간 절연막(16), 제 2 식각정지막(18), 제 2 층간 절연막(20) 및 활성 금속막(22)을 순차적으로 형성한다.Referring to FIG. 1A, a first etch stop layer 14 and a first etch stop layer 14 are formed on a semiconductor substrate 10 on which various elements (junctions, lower metal wirings) 12 including a semiconductor device (not shown) such as a transistor or a capacitor are formed. The first interlayer insulating film 16, the second etch stop film 18, the second interlayer insulating film 20, and the active metal film 22 are sequentially formed.

구체적으로, 제 1 식각정지막(14)은 식각 선택비를 확보할 목적 뿐만 아니라 반도체 기판(10)과 기판상에 형성된 여러 요소를 보호하고, 확산을 방지하기 위해 질화막 계열의 물질막으로 형성한다. 제 1 및 제 2 층간 절연막(16 및 20)은 화학 기상 증착법(Chemical Vaper Deposition; CVD), 저압 화학 기상 증착법(Low Pressure CVD; LP-CVD), 플라즈마 인핸스드 화학 기상 증착법(Plasma Enhanced CVD; PE-CVD) 또는 대기압 화학 기상 증착법(Atmospheric Pressure CVD; AP-CVD)을 이용하여 형성하거나, 회전도포 방식을 이용하여 형성한다. 제 1 층간 절연막(16)의 일부를 제거하여 비아홀을 형성하고, 제 1 층간 절연막(16)을 식각한 상부의 제 2 층간 절연막(18)의 일부를 제거하여 금속 배선용 트렌치를 형성한다. 이에 한정되지 않고, 듀얼 다마신 패턴의 금속 배선을 형성하기 위한 다양한 목적을 갖는 다양한 형태의 절연막을 이용하고, 저유전율을 갖는 인터 메탈 유전체(Inter Metal Dielectric; IMD)막을 사용하여 제 1 및 제 2 층간 절연막(16 및 20)을 형성할 수 있다.Specifically, the first etch stop layer 14 is formed of a nitride film-based material film to protect the semiconductor substrate 10 and various elements formed on the substrate and to prevent diffusion, as well as to secure the etching selectivity. . The first and second interlayer insulating films 16 and 20 may include chemical vapor deposition (CVD), low pressure CVD (LP-CVD), plasma enhanced CVD (PE) -CVD) or Atmospheric Pressure CVD (AP-CVD), or a rotational coating method. A portion of the first interlayer insulating layer 16 is removed to form a via hole, and a portion of the second interlayer insulating layer 18 on which the first interlayer insulating layer 16 is etched is removed to form a trench for metal wiring. The present invention is not limited thereto, and the first and second electrodes may be formed using an intermetal dielectric (IMD) film having a low dielectric constant by using various types of insulating films having various purposes for forming a metal wiring having a dual damascene pattern. Interlayer insulating films 16 and 20 can be formed.

제 2 식각정지막(18)은 제 2 층간 절연막(20)을 식각하여 트렌치를 형성할 경우 트렌치의 깊이를 제어할 수 있고, 하부에 형성된 구조물(제 1 층간 절연막; 14)이 식각되는 것을 방지한다. 활성 금속막(22)은 구리 배선 평탄화 공정시 동일한 환경에서 구리와 함께 노출되었을 경우 구리의 환원 속도를 증가시켜 구리의 연마 속도를 저하시킬 수 있는 물질막으로서 알루미늄(Al)막, Ti막 및 TiN막으로 형성한다. 즉, 구리막과 함께 동일한 부식 환경에 놓여있을 때 전기화학적으로 액트브한 금속(활성 금속막)은 산화되려는 경향이 있고, 상대적으로 덜 액티브한 금속(즉, 구리막)은 환원되려는 경향이 있게 된다. 이때 활성 금속막이 구리막 보다 액티브하다고 지칭한다. 이러한, 활성 금속막(액티브한 금속)은 전기음성도가 구리막에 비해 상대적으로 크다. When the second etch stop layer 18 forms the trench by etching the second interlayer insulating layer 20, the second etch stop layer 18 may control the depth of the trench and prevent the structure (first interlayer insulating layer 14) formed under the etching layer from being etched. do. The active metal film 22 is an aluminum (Al) film, a Ti film, and a TiN as a material film that can decrease the polishing rate of copper by increasing the reduction rate of copper when exposed to copper in the same environment during the copper wiring planarization process. Form into a film. That is, when placed in the same corrosive environment with a copper film, the electrochemically active metal (active metal film) tends to oxidize and the relatively less active metal (ie, copper film) tends to be reduced. do. At this time, the active metal film is referred to as being more active than the copper film. The active metal film (active metal) has a relatively high electronegativity compared to the copper film.

활성 금속막(22)을 제 2 층간 절연막(20) 상에 형성하기 위하여 활성 금속막(22)과 제 2 층간 절연막(20) 사이에 접착성이 좋은 접착막(미도시)을 증착할 수도 있다. An adhesive film (not shown) having good adhesion may be deposited between the active metal film 22 and the second interlayer insulating film 20 in order to form the active metal film 22 on the second interlayer insulating film 20. .

도 1b를 참조하면, 감광막을 도포한 다음 비아홀 마스크를 이용한 사진 식각 공정을 실시하여 활성 금속막(22) 상부에 제 1 감광막 패턴(미도시)을 형성한다. 상기 제 1 감광막 패턴을 식각 마스크로 하는 식각공정을 실시하여 활성 금속막(22), 제 2 층간 절연막(20), 제 2 식각정지막(18) 및 제 1 층간 절연막(16)을 순차적으로 제거하여 비아홀(24)을 형성한다. 상기 제 1 감광막 패턴을 제거한 다음 전체 구조 상부에 감광막을 도포한다. 트렌치 마스크를 이용한 사진 식각 공정을 실시하여 제 2 감광막 패턴(미도시)을 형성한다. 상기 제 2 감광막 패턴을 식각 마스크로 하는 식각공정을 실시하여 활성 금속막(22)과 제 2 층간 절연막(20)을 제거하여 비아홀(24) 상부에 상부 금속배선용 트렌치(26)를 형성하고, 상기 제 2 감광막 패턴을 제거한다. 식각공정시 제 2 식각정지막(18)에 대한 제 2 층간 절연막(20)의 식각 선택비를 높게 하여 활성 금속막(22)과 제 2 층간 절연막(20) 만이 식각되도록 한다. 이는 제 2 식각정지막(18)을 통해 상부 금속 배선용 트렌치(26)의 깊이를 조절할 수 있다.Referring to FIG. 1B, after the photoresist is coated, a photolithography process using a via hole mask is performed to form a first photoresist pattern (not shown) on the active metal layer 22. An etch process using the first photoresist pattern as an etch mask is performed to sequentially remove the active metal layer 22, the second interlayer insulating layer 20, the second etch stop layer 18, and the first interlayer insulating layer 16. By doing so, the via hole 24 is formed. After removing the first photoresist pattern, a photoresist is applied over the entire structure. A photolithography process using a trench mask is performed to form a second photoresist pattern (not shown). Performing an etching process using the second photoresist pattern as an etching mask to remove the active metal layer 22 and the second interlayer insulating layer 20 to form an upper metal wiring trench 26 on the via hole 24. The second photosensitive film pattern is removed. The etching selectivity of the second interlayer insulating film 20 with respect to the second etch stop layer 18 is increased during the etching process so that only the active metal film 22 and the second interlayer insulating film 20 are etched. This may control the depth of the upper metal wiring trench 26 through the second etch stop layer 18.

이에 한정되지 않고, 다양한 형태의 공정을 통해 비아홀(24)과 트렌치(26)로 구성된 듀얼 다마신 패턴을 형성한다. 패터닝 공정을 통해 트렌치를 먼저 형성한 다음 비아홀을 형성할 수도 있고, 비하 홀 형성시 패터닝 공정의 단차를 없애기 위해 반사 방지막으로 그 내부를 도포할 수도 있다. 또한, 제 1 및 제 2 층간 절연막과 식각 정지막을 형성하지 않고 단일의 절연막을 증착하여 듀얼 다마신 패턴을 형성할 수도 있다. The present invention is not limited thereto, and a dual damascene pattern including the via hole 24 and the trench 26 is formed through various forms of processes. The trench may be first formed through the patterning process, and then the via hole may be formed, or the inside of the trench may be coated with an anti-reflection film in order to eliminate the step difference in the patterning process. In addition, a dual damascene pattern may be formed by depositing a single insulating layer without forming the first and second interlayer insulating layers and the etch stop layer.

도 1c를 참조하면, 비아홀(24) 하부에 노출된 제 1 식각정지막(14)을 제거한 다음 전체 구조 상부에 그 단차를 따라 구리의 확산을 방지하기 위한 도전성 배리어막(28)을 형성한다. 활성 금속막(22) 상부에 형성된 배리어막(28)을 식각한다. Referring to FIG. 1C, the first etch stop layer 14 exposed under the via hole 24 is removed, and then a conductive barrier layer 28 is formed on the entire structure to prevent diffusion of copper along the step. The barrier layer 28 formed on the active metal layer 22 is etched.

구체적으로, 배리어막(28)은 Ta막, TaN막, TiN막, WN막, W-Si-N막 및 Ti-Si-N막 중 적어도 어느 하나의 막으로 형성한다. 전체 구조 상부에 감광막을 도포한 다음, 트렌치(26) 형성과 반대 되는 식각 마스크를 사용한 사진 식각공정을 실시하여 트렌치(26) 상부에만 감광막이 잔류되도록 하는 제 3 감광막 패턴(30)을 형성한다. 제 3 감광막 패턴(30)을 식각 마스크로 하는 식각공정을 실시하여 활성 금속막(22) 상부의 배리어막(28)을 식각한다. 이에 한정되지 않고, 다양한 형태의 감광막 패턴을 형성하여 활성 금속막(22) 상부에 형성된 배리어막(28)을 제거할 수 있다. 감광막을 이용하여 듀얼 다마신 패턴을 매립한 다음 식각공정을 실시하여 배리어막을 제거할 수도 있고, 미세 패턴 이외의 지역만을 노출하는 감광막 패턴을 형성하여 미세 패턴 이외의 지역의 배리어막을 제거할 수도 있다. Specifically, the barrier film 28 is formed of at least one of a Ta film, a TaN film, a TiN film, a WN film, a W-Si-N film, and a Ti-Si-N film. After the photoresist is applied over the entire structure, a photolithography process using an etching mask opposite to the formation of the trench 26 is performed to form a third photoresist pattern 30 for allowing the photoresist to remain only on the trench 26. An etching process using the third photoresist layer pattern 30 as an etching mask is performed to etch the barrier layer 28 on the active metal layer 22. The barrier layer 28 formed on the active metal layer 22 may be removed by forming a photosensitive layer pattern having various forms. The barrier layer may be removed by embedding the dual damascene pattern using the photoresist layer, followed by an etching process, or may form a photoresist pattern exposing only a region other than the micropattern to remove the barrier layer in the region other than the micropattern.

도 1d를 참조하면, 제 3 감광막 패턴(30)을 제거한 다음 구리막(32)을 이용하여 듀얼 다마신 패턴을 매립한다. 구체적으로, 전체 구조상에 단차를 따라 구리 씨드층(미도시)을 증착한다. 금속 도금 방법을 이용하여 구리 도금층을 형성한다. 금속 도금 방법으로는 전해 도금법 및 무전해 도금법을 이용하여 상기 씨드층 상에 구리막을 형성한다. Referring to FIG. 1D, after removing the third photoresist layer pattern 30, the dual damascene pattern is embedded using the copper layer 32. Specifically, a copper seed layer (not shown) is deposited along the step on the entire structure. A copper plating layer is formed using a metal plating method. As a metal plating method, a copper film is formed on the seed layer using an electrolytic plating method and an electroless plating method.

도 1e 및 도 1f를 참조하면, 구리막을 치밀화 하기 위한 어닐 공정을 실시한 다음 CMP를 이용한 평탄화 공정을 실시하여 활성 금속막(22) 상에 형성된 구리막(32)을 제거하고, 제 2 층간 절연막(20) 상에 형성된 활성 금속막(22)을 제거한다. CMP를 이용한 연마 공정중 배리어막(28)이 노출되는 시점에서 활성 금속막(22)도 함께 노출되어 구리막(32)과 활성 금속막(22)간의 갈바닉 효과에 의해 구리의 환원이 가속화 된다. 활성 금속막(22) 상의 구리막(32)을 완전히 제거한 다음 제 2 층간 절연막(20) 상의 활성 금속막(22)을 제거하여 구리 배선을 평탄화 한다. 1E and 1F, an annealing process for densifying the copper film is performed, followed by a planarization process using CMP to remove the copper film 32 formed on the active metal film 22, and a second interlayer insulating film ( The active metal film 22 formed on 20 is removed. At the time when the barrier film 28 is exposed during the polishing process using CMP, the active metal film 22 is also exposed to accelerate the reduction of copper due to the galvanic effect between the copper film 32 and the active metal film 22. After the copper film 32 on the active metal film 22 is completely removed, the active metal film 22 on the second interlayer insulating film 20 is removed to planarize the copper wiring.

슬러리는 구리막 연마용과 활성 금속막 및 배리어 금속막 연마용 두가지노 나누어 사용된다. 구리막 연마용으로 먼저 활성 금속막 또는 배리어 금속막 상의 구리가 잔류하지 않도록 연마공정을 진행한 다음, 슬러리를 활성 금속막 및 배리어 금속막용으로 변경하여 활성 금속막 및 베리어 금속막을 제거한다. 또는, 본 발명의 활성 금속막으로 사용되는 알루미늄, Ti 및 TiN은 구리막 연마용 슬러리를 이용하여 구리막 제거시 함께 제거할 수 있다. The slurry is divided into two types for polishing a copper film and polishing an active metal film and a barrier metal film. For polishing of the copper film, a polishing process is first performed so that copper on the active metal film or the barrier metal film does not remain, and then the slurry is changed to the active metal film and the barrier metal film to remove the active metal film and the barrier metal film. Alternatively, aluminum, Ti, and TiN used as the active metal film of the present invention can be removed together with copper film removal using a copper film polishing slurry.

구체적으로, 구리보다 전기 화학적으로 액티브한 금속을 사용하였을 경우 두 금속이 동일한 환경에 노출되었을 때 구리의 환원속도가 증가하게 된다. Al -> Al3+ +3e- 가 되어 산화반응이 가속화 되고, Cu2+ +2e- -> Cu 가 되어 환원반응이 가속화됨으로서 전체적인 연마속도가 저하된다. 즉, CMP 공정을 실시할 경우 활성 금속막(22)은 빠른 속도로 산화하는 대신 구리의 환원반응이 가속화 되어 배리어막(28)이 노출되는 시점에서 구리의 연마속도가 저하되는 자기 정지(Self Stopping) 효과가 나타난다. 따라서, 본 발명의 활성 금속막(22)을 형성함으로 인해 구리를 이용한 배선에 있어서, 오버 폴리싱 마진이 넓어짐으로 인해 구리의 디싱과 산화막 침식을 줄일 수 있다. 구리막 제거시 사용하였던 슬러리를 교체하여 활성 금속막(22)과 배리어막(28)을 제거한다. 이에 한정되지 않고 구리막을 제거시 활성 금속막도 한꺼번에 제거할 수 있다. 또한 본 발명의 갈바닉 효과를 이용하여 활성 금속막을 증착한 다음 CMP를 이용한 구리 배선을 평탄화 하기 위한 방법은 다양한 형태의 구리 배선을 형성하기 위한 반도체 제조 공정에 사용될 수 있다.Specifically, in the case of using an electrochemically active metal than copper, the reduction rate of copper increases when both metals are exposed to the same environment. The oxidation rate is accelerated by Al-> Al 3 + + 3e-, and the reduction rate is accelerated by Cu 2 + + 2e--> Cu, which reduces the overall polishing rate. That is, in the case of performing the CMP process, instead of oxidizing the active metal film 22 at a high speed, the reduction reaction of copper is accelerated, and the self-stopping of the copper polishing rate decreases when the barrier film 28 is exposed. ) The effect appears. Therefore, in the wiring using copper by forming the active metal film 22 of the present invention, the overpolishing margin can be widened, thereby reducing dishing and oxide erosion of copper. The active metal film 22 and the barrier film 28 are removed by replacing the slurry used in removing the copper film. The present invention is not limited thereto, and when the copper film is removed, the active metal film can be removed at once. In addition, a method for depositing an active metal layer using the galvanic effect of the present invention and then planarizing a copper interconnect using CMP may be used in a semiconductor manufacturing process for forming copper interconnects of various types.

상술한 바와 같이, 본 발명은 활성 금속막을 통해 CMP 공정시 구리의 환원 속도를 증가시킴으로서 오버 폴리싱 마진이 넓어지고, 웨이퍼 내 구리 배선의 균일도를 향상시킬 수 있다. As described above, the present invention can increase the reduction rate of copper in the CMP process through the active metal film, thereby increasing the overpolishing margin and improving the uniformity of the copper wiring in the wafer.

또한, 다싱이나 산화막 침식등 상위 층에서의 금속 잔류물이 남게 되는 근본적인 원인을 줄일 수 있어서, 공정의 정밀도를 크게 향상시킬 수 있다. In addition, it is possible to reduce the root cause of the residual metal residue in the upper layer, such as dassing and oxide erosion, it is possible to greatly improve the precision of the process.

도 1a 내지 도 1f는 본 발명에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다. 1A to 1F are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for the main parts of the drawings>

10 : 반도체 기판 12 : 하부 금속배선10 semiconductor substrate 12 lower metal wiring

14, 18 : 식각 정지막 28 : 배리어막14, 18: etching stop film 28: barrier film

16, 20 : 층간 절연막 22 : 활성 금속막16, 20: interlayer insulating film 22: active metal film

24 : 비아홀 26 : 트렌치24: Via Hole 26: Trench

30 : 감광막 패턴 32 : 구리막30 photosensitive film pattern 32 copper film

Claims (4)

(a) 반도체 기판 상에 층간 절연막을 형성하는 단계;(a) forming an interlayer insulating film on the semiconductor substrate; (b) 상기 층간 절연막 상에 구리막 보다 전기 화학적으로 액티브한 활성 금속막을 형성하는 단계;(b) forming an active metal film electrochemically more active than the copper film on the interlayer insulating film; (c) 상기 활성 금속막 및 상기 층간 절연막을 패터닝 하여 트렌치를 형성하는 단계;(c) patterning the active metal film and the interlayer insulating film to form a trench; (d) 전체 구조상에 단차를 따라 배리어막을 형성하는 단계;(d) forming a barrier film along a step on the entire structure; (e) 상기 활성 금속막 상의 상기 배리어막을 식각하는 단계;(e) etching the barrier film on the active metal film; (f) 전체 구조 상부에 상기 트렌치를 매립하도록 구리막을 형성하는 단계; 및(f) forming a copper film to fill the trench over the entire structure; And (g) 화학 기계적 연마를 이용한 평탄화 공정을 실시하여 상기 층간 절연막 상의 상기 활성 금속막, 상기 베리어막 및 상기 구리막을 제거하는 단계를 포함하되, 상기 화학 기계적 연마를 실시할때 슬러리에 의하여 상기 활성 금속막의 산화 반응이 일어나고, 상기 산화 반응에 의해 상기 구리막의 환원 반응이 가속화되어 상기 구리막의 연마 속도가 저하되도록 함으로써 상기 구리막이 디싱되는 현상이 억제되도록 하는 것을 특징으로 하는 반도체 소자의 제조 방법.(g) performing a planarization process using chemical mechanical polishing to remove the active metal film, the barrier film, and the copper film on the interlayer insulating film, wherein the active metal is deposited by a slurry when performing the chemical mechanical polishing. The oxidation reaction of the film occurs, and the reduction reaction of the copper film is accelerated by the oxidation reaction to reduce the polishing rate of the copper film, so that the phenomenon of dishing of the copper film is suppressed. 삭제delete 제 1 항에 있어서, The method of claim 1, 상기 활성 금속막은 Al막, Ti막 또는 TiN막인 것을 특징으로 하는 반도체 소자의 제조 방법.And the active metal film is an Al film, a Ti film or a TiN film. 제 1 항에 있어서, 상기 (a) 단계와 상기 (b) 단계 사이에, The method of claim 1, wherein, between step (a) and step (b), 상기 층간 절연막과 상기 활성 금속막 간의 접착을 향상시키기 위한 접착막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조 방법.And forming an adhesive film for improving adhesion between the interlayer insulating film and the active metal film.
KR10-2002-0085463A 2002-12-27 2002-12-27 Method of manufacturing a semiconductor device KR100476707B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2002-0085463A KR100476707B1 (en) 2002-12-27 2002-12-27 Method of manufacturing a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2002-0085463A KR100476707B1 (en) 2002-12-27 2002-12-27 Method of manufacturing a semiconductor device

Publications (2)

Publication Number Publication Date
KR20040058944A KR20040058944A (en) 2004-07-05
KR100476707B1 true KR100476707B1 (en) 2005-03-17

Family

ID=37350995

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2002-0085463A KR100476707B1 (en) 2002-12-27 2002-12-27 Method of manufacturing a semiconductor device

Country Status (1)

Country Link
KR (1) KR100476707B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101994359B1 (en) 2018-12-31 2019-06-28 박광용 Multifunctional Electrically-Powered Sofa

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100703559B1 (en) * 2005-12-28 2007-04-03 동부일렉트로닉스 주식회사 The semiconductor device having dual damascene structure and the manufacturing method thereof

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101994359B1 (en) 2018-12-31 2019-06-28 박광용 Multifunctional Electrically-Powered Sofa

Also Published As

Publication number Publication date
KR20040058944A (en) 2004-07-05

Similar Documents

Publication Publication Date Title
US6071809A (en) Methods for forming high-performing dual-damascene interconnect structures
US6245663B1 (en) IC interconnect structures and methods for making same
US7208404B2 (en) Method to reduce Rs pattern dependence effect
US20050263902A1 (en) Barrier free copper interconnect by multi-layer copper seed
KR100468069B1 (en) Self-aligned metal caps for interlevel metal connections
US6841466B1 (en) Method of selectively making copper using plating technology
US6350688B1 (en) Via RC improvement for copper damascene and beyond technology
JP2003179136A (en) Mask layer and interconnection structure for manufacturing dual damascene semiconductor
US7485574B2 (en) Methods of forming a metal line in a semiconductor device
KR100476707B1 (en) Method of manufacturing a semiconductor device
JP2006135363A (en) Semiconductor device and method of manufacturing the semiconductor device
KR20090024854A (en) Metal line and method for fabricating metal line of semiconductor device
US7662711B2 (en) Method of forming dual damascene pattern
KR101100703B1 (en) Method of forming a metal line for a semiconductor device
KR100571696B1 (en) Method For Manufacturing Semiconductor Devices
US8048799B2 (en) Method for forming copper wiring in semiconductor device
KR100784105B1 (en) Method of manufacturing a semiconductor device
KR100568449B1 (en) method of forming interconnection lines in a semiconductor device
KR100652300B1 (en) Method for manufacturing metal line of semiconductor device by using damascene
KR100284139B1 (en) Tungsten plug formation method of semiconductor device
KR100613381B1 (en) Metal line formation method of semiconductor device
KR101138082B1 (en) A method for forming a dual damascene pattern in semiconductor device
KR100274346B1 (en) Method of forming a metal wiring in a semiconductor device
KR100772252B1 (en) Method for manufacturing the copper line
KR20040050118A (en) Method of forming a metal line in a semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20080218

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee