KR100390402B1 - Triple Modular Redundancy Apparatus - Google Patents

Triple Modular Redundancy Apparatus Download PDF

Info

Publication number
KR100390402B1
KR100390402B1 KR10-1999-0030461A KR19990030461A KR100390402B1 KR 100390402 B1 KR100390402 B1 KR 100390402B1 KR 19990030461 A KR19990030461 A KR 19990030461A KR 100390402 B1 KR100390402 B1 KR 100390402B1
Authority
KR
South Korea
Prior art keywords
module
output
logic circuit
modules
outputs
Prior art date
Application number
KR10-1999-0030461A
Other languages
Korean (ko)
Other versions
KR20010011214A (en
Inventor
권태혁
Original Assignee
엘지전자 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엘지전자 주식회사 filed Critical 엘지전자 주식회사
Priority to KR10-1999-0030461A priority Critical patent/KR100390402B1/en
Publication of KR20010011214A publication Critical patent/KR20010011214A/en
Application granted granted Critical
Publication of KR100390402B1 publication Critical patent/KR100390402B1/en

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q1/00Details of selecting apparatus or arrangements
    • H04Q1/18Electrical details
    • H04Q1/20Testing circuits or apparatus; Circuits or apparatus for detecting, indicating, or signalling faults or troubles
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q2213/00Indexing scheme relating to selecting arrangements in general and for multiplex systems
    • H04Q2213/16Service observation; Fault circuit; Testing
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04QSELECTING
    • H04Q2213/00Indexing scheme relating to selecting arrangements in general and for multiplex systems
    • H04Q2213/162Fault indication, e.g. localisation

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Networks & Wireless Communication (AREA)
  • Hardware Redundancy (AREA)

Abstract

본 발명은 교환기 시스템에 관한 것으로, 특히 하드웨어 내고장성 시스템(Hardware Fault Tolerant System)에서 동일한 3개의 모듈로 구현되는 삼중 중복형 모듈러 장치(Triple Modular Redundancy Apparatus ; 이하, TMR 장치 라 약칭함)에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an exchanger system, and more particularly, to a triple redundant modular apparatus (hereinafter, abbreviated as TMR apparatus) implemented as the same three modules in a hardware fault tolerant system. .

본 발명은 다중화된 모듈들간에 인터페이스가 보다 간단한 TMR 장치를 제공하며, 다중화된 모듈들의 오류 동작을 효율적으로 진단하여 이를 회복할 수 있도록 한 TMR 장치를 제공한다.The present invention provides a TMR device having a simpler interface between multiplexed modules, and provides a TMR device capable of efficiently diagnosing and recovering an error operation of the multiplexed modules.

Description

삼중 중복형 모듈러 장치{Triple Modular Redundancy Apparatus}Triple Modular Redundancy Apparatus

본 발명은 교환기 시스템에 관한 것으로, 특히 하드웨어 내고장성 시스템(Hardware Fault Tolerant System)에서 동일한 3개의 모듈로 구현되는 TMR 장치에 관한 것이다.The present invention relates to an exchange system, and more particularly, to a TMR device implemented in the same three modules in a hardware fault tolerant system.

일반적으로 하드웨어 내고장성 시스템은 고장에 견딜 수 있는 시스템을 말하는 것으로, 하드웨어의 오류 동작이 반드시 발생한다는 가정 하에서 연산 또는 논리 회로 등을 이중화하여, 이들 회로의 오류 동작이 자동으로 수정될 수 있도록 한시스템이다.Generally, a hardware fault tolerance system is a system that can withstand failures. It is a system that duplicates arithmetic or logic circuits under the assumption that hardware faulty operation must occur so that faulty operation of these circuits can be automatically corrected. to be.

이 하드웨어 내고장성 시스템은 시스템의 일부에 오류 동작이 발생하더라도 전체 시스템 동작에는 영향을 주지 않고 실행되는 처리를 계속 유지시켜 준다.This hardware fault tolerant system keeps running processes even if part of the system fails, without affecting overall system operation.

또한 시스템 일부에서 중대한 고장이 발생하기 전에 이를 진단하여 자동으로 조치해 주기 때문에 오류 동작에 대한 인위적인 처리 없이도 올바른 처리 결과가 보증된다.It also diagnoses and automatically takes care of critical faults in parts of the system, ensuring correct results without the need for artificial handling of faulty behavior.

이와 같은 하드웨어 내고장성 시스템에는 이중화 또는 삼중화된 중복형 모듈러 장치가 사용된다.Such hardware fault tolerance systems use redundant or tripled redundant modular devices.

TMR 장치는 이러한 하드웨어 내고장성 시스템에 사용되는 것으로, 중앙 처리 장치, 시스템 버스화 기억 장치, 입출력 처리 장치 및 입출력 버스 등과 같이 주요한 하드웨어의 요소들을 다중화하여 어떠한 고장이 발생하더라도 이를 진단하여 회복시키는 기능을 갖추고 있다.TMR devices are used in such hardware fault tolerant systems. The TMR device is designed to multiplex the major hardware elements such as the central processing unit, system bus memory, I / O processing unit, and I / O bus to diagnose and recover any failures. Equipped.

도 1은 종래 기술에 따른 TMR 시스템의 구조를 나타낸 블록구성도이다.1 is a block diagram showing the structure of a conventional TMR system.

도 1을 참조하면, 제1 모듈(B1)(1), 제2 모듈(B2)(2) 및 제3 모듈(B3)(3)은 서로 동일한 하드웨어 구조를 가지고 있으며, 또한 동일한 소프트웨어 프로그램이 실장되어 있다.1, the first module (B1) (1), the second module (B2) (2) and the third module (B3) (3) have the same hardware structure, and the same software program is mounted It is.

따라서 각 모듈(1,2,3)이 정상적으로 동작한다면, 동기가 정확히 일치되는 클럭에 의해 동작하여 같은 시간에 모두 동일한 처리 결과를 출력하게 될 것이다.Therefore, if each module (1, 2, 3) operates normally, it will be operated by a clock that is synchronized exactly, and will output the same processing results at the same time.

이 때, 출력 포트 모듈(V)(4)은 각 모듈(1,2,3)에서 출력되는 처리 결과를 입력으로 하여 각 처리 결과를 비교하게 되는데, 그 비교 결과에 따라 최종 출력을내보낸다.At this time, the output port module (V) 4 uses the processing results output from the modules 1, 2, and 3 as inputs, and compares each processing result, and sends out the final output according to the comparison result.

출력 포트 모듈(V)(4)은 각 모듈(1,2,3)에서 출력되는 처리 결과를 비교하여 3개의 출력 중 2개 이상의 출력이 동일하면, 이들 동일한 출력을 최종 출력으로 하여 내보낸다. 그러나 동일한 처리 결과가 출력되지 않는 모듈에 대해서는 오류가 발생한 것으로 간주하여 진단 신호(Diagnostic signal)를 제공한다.The output port module (V) 4 compares the processing result output from each module 1, 2, 3, and if two or more outputs among the three outputs are the same, these same outputs are sent out as final outputs. However, for the module that does not output the same processing result, it is regarded as an error and a diagnostic signal is provided.

또한 출력 포트 모듈(V)(4)은 각 모듈(1,2,3)에서 출력되는 처리 결과를 비교하여 3개의 출력 모두가 동일하지 않다면, 각 모듈(1,2,3)에서 오류가 발생한 것으로 간주하여 진단 신호(Diagnostic signal)를 각각 제공한다.In addition, the output port module (V) 4 compares the processing result output from each module (1, 2, 3), and if all three outputs are not the same, an error occurs in each module (1, 2, 3). Each of them provides a diagnostic signal.

이후 출력 포트 모듈(V)(4)로부터 진단 신호를 제공받은 해당 모듈은 이들 진단 신호를 이용하여 발생된 오류를 진단한다.Then, the corresponding module that receives the diagnostic signal from the output port module (V) 4 uses these diagnostic signals to diagnose an error generated.

이와 같은 종래의 TMR 장치는 다중화되는 모듈 이외에도 각 모듈의 출력을 비교하고 판단하여 최종 출력을 내보내기 위한 출력 포트 모듈이 따로 구비되어야 한다.Such a conventional TMR apparatus should be provided with an output port module for outputting the final output by comparing and determining the output of each module in addition to the multiplexed modules.

이로 인해 TMR 장치에 구비되는 모듈들간의 상호 연결이 복잡하며, 특히 출력 포트 모듈에 오류가 발생할 경우에는 이를 회복할 대안이 없으므로 시스템 전체에 바람직하지 않는 영향을 미치게 된다.Due to this, the interconnection between the modules provided in the TMR apparatus is complicated, and in particular, when an error occurs in the output port module, there is no alternative to recover the system, which has an undesirable effect on the entire system.

본 발명의 목적은 상기한 문제점을 감안하여 안출한 것으로, 다중화된 모듈들간에 인터페이스가 보다 간단한 TMR 장치를 제공하는데 있다.SUMMARY OF THE INVENTION An object of the present invention is to provide a TMR device having a simpler interface between multiplexed modules.

본 발명의 또다른 목적은 다중화된 모듈들의 오류 동작을 효율적으로 진단하여 이를 회복할 수 있도록 한 TMR 장치를 제공하는데 있다.Another object of the present invention is to provide a TMR apparatus capable of efficiently diagnosing and recovering an error operation of multiplexed modules.

상기한 목적을 달성하기 위한 본 발명에 따른 TMR 장치의 특징은, 다중화된 모듈들의 각 처리 결과를 하나 이상씩 조합하여 비교함으로써, 각 모듈들이 상기 비교된 결과를 토대로 자신의 진단 여부를 판단할 수 있도록 일정 신호를 각각 제공하는 비교 논리 회로가 상기 다중화된 모듈에 각각 구비된다.A feature of the TMR apparatus according to the present invention for achieving the above object is, by combining each of the results of the processing of the multiplexed modules combined by one or more, it is possible to determine whether each module has its own diagnosis based on the comparison results Comparing logic circuits each providing a constant signal are provided in the multiplexed module.

바람직하게는, 상기 다중화된 모듈에 비교 논리 회로는, 제1 모듈, 제2 모듈, 제3 모듈의 순으로 루프를 형성한다.Preferably, the comparison logic circuit in the multiplexed module forms a loop in the order of the first module, the second module, and the third module.

또한, 상기 비교 논리 회로 중 제1 모듈에 구비된 제1 비교 논리 회로는, 제1 모듈의 출력과 전단에 위치한 제3 모듈의 출력을 비교한 결과를 다음단에 위치한 제2 모듈의 제2 비교 논리 회로에 제공한다.In addition, the first comparison logic circuit provided in the first module of the comparison logic circuit, the result of comparing the output of the first module and the output of the third module located in the front end of the second comparison of the second module located in the next stage To the logic circuit.

여기서, 상기 제1 비교 논리 회로는, 상기 제2 모듈의 출력과 상기 제3 모듈의 출력을 비교한 결과, 그리고 상기 제1 모듈의 출력과 상기 제2 모듈을 출력을 비교한 결과를 상기 제3 모듈에 구비된 제3 비교 논리 회로로부터 제공받는다.Here, the first comparison logic circuit, the result of comparing the output of the second module and the output of the third module, and the result of comparing the output of the first module and the output of the second module is the third It is provided from the third comparison logic circuit provided in the module.

도 1 은 종래 기술에 따른 TMR 장치의 구조를 나타낸 블록구성도.1 is a block diagram showing the structure of a conventional TMR device;

도 2 는 본 발명에 따른 비교 논리 회로의 구조를 나타낸 도면.2 illustrates a structure of a comparison logic circuit according to the present invention;

도 3 은 본 발명에 따른 TMR 장치의 구조를 나타낸 블록구성도.Figure 3 is a block diagram showing the structure of a TMR apparatus according to the present invention.

*도면의 주요 부분에 대한 부호의 설명** Description of the symbols for the main parts of the drawings *

10 : 제1 모듈(M1) 11 : 제1 비교 논리 회로(CL1)10: first module M1 11: first comparison logic circuit CL1

20 : 제2 모듈(M2) 21 : 제2 비교 논리 회로(CL2)20: second module M2 21: second comparison logic circuit CL2

30 : 제3 모듈(M3) 31 : 제3 비교 논리 회로(CL3)30: third module M3 31: third comparison logic circuit CL3

이하, 본 발명에 따른 TMR 장치에 대한 바람직한 일 실시 예를 첨부된 도면을 참조하여 설명한다.Hereinafter, a preferred embodiment of a TMR apparatus according to the present invention will be described with reference to the accompanying drawings.

본 발명의 TMR 장치는 각 모듈로부터 출력된 처리 결과를 비교하고 판단하기 위한 기존의 출력 포트 모듈을 사용하지 않고, 각 모듈 내부에 비교 논리 회로(Comparing Logic Circuit)를 구비한다.The TMR apparatus of the present invention does not use an existing output port module for comparing and determining the result of processing output from each module, and includes a compiling logic circuit inside each module.

도 2는 본 발명에 따른 비교 논리 회로(CL)의 구조를 나타낸 도면이다.2 is a diagram showing the structure of a comparison logic circuit CL according to the present invention.

다음은 도 2에 도시된 본 발명에 따른 비교 논리 회로(CL)의 동작을 설명한다.The following describes the operation of the comparison logic circuit CL according to the invention shown in FIG.

비교 논리 회로(CL)의 입출력 관계는 초고속 집적 회로(VHSIC : Very High Speed Integrated Circuit)를 설계하는데 사용되는 기술 언어인 초고속 집적 회로 하드웨어 기술 언어(VHSIC Hardware Description Language)로 다음과 같이 표현된다.The input / output relationship of the comparison logic circuit CL is expressed as VHSIC Hardware Description Language (VHSIC), which is a description language used to design a very high speed integrated circuit (VHSIC).

"Entity CL is port("Entity CL is port (

SCS,CSI : in std_logic_vector(n downto 0) ;SCS, CSI: in std_logic_vector (n downto 0);

PCRI,NCRI,P : in std_logic ;PCRI, NCRI, P: in std_logic;

PCRO,CRO : out std_logic ;PCRO, CRO: out std_logic;

CSO : out std_logic_vector(n downto 0) ;CSO: out std_logic_vector (n downto 0);

BE,D : out std_logic) ;BE, D: out std_logic);

end CL ;end CL;

architecture main of CL isarchitecture main of CL is

beginbegin

CSO<=SCS ;CSO <= SCS;

PCRO<=PCRI ;PCRO <= PCRI;

CRO<= '0' when CSI=SCS else '1'CRO <= '0' when CSI = SCS else '1'

BE<= '0' when CSI=SCS and PCRI='1'BE <= '0' when CSI = SCS and PCRI = '1'

'0' when CSI=SCS and PCRI='0' and P='0' else '1' ;'0' when CSI = SCS and PCRI = '0' and P = '0' else '1';

D<= '0' when CSI≠SCS and NCRI='1' and PCRI='1' else '1' ;D <= '0' when CSI ≠ SCS and NCRI = '1' and PCRI = '1' else '1';

end main ; "end main; "

상기에서 알 수 있듯이, CSO는 SCS를 그대로 출력하며, PCRO는 PCRI를 그대로 출력한다.As can be seen above, the CSO outputs the SCS as it is, and the PCRO outputs the PCRI as it is.

CRO는 CSI와 SCS가 같을 때 '0'을 출력하며 CSI와 SCS가 다르면 '1'을 출력한다.The CRO outputs '0' when the CSI and SCS are the same, and outputs '1' when the CSI and the SCS are different.

BE는 CSI와 SCS가 같고 PCRI가 '1'일 때 '0'을 출력하며, CSI와 SCS가 같고 PCRI가 '0'이며 또한 P가 '0'일 때 '0'을 출력한다. 그러나 이를 제외한 경우에는 '1'을 출력한다.BE outputs '0' when CSI and SCS are equal and PCRI is '1', and outputs '0' when CSI and SCS are equal and PCRI is '0' and P is '0'. However, in other cases, it outputs '1'.

D는 CSI와 SCS가 같지 않고 NCRI가 '1'이며 또한 PCRI가 '1'일 때 '0'을 출력한다. 그러나 이를 제외한 경우에는 '1'을 출력한다.D outputs '0' when CSI and SCS are not equal, NCRI is '1', and PCRI is '1'. However, in other cases, it outputs '1'.

도 3은 본 발명에 따른 TMR 장치의 구조를 나타낸 블록구성도로써, 이하 도 2에 도시된 비교 논리 회로에 대해 추가로 설명하며, 이를 토대로 본 발명에 따른 TMR 장치에 대한 동작을 설명한다.3 is a block diagram illustrating a structure of a TMR device according to the present invention. Hereinafter, the comparison logic circuit illustrated in FIG. 2 will be further described, and the operation of the TMR device according to the present invention will be described.

도 3을 참조하면, 제1 모듈(M1)(10), 제2 모듈(M2)(20) 및 제3 모듈(M3)(30)은 서로 동일한 하드웨어 구조를 가지고 있으며, 또한 동일한 소프트웨어 프로그램이 실장되어 있다.Referring to FIG. 3, the first module M1 10, the second module M2 20, and the third module M3 30 have the same hardware structure, and the same software program is mounted. It is.

또한 본 발명의 TMR 장치는 도 2에 도시된 비교 논리 회로(CL)를 내부에 구비하고 있으며, 도시된 바와 같이 각 모듈(10,20,30)간에는 루프를 형성하고 있다.In addition, the TMR apparatus of the present invention includes a comparison logic circuit CL shown in FIG. 2, and a loop is formed between the modules 10, 20, and 30 as shown.

기본적인 TMR 장치의 동작은 3개의 동일한 모듈(10,20,30)을 동시에 동작시키고, 각 모듈(10,20,30)의 출력 중 2개 이상의 출력이 동일하면 그 때의 출력을 정상적인 처리 결과로 인정하여 최종 출력으로 한다.The basic TMR device operates three identical modules 10, 20, and 30 simultaneously, and if two or more outputs of the modules 10, 20, and 30 are identical, the output at that time is a normal processing result. Acknowledge it as the final output.

예로써 각 모듈(10,20,30)의 출력 중 2개 이상의 출력이 동일하면, 이들 동일한 출력을 최종 출력으로 하여 내보낸다. 그러나 동일한 처리 결과가 출력되지 않는 모듈에 대해서는 오류가 발생한 것으로 간주하여 진단 신호(Diagnostic signal)를 제공한다.For example, if two or more outputs among the outputs of each of the modules 10, 20, and 30 are the same, these same outputs are exported as the final output. However, for the module that does not output the same processing result, it is regarded as an error and a diagnostic signal is provided.

또한 각 모듈(10,20,30)의 출력 모두가 서로 동일하지 않다면, 각 모듈(10,20,30)에서 오류가 발생한 것으로 간주하고, 각 모듈(10,20,30)에서 적절한 진단 처리가 수행되도록 진단 신호(Diagnostic signal)를 각각 제공한다.In addition, if all of the outputs of the modules 10, 20, and 30 are not identical to each other, it is assumed that an error has occurred in each of the modules 10, 20, and 30, and appropriate diagnostic processing is performed in each of the modules 10, 20, and 30. Each provides a diagnostic signal to be performed.

여기서 각 모듈(10,20,30)은 상기에서 이미 설명한 비교 논리 회로(CL)의 입출력 관계에 의해 동작하는데 이에 대해 보다 상세히 설명한다.Here, each module 10, 20, 30 operates by the input-output relationship of the comparison logic circuit CL described above, which will be described in more detail.

이하 설명에서는 제1 모듈(M1)(10)을 중심으로 설명한다.In the following description, the first module M1 10 will be described.

제1 모듈(M1)(10)의 CRO는 모듈 자신의 출력과 루프를 형성할 때의 전단에 위치한 제3 모듈(M3)(30)의 출력을 비교한 결과를 알려주는 신호로써, 부활성 신호(Active Low signal)이다. 이 때 제1 모듈(M1)(10) 자신의 출력이 SCS이며, 전단에 위치한 제3 모듈(M3)(30)의 출력이 CSI이다.The CRO of the first module (M1) 10 is a signal indicating the result of comparing the output of the module itself and the output of the third module (M3) 30 located at the front end when forming a loop, the resurrection signal (Active Low signal). At this time, the output of the first module M1 (10) itself is SCS, and the output of the third module (M3) 30 located at the front end is CSI.

이 CRO는 CSI와 SCS가 같을 때 '0'을 출력하며 CSI와 SCS가 다르면 '1'을 출력한다.This CRO outputs '0' when the CSI and SCS are the same, and outputs '1' when the CSI and the SCS are different.

PCRO는 제2 모듈(M2)(20)과 제3 모듈(M3)(30)의 출력을 비교한 결과로써, PCRI를 그대로 출력한다.The PCRO outputs the PCRI as it is as a result of comparing the outputs of the second module M2 20 and the third module M3 30.

CSO는 제1 모듈(M1)(10) 자신의 출력을 다음단에 위치한 제2 모듈(M2)(20)에 알려주는 신호로써, SCS를 그대로 출력한다.The CSO is a signal informing the second module M2 20 located at the next stage of the output of the first module M1 10 itself, and outputs the SCS as it is.

BE는 제1 모듈(M1)(10)의 활성 가능 상태를 알리는 신호로써, CSI와 SCS가 같고 PCRI가 '1'일 때 '0'을 출력하며, CSI와 SCS가 같고 PCRI가 '0'이며 또한 P가 '0'일 때 '0'을 출력하는 부활성 신호(Active Low signal)이다. 그러나 이를 제외한 경우에는 '1'을 출력한다.BE is a signal indicating the active state of the first module (M1) 10, and outputs '0' when CSI and SCS are the same and PCRI is '1', and CSI and SCS are the same and PCRI is '0'. It is also an active low signal that outputs '0' when P is '0'. However, in other cases, it outputs '1'.

D는 제1 모듈(M1)(10)이 비정상적으로 동작하고 있으며, 진단 처리가 수행되야 함을 알리는 신호로써, CSI와 SCS가 같지 않고 NCRI가 '1'이며 또한 PCRI가 '1'일 때 '0'을 출력하는 부활성 신호(Active Low signal)이다. 그러나 이를 제외한 경우에는 '1'을 출력한다.D is a signal indicating that the first module (M1) 10 is abnormally operating and that a diagnostic process should be performed. When CSI and SCS are not equal, NCRI is '1' and PCRI is '1', It is an active low signal that outputs 0 '. However, in other cases, it outputs '1'.

P는 모듈의 위치를 나타내는 신호로써, 3개의 모듈(10,20,30)중 한 모듈만을 '0'으로 하고, 나머지 모듈들은 '1'로 한다. 상기의 설명에서는 제1 모듈(M1)(10)을 중심으로 설명하고 있으며, 제1 모듈(M1)(10)만을 '0'으로 하고 있다.P is a signal indicating the position of the module, and only one module of the three modules 10, 20, and 30 is set to '0', and the other modules are set to '1'. In the above description, the first module M1 10 is described mainly, and only the first module M1 10 is set to '0'.

이러한 TMR 장치의 동작을 고려할 때, 만약 제1 모듈(M1)(10)에 오류가 발생한다면, 제2 모듈(M2)(20)에서 CSI와 SCS가 동일하지 않으므로 제2 모듈(M2)(20)의 CRO는 '1'을 출력하고, 제3 모듈(M3)(30)에서 CSI와 SCS는 서로 동일하므로 제3 모듈(M3)(30)의 CRO는 '0'을 출력한다.In consideration of the operation of the TMR device, if an error occurs in the first module M1 10, the second module M2 20 may not be the same since the CSI and the SCS are not the same in the second module M2 20. CRO outputs '1', and since CSI and SCS are the same in the third module M3 30, the CRO of the third module M3 30 outputs '0'.

결국 제2 모듈(M2)(20)의 BE와 D는 '1'이 되고, 제3 모듈(M3)(30)의 BE는 '0' 그리고 D는 '1'이 된다.As a result, BE and D of the second module M2 20 are '1', BE of the third module M3 30 is '0' and D is '1'.

그러나 제1 모듈(M1)(10)의 D는 '0'이 되어 진단 처리를 실행한다.However, D of the first module M1 10 becomes '0' to execute the diagnostic process.

이러한 상기 동작을 정리하면, 제1 모듈(M1)(10)의 제1 비교 논리 회로(11)는, 제1 모듈(M1)(10)의 출력과 전단에 위치한 제3 모듈(M3)(30)의 출력을 비교한 결과를 다음단에 위치한 제2 모듈(M2)(20)의 제2 비교 논리 회로(21)에 제공한다.In summary, the first comparison logic circuit 11 of the first module M1 10 may include the third module M3 30 positioned at the output and the front end of the first module M1 10. The result of comparing the outputs of the?) Is provided to the second comparison logic circuit 21 of the second module M2 20 located next.

이 때 제1 비교 논리 회로(11)는, 제2 모듈(M2)(20)의 출력과 제3 모듈(M3)(30)의 출력을 비교한 결과, 그리고 제1 모듈(M1)(10)의 출력과 제2 모듈(M2)(20)을 출력을 비교한 결과를 제3 모듈(M3)(30)의 제3 비교 논리 회로(31)로부터 제공받는다.At this time, the first comparison logic circuit 11 compares the output of the second module M2 20 with the output of the third module M3 30, and the first module M1 10. The result of comparing the output of the output with the second module (M2) 20 is received from the third comparison logic circuit 31 of the third module (M3) (30).

그런데 만약 이들 세 모듈(10,20,30)들이 모두 정상적으로 동작하여 모두 동일한 처리 결과를 출력한다면 세 모듈(10,20,30)의 D는 '1'이 되며, 세 모듈(10,20,30) 중 P가 '0'인 모듈이 동작하여 최종 출력의 내보낸다. 도 3에서는 P가 '0'인 제1 모듈(M1)(10)이 동작한다.However, if all three modules 10, 20, 30 operate normally and output the same processing result, D of the three modules 10, 20, 30 becomes '1', and the three modules 10, 20, 30 ) Module with P '0' operates and sends out the final output. In FIG. 3, the first module M1 10 in which P is '0' operates.

또한 이들 세 모듈(10,20,30)들이 동작하여 모두 각각의 처리 결과를 출력한다면 어느 모듈이 비정상적으로 동작하는지를 판단하기 어렵기 때문에 세 모듈(10,20,30)의 D는 '0'이 되어 세 모듈(10,20,30) 모두에서 진단 처리가 실행된다.In addition, if all three modules 10, 20, and 30 operate to output their respective processing results, it is difficult to determine which module is abnormally operated, so that D of the three modules 10, 20, and 30 is 0. Thus, the diagnostic processing is executed in all three modules 10, 20, and 30.

이상의 설명에서와 같이 본 발명에서는 다중화된 모듈들간 인터페이스가 보다 간단하면서도 각 모듈들의 오류 동작을 효율적으로 진단하여 이를 회복할 수 있다는 효과가 있다.As described above, in the present invention, the interface between the multiplexed modules is simpler, but the error operation of each module can be efficiently diagnosed and recovered.

또한, 이러한 TMR 장치를 교환기 시스템에 사용할 때 보다 안정적인 시스템동작을 보장할 수 있다.In addition, it is possible to ensure more stable system operation when using such a TMR device in the exchange system.

Claims (3)

다중화된 모듈들의 각 처리 결과를 하나 이상씩 조합하여 비교함으로써, 각 모듈들이 상기 비교된 결과를 토대로 자신의 진단 여부를 판단할 수 있도록 일정 신호를 각각 제공하는 비교 논리 회로가 상기 다중화된 모듈에 각각 구비되는 것을 특징으로 하는 삼중 중복형 모듈러 장치.By comparing and comparing each processing result of the multiplexed modules by one or more, a comparison logic circuit is provided to each of the multiplexed modules so that each module provides a predetermined signal so that each module can determine its diagnosis based on the compared result. Triple redundancy modular device characterized in that it is provided. 제 1 항에 있어서, 상기 다중화된 모듈에 비교 논리 회로는, 제1 모듈, 제2 모듈, 제3 모듈의 순으로 루프를 형성하는 것을 특징으로 하는 삼중 중복형 모듈러 장치.The triple redundancy modular apparatus of claim 1, wherein the comparison logic circuit in the multiplexed module forms a loop in the order of a first module, a second module, and a third module. 제 1 항에 있어서, 상기 비교 논리 회로 중 제1 모듈에 구비된 제1 비교 논리 회로는 제1 모듈의 출력과 전단에 위치한 제3 모듈의 출력을 비교한 결과를 다음단에 위치한 제2 모듈의 제2 비교 논리 회로에 제공하며, 상기 제1 비교 논리 회로는 상기 제2 모듈의 출력과 상기 제3 모듈의 출력을 비교한 결과, 그리고 상기 제1 모듈의 출력과 상기 제2 모듈을 출력을 비교한 결과를 상기 제3 모듈에 구비된 제3 비교 논리 회로로부터 제공받는 것을 특징으로 하는 삼중 중복형 모듈러 장치.The second comparison logic circuit of claim 1, wherein the first comparison logic circuit provided in the first module compares the output of the first module with the output of the third module located at the front end of the second module. A second comparison logic circuit, wherein the first comparison logic circuit compares an output of the second module with an output of the third module, and compares an output of the first module with an output of the second module. The triple redundant modular apparatus characterized in that the result is provided from a third comparison logic circuit provided in the third module.
KR10-1999-0030461A 1999-07-26 1999-07-26 Triple Modular Redundancy Apparatus KR100390402B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-1999-0030461A KR100390402B1 (en) 1999-07-26 1999-07-26 Triple Modular Redundancy Apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-1999-0030461A KR100390402B1 (en) 1999-07-26 1999-07-26 Triple Modular Redundancy Apparatus

Publications (2)

Publication Number Publication Date
KR20010011214A KR20010011214A (en) 2001-02-15
KR100390402B1 true KR100390402B1 (en) 2003-07-07

Family

ID=19604769

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-0030461A KR100390402B1 (en) 1999-07-26 1999-07-26 Triple Modular Redundancy Apparatus

Country Status (1)

Country Link
KR (1) KR100390402B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20040051313A (en) * 2002-12-12 2004-06-18 한국전자통신연구원 Restart Triple Modular Redundancy Apparatus and Restart Method of Component thereof

Also Published As

Publication number Publication date
KR20010011214A (en) 2001-02-15

Similar Documents

Publication Publication Date Title
KR950005527B1 (en) Multiple-redundant fault detection system and related method for its use
US7802138B2 (en) Control method for information processing apparatus, information processing apparatus, control program for information processing system and redundant comprisal control apparatus
US10042791B2 (en) Abnormal interrupt request processing
JP3002201B2 (en) Cross-connection type inspection circuit and integrated circuit therefor
JP3229070B2 (en) Majority circuit and control unit and majority integrated semiconductor circuit
JPH08255115A (en) Method and equipment for fault detection and fault- tolerant digital data processing
JP2008097164A (en) Fault monitoring method for system composed of a plurality of function element
JPH11143729A (en) Fault tolerant computer
KR100390402B1 (en) Triple Modular Redundancy Apparatus
US6055660A (en) Method for identifying SMP bus transfer errors
JPH06161798A (en) Information processor
JP3063334B2 (en) Highly reliable information processing equipment
JPH11134211A (en) Duplex computer system and its operation method
KR0144824B1 (en) Apparatus for recovery process
JPS6091415A (en) Digital controller
JPS6227814A (en) Fault detection circuit
Palaniswamy Fault tolerant computers
JPH07114521A (en) Multimicrocomputer system
JP2023121471A (en) Restoration device and method for restoration in triple redundant circuit
KR930010950B1 (en) Error-detecting device
JPH0683662A (en) Information processor
JPS62237536A (en) Electronic computer
JPS61134846A (en) Electronic computer system
JPH03211605A (en) Clock distribution system
JPS63109543A (en) Data processor

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20090529

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee