KR100263404B1 - Treatment device, treatment method and cleaning method of treatment device - Google Patents

Treatment device, treatment method and cleaning method of treatment device Download PDF

Info

Publication number
KR100263404B1
KR100263404B1 KR1019940012731A KR19940012731A KR100263404B1 KR 100263404 B1 KR100263404 B1 KR 100263404B1 KR 1019940012731 A KR1019940012731 A KR 1019940012731A KR 19940012731 A KR19940012731 A KR 19940012731A KR 100263404 B1 KR100263404 B1 KR 100263404B1
Authority
KR
South Korea
Prior art keywords
gas
chamber
processing
cleaning
vacuum
Prior art date
Application number
KR1019940012731A
Other languages
Korean (ko)
Other versions
KR960002603A (en
Inventor
리히데키
Original Assignee
히가시 데쓰로
동경 엘렉트론주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 히가시 데쓰로, 동경 엘렉트론주식회사 filed Critical 히가시 데쓰로
Priority to KR1019940012731A priority Critical patent/KR100263404B1/en
Publication of KR960002603A publication Critical patent/KR960002603A/en
Application granted granted Critical
Publication of KR100263404B1 publication Critical patent/KR100263404B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture

Abstract

처리장치는, 처리가스를 사용하여 피처리체를 처리하기 위한 여러 개의 처리실과, 여러 처리실에 접속되고, 이들 처리실에 대한 상기 피처리체의 반출 반입을 하기 위한 반송실과, 여러 처리실과 반송실 사이를 개폐하기 위한 개폐수단과, 이들 처리실 및 반송실 중 적어도 1개의 Cl과 F를 포함하는 크리닝 가스를 공급하는 크리닝 가스 공급계를 구비한다. 그리고, 개폐수단을 연 상태에서 크리닝 가스가 장치내에 공급되어 상기 모든 실이 크리닝 된다.The processing apparatus is connected to several processing chambers for processing the object to be processed using the processing gas, and to various processing chambers, and a transfer chamber for carrying in and out of the processing object to these processing chambers, and opening and closing between the various processing chambers and the transfer chamber. And a cleaning gas supply system for supplying an opening / closing means for supplying a cleaning gas including at least one of Cl and F of these processing chambers and a transfer chamber. Then, the cleaning gas is supplied into the apparatus with the opening and closing means opened, and all the seals are cleaned.

Description

처리장치, 처리방법 및 처리장치의 크리닝 방법Treatment apparatus, treatment method and cleaning method of treatment apparatus

제1도는 본 발명의 일 형태에 관한 멀티챔버 장치를 나타내는 모식도.1 is a schematic diagram illustrating a multichamber device of one embodiment of the present invention.

제2도는 본 발명의 크리닝 방법의 일형태에 있어서의 가스의 흐름을 설명하기 위한 모식도2 is a schematic view for explaining the flow of gas in one embodiment of the cleaning method of the present invention.

제3도는 제1도의 멀티챔버 처리장치에 있어서의 처리실 및 크리닝 가스 공급계를 나타내는 도면3 is a view showing a processing chamber and a cleaning gas supply system in the multichamber processing apparatus of FIG.

제4도는 제1도의 멀티챔버 처리장치에 있어서의 진공 예비실을 나타내는 도면.FIG. 4 is a diagram showing a vacuum reserve chamber in the multichamber processing apparatus of FIG.

제5도는 제4도의 진공 예비실에 사용되는 반도체 웨이퍼의 지지구를 나타내는 사시도.FIG. 5 is a perspective view showing a support of a semiconductor wafer used in the vacuum preliminary chamber of FIG.

제 6a도, 제 6b도는 제2반송실에 사용되는 반송장치를 나타내는 평면도 및 측면도.6A and 6B are a plan view and a side view showing a conveying apparatus used for the second conveyance chamber.

제7도는 본 발명의 크리닝 방법의 다른 형태를 설명하기 위한 모식도.7 is a schematic view for explaining another embodiment of the cleaning method of the present invention.

제8도는 본 발명의 다른 형태에 관한 멀티챔버 장치를 나타내는 모식도.8 is a schematic diagram showing a multichamber device according to another embodiment of the present invention.

제9도는 제1도의 멀티챔버 처리장치에 적용되는 처리실의 다른 예를 나타내는 도면.9 is a view showing another example of a processing chamber applied to the multichamber processing apparatus of FIG.

제10도는 도9a도의 처리실에 사용되는 헤더 가열수단을 나타내는 단면도.FIG. 10 is a cross-sectional view showing header heating means used in the processing chamber of FIG. 9A. FIG.

제11도는 반송실에 사용되는 다관절 아암의 다른 예를 나타내는 도면.11 is a view showing another example of the articulated arm used for the conveying chamber.

제12도는 카세트실의 대기 개방기구를 나타낸 도면.Fig. 12 is a diagram showing the air opening mechanism of the cassette compartment.

제13도는 멀티챔버 처리장치의 크리닝 방법의 다른 형태를 설명하기 위한 도면.13 is a view for explaining another embodiment of the cleaning method of the multichamber processing apparatus.

제14도는 크리닝 종료 후에 있어서의 처리장치 내에의 불황성 가스의 공급 방법의 예를 설명하기 위한 도면.FIG. 14 is a diagram for explaining an example of a method of supplying inert gas to a processing apparatus after cleaning is finished. FIG.

제15도는 멀티챔버 처리장치의 크리닝 방법의 또 다른 형태를 설명하기 위한 도면.FIG. 15 is a diagram for explaining another form of cleaning method of a multichamber processing apparatus. FIG.

제16도는 ClF3의 증기압 곡선을 나타내는 그래프.16 is a graph showing the vapor pressure curve of ClF 3 .

제17도는 웨트 크리닝과 ClF3크리닝에 필요하는 총합시간을 비교하는 그래프.17 is a graph comparing the total time required for wet cleaning and ClF 3 cleaning.

제18도은 형성된 각종 막의 ClF3가스에 의한 에칭레이트를 나타내는 그래프.18 is a graph showing etching rates of ClF 3 gas in various films formed.

제19a, 제19b도는 웨트 크리닝용 시험편의 시험전 및 시험 후의 표면 거칠기를 각각 나타내는 그래프.19A and 19B are graphs showing surface roughnesses before and after the test of the wet cleaning test piece, respectively.

제19c, 제19d도는 ClF3가스 크리닝용 시험편의 시험전 및 시험후의 표면 거칠기를 각각 나타내는 그래프.19C and 19D are graphs showing surface roughnesses before and after the test of the ClF 3 gas cleaning test piece, respectively.

제20도는 퍼티클 평가 순서를 나타내는 플로우 챠트.20 is a flowchart showing a procedure for evaluating a putty.

제21a, 제21b도는 퍼티클 평가결과를 나타내는 그래프.21A and 21B are graphs showing the results of the putty evaluation.

제22a~제22c도는 Cl 및 F의 콘터미네이션 평가결과를 나타내는 그래프.22a to 22c are graphs showing the results of the evaluation of the Cl and F termination.

제23a~제23c도는 Cl 및 F의 콘터미네이션 평가결과에 나타내는 그래프.23a to 23c are graphs showing the results of the evaluation of the Cl and F termination.

*도면의 주요부분에 대한 부호의 설명* Explanation of symbols for main parts of the drawings

1, 2, 3 : 처리실(처리용기) 2A : 저면1, 2, 3: Treatment chamber (treatment vessel) 2A: Bottom

4 : 제1반송실 4A : 가스공급구4: 1st transfer room 4A: gas supply port

5, 6, 7, 10, 11, 14, 15, 18, 19 : 게이트밸브5, 6, 7, 10, 11, 14, 15, 18, 19: gate valve

8 : 반도체 웨이퍼 9 : 제1반송장치8: semiconductor wafer 9: first transfer device

9A, 23A : 다관절 아암부 12, 13 : 진공예비실9A, 23A: Articulated arm part 12, 13: Vacuum reserve room

16 : 제2반송실 17 : 카세트16: 2nd transfer room 17: cassette

20, 21 : 카세트실 23 : 제2반송장치20, 21: cassette compartment 23: second conveying apparatus

24 : 위치결정기구 25, 46, 51A, 85 : 배기구24: positioning mechanism 25, 46, 51A, 85: exhaust port

28, 80 : 서셉터 29 : 가스 분산 공급부28, 80: susceptor 29: gas dispersion supply unit

29A : 분산구멍 30, 83 : 석영창29A: dispersion hole 30, 83: quartz window

31, 84 : 할로겐 램프 32 : 공급계31, 84: halogen lamp 32: supply system

33, 36, 36A, 36B : 배관 34, 39, 41 : 밸브33, 36, 36A, 36B: Piping 34, 39, 41: Valve

35 : 크리닝 가스 공급계 37, 38 : 봄베35: cleaning gas supply system 37, 38: bomb

40 : 매스플로우 콘트롤러 48, 86 : 배기관40: mass flow controller 48, 86: exhaust pipe

49, 59 : 진공배기펌프 50 : 제거장치49, 59: vacuum exhaust pump 50: removal device

51 : 예비실 본체 51B : 가스공급구51: reserve chamber body 51B: gas supply port

52, 62 : 냉각스테이지 53, 63 : 가열장치52, 62: cooling stage 53, 63: heating device

53A : 가열램프 53B : 반사판53A: Heating lamp 53B: Reflector

53C : 석영창 54, 55, 64, 65 : 지지구53C: quartz window 54, 55, 64, 65: support

54A, 55A : 링 54B, 55B : 유지편54A, 55A: Ring 54B, 55B: Holding piece

56, 66 : 연결축 57, 67 : 승강기구56, 66: connecting shaft 57, 67: lifting mechanism

58, 68 : 배기배관 59, 88 : 배기계58, 68 exhaust pipe 59, 88 exhaust system

59 : 진공펌프 60, 70 : 가스공급배관59: vacuum pump 60, 70: gas supply piping

61 : 예비실본체 71 : 아암61: reserve body 71: arm

72 : 핸드 73, 94A : 구멍72: hand 73, 94A: hole

74 : 진공배기관 87 : 진공펌프74: vacuum exhaust pipe 87: vacuum pump

90 : 가스공급헤더 91 : 장착구멍90: gas supply header 91: mounting hole

92 : 플랜지부 93 : 0링92: flange 93: 0 ring

94 : 간막이판 95 : 확산판94: partition plate 95: diffuser plate

95A : 확산구멍 96 : 정류판95A: diffusion hole 96: rectifying plate

96A : 정류구멍 97A, 97B, 97C : 혼합실96A: Commutation hole 97A, 97B, 97C: Mixing chamber

100 : 처리가스공급계100: processing gas supply system

101, 102 : 제1 및 제2 처리가스 도입포트101, 102: first and second process gas introduction port

101A, 102A : 제1 및 제2 포트 개폐밸브101A, 102A: 1st and 2nd port shut off valve

103, 104 : 제1 및 제2 처리가스 도입관103 and 104: first and second process gas introduction pipes

105A, 105B : 제1 및 제2 매스플로우 콘트롤러105A, 105B: first and second massflow controllers

105C, 105D : 제3 및 제4 매스플로우 콘트롤러105C, 105D: 3rd and 4th massflow controller

106A, 106B : 제1 및 제2 개폐밸브106A, 106B: First and second on-off valves

106C, 106D : 제3 및 제4 개폐밸브106C, 106D: Third and fourth on / off valves

107A, 107B : 제1 및 제2 처리가스원107A, 107B: first and second process gas sources

108A, 108B : 분기관 109, 119 : 질소원108A, 108B: branch pipes 109, 119: nitrogen source

110 : 크리닝가스공급계 111 : 크리닝가스도입포트110: cleaning gas supply system 111: cleaning gas introduction port

111A : 크리닝가스포트개폐밸브 112 : 크리닝가스도입관111A: cleaning gas port opening and closing valve 112: cleaning gas introduction pipe

113 : 매스플로우 콘트롤러 114 : 개폐밸브113: mass flow controller 114: on-off valve

120 : 제어부 120A : 표시장치120: control unit 120A: display device

122, 127 : 가열수단122, 127: heating means

123, 124, 128, 129 : 매체통로123, 124, 128, 129: Media passage

124, 128 : 세라믹히터 131 : 가스 검출기구124, 128: ceramic heater 131: gas detector

132 : 흡인관 133, 134 : 가스검출기132: suction tube 133, 134: gas detector

135 : 흡인펌프 136 : 연산부135: suction pump 136: calculation unit

137 : 구동부 138 : 에어실린더137: drive unit 138: air cylinder

140 : 진공배기계 S1 : 열림허가신호140: vacuum exhaust machine S1: open permission signal

S2 : 열림신호S2: open signal

[발명의 상세한 설명]Detailed description of the invention

본 발명은, 반도체 디바이스 등의 피처리체를 처리하는 처리장치, 처리방법 및 처리장치의 크리닝 방법에 관한 것이다.The present invention relates to a processing apparatus for processing a target object such as a semiconductor device, a processing method, and a cleaning method of the processing apparatus.

최근, 반도체 집적회로 소자는 점점 고집적화되어 오고 있고, 그 집적도가 64M DRAM에서 256M DRAM의 세대에 들어가고 있다.In recent years, semiconductor integrated circuit devices have been increasingly integrated, and the degree of integration has entered the generation of 256M DRAM from 64M DRAM.

이 때문에 배선구조의 다층화 및 미세화가 일층 현저하게 되어 오고 있다.For this reason, the multilayering and miniaturization of the wiring structure have become more remarkable.

이와 같이 배선 구조가 다층화함에 따라 배선공정의 스텝이 증가하며, 배선공정의 효율화 및 방진대책이 종래 이상으로 문제로 되어 오고 있다.As the wiring structure is multilayered as described above, the steps of the wiring process increase, and the efficiency of the wiring process and countermeasures against dust have become more problematic than before.

또, 배선 구조의 미세화가 진행함에 따라서, 종래 알루미늄(Al)배선에서는, 마이글레이션 단선 등이 문제로 되며, Al에 대신하는 배선재료로서 텅스텐(W) 등의 마이글레이션 내성에 우수한 금속이 여러 가지 검토되고 있다.In addition, as the wiring structure becomes finer, in a conventional aluminum (Al) wiring, a problem such as migration disconnection becomes a problem, and a metal excellent in migration resistance such as tungsten (W) is used as a wiring material instead of Al. Various reviews are underway.

또, 배선구조의 다층화가 진행함에 따라서, 콘택홀, 비어 홀 등의 메워 넣기에 대해서도 재료면 등에서도 여러가지 검토되고 있다.Moreover, as multilayering of a wiring structure advances, the filling of contact holes, via-holes, etc. is also examined variously from a material surface.

또, 반도체 웨이퍼의 대구경화 및 다층화에 따라서 각 층의 커버리지 성도 중요하게 되어 있다.Moreover, the coverage of each layer also becomes important with the large diameter and multilayer of a semiconductor wafer.

예를 들면 텅스텐을 배선막으로서 성막하는 경우에는, 커버리지성에 뛰어난 CVD법에 의한 블랭케트(W)에 배선이 검토되고 있다.For example, when tungsten is formed as a wiring film, wiring is examined in the blanket W by the CVD method which is excellent in coverage.

이 블랭케트(W)에 의한 배선막은 박리하기 쉬운 결점이 있으며, 이 때문에 퍼티클을 발생하기 쉽다고 하는 난점이 있기 때문에, 그 방지책으로서 질화티탄(TiN) 등의 밀착층을 그 바탕층으로 하여 설치하는 방법이 채택되고 있다.Since the wiring film by this blank W has a defect which is easy to peel off, and since it has a difficulty that it is easy to generate | occur | produce a puticle, as a countermeasure, it installs using the adhesion layer, such as titanium nitride (TiN), as a base layer. The way to do it is adopted.

이 TiN은 종래는 스퍼터법에 의하여 성막하고 있었으나, 스퍼터법에서는 애스팩트비가 높은 홀 저부에서의 커버리지성에 한계가 있기 때문에, TiN에 대해서도 커버리지성에 뛰어난 CVD법에 의한 성막이 검토되고 있다.Conventionally, this TiN has been formed by the sputtering method. However, since the sputtering method has a limited coverage at the bottom of the hole having a high aspect ratio, film formation by the CVD method excellent in coverage is also studied for TiN.

또, 콘택홀, 비어 홀 등의 메워 넣기에는, 블랭케트(W) 또는 표면의 금속 피막 등의 화학적 성질을 이용하여 텅스텐을 선택적으로 메워 넣는 선택(W)이 검토되고 있다.Moreover, the selection W which selectively fills tungsten using chemical properties, such as a blanket W or the surface metal film, is filled in order to fill in contact holes, via-holes, etc ..

블랭케트(W)에 의한 메워 넣기는, TiN으로 구성되는 밀착층의 형성, 블랭케트(W), 및 에치백 등 많은 공정을 필요로 하고 제조단가적으로 높게 되기 때문에, 전류밀도가 높은 특정의 반도체 집적회로 소자의 배선에 대하여 적용하는 경향에 있다.Filling by the blanket (W) requires a number of steps such as the formation of the adhesion layer made of TiN, the blanket (W), and the etch back, and the manufacturing cost is high. There exists a tendency to apply to the wiring of a semiconductor integrated circuit element.

한편, 선택(W)에 의한 메워 넣기는, 홀부를 선택적으로 메워 넣을 수가 있기 때문에, 밀착층을 필요로 하지 않고, 다층 배선이 간편하고 제조단가면에서 유리하다.On the other hand, the filling by the selection W can fill the hole selectively, so that no adhesion layer is required, and the multilayer wiring is simple and advantageous in terms of manufacturing cost.

이때문에, 메우 넣기를 선택(W)으로 하고, 배선을 스퍼터(Al)에 의한 방법이 검토되고 있다.For this reason, the method by which sputter | spatter Al is made for wiring is made into the selection (W).

또, 배선구조의 미세화에 따라서 수평방향에서의 배선층의 간격이 좁게 되며, 이 캡을 메워 넣기 위한 공정도 각 배선층에 대하여 필요하게 되며, 배선구조의 미세화에 따라서 배선공정에는 여러 가지 많은 공정이 필요로 되어 오고 있다.In addition, as the wiring structure becomes smaller, the distance between wiring layers in the horizontal direction becomes narrower, and a process for filling this cap is also required for each wiring layer. As the wiring structure becomes smaller, many different processes are required for the wiring process. It is coming.

이와 같이, 반도체 집적회로 소자가 다층화, 미세화로 됨에 따라서 배선공정이 복잡하게 되며, 보다 많은 공정이 필요하게 되어 오고 있다.As such, as semiconductor integrated circuit devices become multilayered and miniaturized, wiring processes become complicated, and more processes have been required.

그리고, 이들의 공정은 커버리지성에 뛰어난 CVD 법에 의한 메탈성막 및 메워 넣기, 또는 필요에 따라서 스퍼터 법에 의한 메탈성막 등을 적절하게 조합시켜서 할 필요가 있고, 이와 같은 처리가 가능한 처리장치를 개발할 필요가 있다.These processes need to be appropriately combined with a metal film and fill by the CVD method excellent in coverage, or a metal film by the sputtering method, if necessary, and a treatment apparatus capable of such a treatment needs to be developed. There is.

또, 배선공정에서는 여러 메탈 성막, 메워 넣기공정을 수반하기 때문에, 배선공정 전체의 고 스루푸트화, 및 각 공정 사이에서의 퍼티클 등으로부터의 오염을 극력 억제할 필요가 있으며, 이들의 과제를 하나 하나 해결하면서 금후의 256M DRAM에서도 64M DRAM이하의 것과 같은 품질을 보증함과 동시에 생산성 향상을 도모할 필요가 있다.In addition, since the wiring process involves various metal film forming and filling processes, it is necessary to suppress high contamination of the entire wiring process and contamination from puticles between the processes. In order to solve these problems, it is necessary to guarantee the same quality as that of 64M DRAM or less in future 256M DRAM and to improve productivity.

이와 같은 요구를 만족하는 유력한 처리장치로서, 여러 개의 처리를 일관하여 연속적으로할 수 있는 멀티챔버 처리장치가 주목되고 있다. 이 멀티챔버 처리장치는, 여러 개의 성막처리장치, 메워 넣기처리장치를 조합시켜서 모듈화한 장치로, 소정의 진공하에서 성막 등의 처리를 하는 여러 처리실과, 이들의 처리실에 반도체 웨이퍼 등의 피처리체를 반송하는 반송기구를 가지는 반송실과, 이 반송실에 대하여 피처리체의 반입 및 반출을 하는 카세트실과, 이들 사이에 설치된 예비진공실을 구비하며, 각 처리실에서 1장씩 연속적으로 성막처리, 메워 넣기 처리등을 하도록 구성된, 이른바 낱장 처리장치이다. 이 멀티챔버 처리장치에서는, 각 처리실에서 CVD 또는 스퍼터 등에 의하여 성막처리를 한 후, 이들의 처리실과 같은 진공도로 유지된 반송실 내의 반송장치를 통하여 연속적으로 다음의 처리실로 반송하고, 연속적으로 성막처리를 할 수 있으며, 여러 처리를 효율 좋게 할 수가 있기 때문에, 처리율을 높일 수가 있다.As a potent processing apparatus that satisfies such a demand, a multichamber processing apparatus capable of performing a plurality of processes consistently and continuously has been noted. This multi-chamber processing apparatus is a modularized apparatus that combines a plurality of film forming apparatuses and filling processing apparatuses. The multi-chamber processing apparatus includes various processing chambers for performing film formation and the like under a predetermined vacuum, and a processing target such as a semiconductor wafer in these processing chambers. A conveying chamber having a conveying mechanism for conveying, a cassette chamber for carrying in and out of the object to be processed, and a preliminary vacuum chamber provided therebetween, and a film forming process, a filling process, and the like, are carried out one by one in each processing chamber. So-called sheet processing apparatus. In this multi-chamber processing apparatus, after forming a film by a CVD or a sputtering process in each processing chamber, the film is continuously transferred to the next processing chamber through a conveying apparatus in a conveying chamber maintained at the same vacuum as those processing chambers, and continuously formed into a film forming process. In addition, since various treatments can be made efficient, the treatment rate can be increased.

또, 각 처리공정을 연결하는 반송실이 진공으로 유지되어 있기 때문에, 피처리체를 크린한 환경하에서 반송할 수 있고, 피처리체를 각 처리 공정에서의 처리상태를 그대로 유지할 수 있으며, 각 처리의 재현성을 높일 수가 있다.Moreover, since the conveyance chamber which connects each process process is hold | maintained in a vacuum, it can convey a to-be-processed object in a clean environment, can maintain a to-be-processed object in each process process, and the reproducibility of each process is carried out. Can increase.

또, 이 멀티챔버 처리장치는, 다층 배선의 처리내용에 따라서 처리실을 적절하게 조합시킬 수 있고, 처리설계에 높은 자유도를 가지고 있다.Moreover, this multichamber processing apparatus can combine process chambers suitably according to the process content of a multilayer wiring, and has a high degree of freedom in process design.

그러나, 64M DRAM에서 256M DRAM과 같은 반도체 집적회로 소자를 제조하는 경우에는, 크린 룸은 슈퍼 크린화하고 있기 때문에, 크린룸으로 부터의 오염이 격감하는 반면, 처리장치 내부의 크린도가 저하하고, 퍼티클 등의 90%는 처리장치 내부에서 발생한다고 하는 보고가 있다.However, in the case of manufacturing semiconductor integrated circuit devices such as 256M DRAM from 64M DRAM, since the clean room is super-cleaned, pollution from the clean room decreases, while the cleanness inside the processing apparatus decreases, and putty It is reported that 90% of the clocks occur inside the processing unit.

결국, 각 처리장치에서는 각각의 성막처리에 따라서 피처리체 뿐만이 아니라, 처리실 내부에서 피처리체를 지지하는 서셉터나 전극등도 동시에 성막되고, 또 처리실 내주면에도 성막이 이루어지며, 이들의 어느 하나는 박리하여 퍼티클로서 부유한다거나, 처리실 저면에 퇴적한다. 또, 반송실에서는 반송장치의 구동부로부터 퍼티클이 발생함과 동시에, 반송시의 피처리체의 슬립 등에 의하여 퍼티클이 발생하여 부유하고, 저부에 퇴적한다. 또는 성막시의 프로세스 가스가 충분하게 반응하지 않고, 반응도상의 생성물이 피처리체에 부착하며, 생성물이 반송과정에서 반송물이나 다른 처리실로 반입되고, 크로스 콘터미네이션을 일으킨다. 이들 생성물은 서서히 저부 등에 퇴적하며, 처리시의 공급배기에 따르는 기류에 의하여 또는 반송계의 구동시에 발생하는 기류에 의하여 퍼티클이 부유하며, 이들의 퍼티클이 피처리체 표면을 오염하여 생산성을 저하시키는 것으로 된다.As a result, in each processing apparatus, not only the object to be processed but also susceptors and electrodes for supporting the object in the processing chamber are simultaneously formed in accordance with each film forming process, and the film is formed on the inner circumferential surface of the processing chamber, either of which is peeled off. To float as a putty or to deposit on the bottom of the processing chamber. Moreover, in a conveyance chamber, a putty generate | occur | produces from the drive part of a conveying apparatus, a putty generate | occur | produces by the slip of the to-be-processed object at the time of conveyance, etc., it floats and accumulates in a bottom part. Or the process gas at the time of film-forming does not fully react, the reaction product adheres to a to-be-processed object, and a product is carried in to a conveyed material or another process chamber in the conveyance process, and produces cross-conversion. These products gradually accumulate at the bottom and the like, and the particles are suspended by the airflow generated during the supply exhaust during the treatment or by the airflow generated when the conveying system is driven, and these puticles contaminate the surface of the object to be treated to reduce productivity. It is said to be.

그래서, 종래부터 이와같은 오염을 없게 하기 위하여, 성막 등의 처리가 소정회수 종료할 때마다 처리장치 내를 크리닝하여 퍼티클 등의 오염물을 제거하도록 하고 있다.Thus, in order to eliminate such contamination, conventionally, the inside of the processing apparatus is cleaned every time the processing such as film formation and the like is finished to remove the contaminants such as puticles.

종래, 성막장치의 크리닝 방법으로서는, 크리닝 가스로서 NF3를 포함하는 가스를 처리용기 내로 도입하고, 이 크리닝 가스로 재치대나 처리용기 내면 등에 부착한 성막을 제거하는 방법이 알려져 있으며, 이 크리닝 방법을 멀티챔버 처리장치에 채택하는 것을 생각할 수 있다.Conventionally, as a cleaning method of a film forming apparatus, a method of introducing a gas containing NF 3 as a cleaning gas into a processing container and removing the film deposited on the mounting table, the inner surface of the processing container, or the like with this cleaning gas is known. It is conceivable to adopt the multichamber processing apparatus.

이 크리닝 방법에서는, 사용하는 NF3자체의 분해성이 꽤 양호하기 때문에, 플라즈마를 이용하고 있다.In this cleaning method, the degradation of the NF 3 itself to use and, using a plasma because quite good.

즉, 처리용기 내의 재치대와 대향하는 위치에 전극판을 배치하고, 이 재치대와 전극 사이에 고주파 전압을 인가하여 플라즈마를 발생시키며, 이것에 의하여 NF3를 여기시켜서 활성화하며, 크리닝을 촉진시킨다.That is, the electrode plate is disposed at a position opposite to the mounting table in the processing container, and a high frequency voltage is applied between the mounting table and the electrode to generate plasma, thereby exciting and activating NF 3 to promote cleaning. .

그러나, 이와 같은 NF3플라즈마 방식의 크리닝 방법에서는, 플라즈마가 분포하는 재치대 표면이나 웨이퍼 주변부의 성막은 효과적으로 제거하는 것은 가능하지만, 플라즈마가 미치지 않는 부분, 예를들면 처리용기의 내면이나 특히 처리가스의 공급헤드 내면에 부착한 성막, 웨이퍼 반송시에 박리하여 떨어져서 용기저부에 부착한 박편 등을 효과적으로 제거할 수가 없다.However, in such an NF 3 plasma cleaning method, it is possible to effectively remove the surface of the mounting table where the plasma is distributed or the formation of the wafer peripheral portion, but the portion where the plasma is not exposed, for example, the inner surface of the processing container or the processing gas in particular. Film deposition attached to the inner surface of the supply head, the flakes peeled off at the time of conveyance of the wafer and adhered to the bottom of the container cannot be effectively removed.

그리고, 이와 같은 플라즈마 방식의 크리닝 방법의 결점은, 멀티챔버 처리장치의 경우에 현저하게 된다고 생각된다.The drawback of such a plasma cleaning method is considered to be remarkable in the case of a multichamber processing apparatus.

또, 이와 같은 플라즈마 방식의 크리닝 방법에서는, 플라즈마 발생기구가 필요하며, 장치의 크스트 업에 연결된다.In the plasma cleaning method as described above, a plasma generating mechanism is required and is connected to the crest up of the apparatus.

따라서, 멀티챔버 처리장치의 크리닝에는 상술한 바와 같은 플라즈마 방식은 채택하지 않으며, 장치자체를 해체하고, 해체 후에 각 구성부품을 세정액 내에 침지하여 이들의 부품에 부착한 오염물을 세정한다거나, 또는 각 구성부품에 부착한 오염물을 제거하는 방법이 채택되고 있다.Therefore, the above-described plasma method is not adopted for cleaning the multichamber processing apparatus, and the apparatus itself is dismantled, and after disassembly, each component is immersed in a cleaning liquid to clean contaminants attached to these components, or each component. The method of removing the contaminants attached to the parts is adopted.

그러나, 이와 같은 방법을 채택하는 경우에는, 멀티챔버 처리장치를 해체한 후, 각 구성부품을 세정액에 침지하여 각각의 오염물을 세정하고, 또는 긁어 내도록 하고 있기 때문에, 크리닝에 많은 시간이 필요하고 멀티챔버 처리장치의 가동효율이 현저하게 저하한다고 하는 문제가 있다.However, in the case of adopting such a method, after dismantling the multichamber treatment apparatus, each component is immersed in a cleaning liquid to clean or scrape off each contaminant. There exists a problem that the operation efficiency of a chamber processing apparatus falls remarkably.

한편, 보다 효율적으로 성막장치 내를 크리닝하기 위하여 일본국 특개소 64-17857호 공보나 특개평 2-77579호공보에 개시되어 있는 바와 같이, 크리닝 가스로서 ClF계 가스를 사용하는 것도 제안되고 있다. 이 ClF계 가스를 사용한 크리닝 방식에 의하면, 플라즈마를 사용할 수 없고, 장치간에 형성된 바람직하지 않은 막을 효과적으로 제거할 수 있다.On the other hand, in order to more efficiently clean the inside of the film forming apparatus, as disclosed in Japanese Patent Laid-Open No. 64-17857 or No. 2-77579, it is also proposed to use a ClF-based gas as the cleaning gas. According to the cleaning method using this ClF-based gas, plasma cannot be used and the undesirable film formed between the devices can be effectively removed.

그러나, ClF계의 가스는 장치 내의 부품에 대한 반응성이 높고, 이들 부품이 마모나 손상을 받기 쉽다. 또, 이와 같은 ClF계의 가스에 의한 크리닝은 개개의 성막장치에 채택되어 있는 것에 불과하고, 멀티챔버 처리장치를 처리율 등을 저하시키는 일 없이 효율적으로 크리닝하는 방법은 아직 제안되어 있지 않다.However, ClF-based gases are highly reactive with components in the apparatus, and these components are susceptible to wear and damage. Incidentally, such cleaning with ClF-based gas is only adopted in individual film forming apparatuses, and a method of efficiently cleaning the multichamber processing apparatus without lowering the throughput or the like has not been proposed yet.

본 발명의 목적은, 멀티챔버 타입의 처리장치로서, 그 내부를 효율 좋게 또 대략 완전하게 크리닝할 수 있는 처리장치를 제공함에 있다.An object of the present invention is to provide a processing apparatus of a multichamber type processing apparatus capable of cleaning the interior thereof efficiently and approximately completely.

다른 목적은, 멀티챔버 타입의 처리장치의 내부를 효율 좋게 또 대략 완전하게 크리닝할 수 있는 처리방법을 제공함에 있다.Another object is to provide a treatment method capable of efficiently and approximately completely cleaning the interior of a multichamber type treatment apparatus.

또 다른 목적은, 크리닝에 따라서 파손되고 마모한 처리장치 내의 부품을 적당한 시기에 교환할 수가 있는 처리방법을 제공함에 있다.Still another object is to provide a treatment method in which parts in a treatment apparatus that is damaged and worn in accordance with cleaning can be replaced at an appropriate time.

본 발명의 제1관점에 의하면, 첫째로, 처리가스를 사용하여 피처리체를 처리하기 위한 여러 처리실과, 상기 여러처리실에 접속되고, 이들 처리실에 대한 상기 피처리체의 반출 반입을 하기 위한 반송실과, 상기 여러 처리실과 반송실 사이를 개폐하기 위한 개폐수단과, 이들 처리실 및 반송실 중 적어도 1개에 Cl과 F를 포함하는 크리닝 가스를 공급하는 크리닝 가스 공급수단을 구입하며, 상기 개폐수단을 연 상태에서 상기 크리닝 가스가 공급되어 상기 모든 실이 크리닝되는 처리장치가 제공된다.According to the first aspect of the present invention, firstly, various processing chambers for processing a target object using a processing gas, a transfer chamber connected to the various processing chambers, and carrying in and out of the target object to these processing chambers, Purchase opening and closing means for opening and closing between the various processing chambers and the transfer chamber, and cleaning gas supply means for supplying a cleaning gas containing Cl and F to at least one of the processing chambers and the transfer chamber, and the opening and closing means being opened. In the present invention is provided a processing apparatus in which the cleaning gas is supplied to clean all the seals.

본 발명의 제2관점에 의하면, 둘째로, 처리가스를 사용하여 피처리체를 처리하기 위한 여러 처리실과, 상기 여러 처리실에 접속되고, 이들 처리실에 대한 상기 피처리체의 반출반입을 하기 위한 반송실과, 상기 여러 처리실과 반송실 사이를 개폐하기 위한 개폐수단을 구비하는 처리장치를 크리닝하는 크리닝 방법으로서, 상기 개폐수단을 열림으로 하는 공정과, 상기 처리실 및 반송실 중 적어도 1개에 Cl과 F를 포함하는 크리닝 가스를 공급하는 공정과, 상기 크리닝용의 가스를 모든 실에 확산시키는 공정을 구비하는 크리닝 방법이 제공된다.According to a second aspect of the present invention, secondly, a plurality of processing chambers for processing a target object using a processing gas, a transfer chamber connected to the various processing chambers, and carrying in and out of the target object to these processing chambers, A cleaning method for cleaning a processing apparatus having opening and closing means for opening and closing between various processing chambers and a transfer chamber, the cleaning method comprising: opening and closing the opening means, and at least one of the processing chamber and the transfer chamber includes Cl and F; There is provided a cleaning method comprising a step of supplying a cleaning gas to be cleaned and a step of diffusing the cleaning gas into all chambers.

본 발명의 제3관점에 의하면, 셋째로, 처리가스를 사용하여 피처리체를 처리하기 위한 여러 처리실과, 상기 여러 처리실에 접속되고, 이들 처리실에 대한 상기 피처리체의 반출반입을 하기 위한 반송실과, 이들 여러 처리실 및 반송실의 각각에 설치된 가스 공급부와, 이들 여러 처리실 및 반송실의 각각에 설치된 배기부와, 상기 가스 공급부를 통하여 상기 각 실로 개별적으로 Cl과 F를 포함하는 크리닝 가스를 공급하기 위한 크리닝 가스공급수단과, 상기 배기부를 통하여 상기 각 실로부터 개별적으로 상기 크리닝 가스를 배출하기 위한 배기수단을 구비하는 처리장치가 제공된다.According to a third aspect of the present invention, there is provided a third processing chamber for processing a target object using a processing gas, a transport chamber connected to the various processing chambers, and carrying in and out of the target object to these processing chambers; A gas supply unit provided in each of these various processing chambers and a conveyance chamber, an exhaust part provided in each of these various process chambers and a conveyance chamber, and a cleaning gas containing Cl and F individually to each said chamber via the said gas supply part. A processing apparatus is provided having a cleaning gas supply means and exhaust means for discharging said cleaning gas individually from said chambers through said exhaust portion.

본 발명의 제4관점에 의하면, 처리가스를 사용하여 피처리체를 처리하기 위한 여러 개의 처리실과, 상기 여러 처리실에 접속되고, 이들 처리실에 대한 상기 피처리체의반출반입을 하기 위한 반송실과, 상기 여러 처리실과 반송실 사이를 개폐하기 위한 개폐수단을 구비하는 처리장치를 크리닝하는 크리닝 방법으로서, 상기 개폐수단을 닫힘으로 하는 공정과, 상기 각 실에 개별적으로 Cl과 F를 포함하는 크리닝 가스를 공급하는 공정을 구비하며, 상기 크리닝용의 가스에 의하여 각 실에 개별적으로 크리닝하는 처리장치의 크리닝 방법이 제공된다.According to the fourth aspect of the present invention, there are provided a plurality of processing chambers for processing a target object using a processing gas, a transport chamber connected to the various processing chambers, and carrying in and out of the target object to these processing chambers, A cleaning method for cleaning a processing apparatus having opening and closing means for opening and closing between a processing chamber and a transfer chamber, the cleaning method comprising: closing the opening and closing means; and supplying cleaning gas containing Cl and F to the respective chambers individually. The cleaning method of the processing apparatus provided with the process and cleaning each chamber individually by the said gas for cleaning is provided.

본 발명의 제5관점에 의하면, 처리가스를 사용하여 피처리체를 처리하기 위한 여러 처리실과, 상기 여러 처리실에 접속되고, 이들 처리실에 대한 상기 피처리체의 반출반입을 하기 위한 반송실과, 상기 여러 처리실과 반송실 사이를 개폐하기 위한 개폐수단을 구비하는 처리장치를 크리닝하는 크리닝 방법으로서, 상기 각 실을 대략 동일한 압력의 불활성 분위기로 하는 공정과, 상기 개폐수단을 열림으로 하는 공정과, 상기 각 실에 Cl과 F를 포함하는 크리닝 가스를 공급하는 공정을 구비하는 크리닝 방법이 제공된다.According to a fifth aspect of the present invention, there are provided a plurality of processing chambers for processing a target object using a processing gas, a transfer chamber connected to the various processing chambers, and carrying in and out of the target object to these processing chambers, and the various processing chambers. A cleaning method for cleaning a processing apparatus including opening and closing means for opening and closing between a transfer chamber and a transfer chamber, the cleaning method comprising the steps of: making each chamber into an inert atmosphere at approximately the same pressure; and opening and closing the opening means; There is provided a cleaning method comprising a step of supplying a cleaning gas containing Cl and F to the.

본 발명의 제6관점에 의하면, 처리가스를 사용하여 피처리체를 처리하기 위한 여러 처리실과, 상기 여러 처리실에 접속되고, 이들 처리실에 대한 상기 피처리체의 반출반입을 하기 위한 반송실과, 상기 여러 처리실과 반송실 사이를 개폐하기 위한 개폐수단을 구비하는 처리장치를 크리닝하는 크리닝 방법으로서, 상기 개폐수단을 닫힘으로 하는 공정과, 상기 각 실에 따라서 설정된 농도의 Cl과 F를 포함하는 크리닝 가스를 각 실에 공급하는 공정을 구비하는 크리닝 방법이 제공된다.According to a sixth aspect of the present invention, there are provided a plurality of processing chambers for processing a target object using a processing gas, a transport chamber connected to the various processing chambers, and carrying in and out of the target object to these processing chambers; A cleaning method for cleaning a processing apparatus having an opening and closing means for opening and closing between an opening and a transfer chamber, the cleaning method comprising a step of closing the opening and closing means, and a cleaning gas containing Cl and F of concentrations set according to the respective chambers. There is provided a cleaning method including a step of supplying a seal.

본 발명의 제7관점에 의하면, 처리가스를 사용하여 피처리체를 처리하기 위한 여러 처리실과, 상기 여러 처리실에 접속되고, 이들 처리실에 대한 상기 피처리체의 반출반입을 하기 위한 반송실과, 장치 내를 대기에 개방하기 위한 개방수단과, 이들 처리실 및 반송실에 Cl과 F를 포함하는 크리닝 가스를 공급하는 크리닝 가스 공급수단과, 크리닝 가스를 배기하는 배기수단과, 크리닝 가스에 의한 크리닝 종료 후에 가스 중의 Cl과 F의 농도를 검출하는 농도 검출수단과, 상기 농도 검출수단의 검출치가 설정치 이하인 때에, 상기 개방수단에 개방지령을 출력하는 제어수단을 구비하는 처리장치가 제공된다.According to a seventh aspect of the present invention, there are provided a plurality of processing chambers for processing a target object using a processing gas, a transport chamber connected to the various processing chambers, and carrying in and out of the target object to these processing chambers, and the inside of the apparatus. Opening means for opening to the atmosphere, a cleaning gas supply means for supplying cleaning gas containing Cl and F to these processing chambers and a transfer chamber, an exhaust means for exhausting the cleaning gas, and a gas in the gas after the cleaning by the cleaning gas is finished. There is provided a processing apparatus including concentration detecting means for detecting concentrations of Cl and F, and control means for outputting an opening command to the opening means when the detected value of the concentration detecting means is equal to or less than a set value.

본 발명의 제8관점에 의하면, 처리가스를 사용하여 피처리체를 처리하기 위한 여러 처리실과, 상기 여러 처리실에 접속되고, 이들 처리실에 대한 상기 피처리체의 반출반입을 하기 위한 반송실과, 상기 여러 처리실과 반송실 사이를 개폐하기 위한 개폐수단을 구비하는 처리장치에 있어서의 처리방법으로서, 적어도 1개의 처리실과 상기 처리실 사이의 개폐수단을 닫힘으로 하는 공정과, 상기 적어도 1개의 처리실에서 상기 피처리체에 대하여 처리를 하는 공정과, 상기 처리를 하는 공정과 동시에, 다른 각 실에 Cl과 F를 포함하는 크리닝 가스를 공급하여 크리닝하는 공정을 구비하는 처리방법이 제공된다.According to an eighth aspect of the present invention, there are provided a plurality of processing chambers for processing a target object using a processing gas, a transfer chamber connected to the various processing chambers, and carrying in and out of the target object to these processing chambers, and the various processing chambers. A processing method in a processing apparatus comprising an opening and closing means for opening and closing between a transfer chamber and a transfer chamber, the process comprising: closing at least one processing chamber and the opening and closing means between the processing chambers, and the at least one processing chamber to the target object. There is provided a treatment method including a step of performing a treatment and a step of supplying and cleaning a cleaning gas containing Cl and F to each of the other chambers at the same time as the processing.

본 발명의 제9관점에 의하면, 처리가스를 사용하여 피처리체를 처리하기 위한 여러 처리실과, 상기 여러 처리실에 접속되고, 이들 처리실에 대한 상기 피처리체의 반출반입을 하기 위한 반송실을 구비하는 처리장치를 크리닝하는 크리닝 방법으로서, 상기 각 처리실 내의 크리닝이 필요로 될 때까지의 피처리체의 처리장수를 파악하는 공정과, 처리장수가 상기 장수에 도달한 때에, 상기 각 실에 Cl과 F를 포함하는 크리닝 가스를 공급하여 크리닝하는 공정을 구비하는 처리장치가 제공된다.According to a ninth aspect of the present invention, there is provided a processing chamber for processing a target object using a processing gas, and a processing chamber connected to the various processing chambers and carrying a carrying chamber for carrying in and out of the target object to these processing chambers. A cleaning method for cleaning an apparatus, comprising: a process of grasping the number of treatment targets of a target object until cleaning in each treatment chamber is required; There is provided a processing apparatus including a step of supplying and cleaning a cleaning gas.

본 발명의 제10관점에 의하면, 처리가스를 사용하여 피처리체를 처리하기 위한 여러 처리실과, 상기 여러 처리실에 접속되고, 이들 처리실에 대한 상기 피처리체의 반출반입을 하기 위한 반송실을 구비하는 처리장치를 크리닝하는 크리닝 방법으로서, 상기 각 실에 Cl과 F를 포함하는 크리닝 가스를 공급하여 크리닝하는 공정과, 크리닝 종료 후, 각 실을 배기하는 공정과, 상기 배기를 하면서, 상기 각 실에의 불활성 가스의 공급 및 정지를 여러 번 되풀이하는 공정을 구비하는 크리닝 방법이 제공된다.According to the tenth aspect of the present invention, there is provided a treatment chamber for treating a target object using a processing gas, and a processing chamber connected to the various treatment chambers and carrying a carrying chamber for carrying in and out of the target object to these treatment chambers. A cleaning method for cleaning an apparatus, comprising: cleaning and supplying a cleaning gas containing Cl and F to each of the chambers, exhausting the chambers after the cleaning is finished, and exhausting the chambers, A cleaning method is provided that includes a step of repeating supply and stop of an inert gas several times.

본 발명의 제11 관점에 의하면, 처리가스를 사용하여 피처리체를 처리하기 위한 여러 처리실과, 상기 여러 처리실에 접속되고, 이들 처리실에 대한 상기 피처리체의 반출반입을 하기 위한 반송실을 구비하는 처리장치를 크리닝하는 크리닝 방법으로서, 상기 각 실의 일부 또는 전부에 Cl과 F를 포함하는 크리닝 가스를 각 실에 공급하여 크리닝하는 공정과, 이 크리닝 시에 상기 진공 배기계에 의하여 상기 크리닝 가스를 배출하는 공정을구비하는 크리닝 방법이 제공된다.According to the eleventh aspect of the present invention, there is provided a processing chamber for processing a target object using a processing gas, and a processing chamber connected to the various processing chambers and carrying a carrying chamber for carrying in and out of the target object to these processing chambers. A cleaning method for cleaning an apparatus, comprising: a step of cleaning by supplying a cleaning gas containing Cl and F to each chamber in part or all of the chambers, and discharging the cleaning gas by the vacuum exhaust system during the cleaning. A cleaning method is provided which provides a process.

본 발명의 제12관점에 의하면, 처리가스를 사용하여 피처리체를 처리하기 위한 처리실과, 상기 여러 처리실에 크리닝 가스를 공급하는 크리닝 가스 공급수단과, 상기 크리닝 가스에 의한 상기 처리실의 구성부품의 소모량이 미리 기억되고, 그 값과 크리닝 회수에 의거하여 상기 구성부품의 교환을 지령하는 교환지령수단을 구비하는 것을 특징으로 하는 처리장치가 제공된다.According to a twelfth aspect of the present invention, a processing chamber for processing a target object using a processing gas, a cleaning gas supply means for supplying a cleaning gas to the various processing chambers, and a consumption amount of components of the processing chamber by the cleaning gas. The processing apparatus is provided, which is stored in advance and has replacement command means for instructing replacement of the component based on the value and the number of cleanings.

본 발명의 제13관점에 의하면, 처리가스를 사용하여 피처리체를 처리하기 위한 여러 처리실과, 상기 여러 처리실에 접속되고, 이들 처리실에 대한 상기 피처리체의 반출 반입을 하기 위한 반송실과, 상기 각 실에 크리닝 가스를 공급하는 크리닝 가스 공급수단과, 상기 크리닝 가스에 의한 상기 각 실의 구성부품의 소모량이 미리 기억되고, 그 값과 크리닝 회수에 의거하여 상기 구성부품의 교환을 지령하는 교환지령수단을 구비하는 것을 특징으로 하는 처리장치가 제공된다.According to a thirteenth aspect of the present invention, there are provided a plurality of processing chambers for processing a target object using a processing gas, a transport chamber connected to the various processing chambers, and carrying in and out of the target object to these processing chambers, and the respective chambers. A cleaning gas supply means for supplying a cleaning gas to the cleaning gas; and a replacement command means for instructing replacement of the component parts based on the value and the number of cleanings, in which the consumption amount of the component parts of the chambers by the cleaning gas is stored in advance. There is provided a processing apparatus comprising:

본 발명의 제14관점에 의하면, 처리가스를 사용하여 피처리체를 처리하는 처리장치를 사용한 처리방법으로서, 상기 처리장치를 사용하여 피처리체를 처리하는 공정과, 상기 처리장치를 크리닝 가스에 의하여 크리닝하는 공정과, 상기 크리닝 가스에 의한 상기 처리장치의 구성부품의 소모량으로부터 상기 구성부품을 교환할 크리닝 횟수를 파악하는 공정을 구비하는 처리방법이 제공된다.According to a fourteenth aspect of the present invention, there is provided a treatment method using a treatment apparatus for treating a workpiece using a treatment gas, the process of treating the workpiece using the treatment apparatus, and cleaning the treatment apparatus by a cleaning gas. And a process of grasping the cleaning number for replacing the component from the consumption of the component of the processing apparatus by the cleaning gas.

[실시예]EXAMPLE

이하, 첨부도면을 참조하여, 본 발명의 바람직한 형태에 대하여 상세하게 설명한다.EMBODIMENT OF THE INVENTION Hereinafter, with reference to an accompanying drawing, preferable aspect of this invention is described in detail.

도 1은 이른비 클러스터 툴을 구성하고 있다. 이 멀티챔버 처리장치는, 소정의 진공하에서 피처리체로서의 반도체 웨이퍼에 대하여 성막처리, 예를들면 텅스텐과 같은 메탈 성막처리를 하기 위한 여러 개(여기에서는 3개)의 처리실(1), (2), (3)을 가지고 있다.1 comprises an early ratio cluster tool. This multi-chamber processing apparatus comprises a plurality of processing chambers (1) and (2) for performing a film formation process, for example, a metal film formation process such as tungsten, on a semiconductor wafer as an object under a predetermined vacuum. , (3)

이들의 처리실(1), (2), (3)은, 동 도면에서와 같이, 대략 직사각형 형상으로 형성된 제1반송실(4)의 3개의 측면에 게이트 밸브(5), (6), (7)를 통하여 접속되며, 이들 게이트 밸브(5), (6), (7)를 개방함으로써 제1반송실(4)과 서로 통하며, 이들을 닫음으로써 제1반송실(4)로부터 차단된다.These processing chambers 1, 2, and 3 are provided with gate valves 5, 6, and 3 on three side surfaces of the first conveying chamber 4 formed in a substantially rectangular shape as shown in the drawing. It is connected via 7), communicates with the 1st conveyance chamber 4 by opening these gate valves 5, 6, and 7, and isolate | separates from the 1st conveyance chamber 4 by closing them.

그리고, 이들중 적어도 하나에, 후술하는 바와 같이, 크리닝 가스 공급원이 접속된다.And a cleaning gas supply source is connected to at least one of these as mentioned later.

제1반송실(4)은, 그 안에 각 처리실(1), (2), (3)과의 사이에서 피처리체, 예를들면 반도체 웨이퍼(8)를 반송하는 반송장치(9)를 구비하며, 처리실(1), (2), (3)과 같은 정도의 진공도를 유지할 수 있도록 구성되어 있다.The 1st conveyance chamber 4 is equipped with the conveying apparatus 9 which conveys a to-be-processed object, for example, the semiconductor wafer 8, with each process chamber 1, 2, and 3 in it, It is comprised so that vacuum degree of the same grade as process chamber 1, 2, and 3 can be maintained.

이 반송장치(9)는 제1반송실(4)의 대략 중앙에 배치되어 있으며,다관절 아암부(9A)를 가지고 있으며, 이 다관절 아암부(9A)의 핸드 상에 반도체 웨이퍼(8) 다관절 아암부(9A)를 놓고서 그 반송을 한다.This conveying apparatus 9 is arranged in the substantially center of the 1st conveyance chamber 4, has the articulated arm part 9A, and the semiconductor wafer 8 is carried out on the hand of this articulated arm part 9A. The articulated arm part 9A is placed and conveyed.

이 제1반송실(4)의 나머지의 측면에는 게이트 밸브(10), (11)를 통하여 2개의 진공 예비실(12), (13)이 서로 통하도록 병렬설치되며, 이들의 진공 예비실(12), (13)은 게이트 밸브(10), (11)를 개방함으로써, 제1반송실(4)에 서로 통하며, 이들의 게이트 밸브(10), (11)를 닫는 것에 의하여 제1반송실(4)로부터 차단된다.On the other side of the first conveying chamber 4, two vacuum preliminary chambers 12 and 13 are installed in parallel to each other via gate valves 10 and 11, and these vacuum preliminary chambers ( 12 and 13 communicate with each other in the first conveyance chamber 4 by opening the gate valves 10 and 11, and the first conveyance by closing these gate valves 10 and 11; It is cut off from the thread 4.

따라서, 소정의 진공분위기하에서 반송장치(9)에 의하여 반도체 웨이퍼(8)를 예를들면 진공 예비실(12)로부터 소정의 처리실로 실어서 이송하여 이 처리실 내에서 소정의 성막처리 등을 한 후, 그 처리실로부터 반송장치(9)를 통하여 순차 다음의 처리실로 실어서 이송하여 각각의 처리실에서 소정의 처리를 종료한 후, 다시 다른 진공 예비실(13)로 실어서 이송할 수 있다.Therefore, the semiconductor wafer 8 is loaded from the vacuum preliminary chamber 12 into the predetermined processing chamber by the transfer device 9 under a predetermined vacuum atmosphere, and then subjected to a predetermined film forming process in the processing chamber. Then, the processing chamber can be loaded into the next processing chamber sequentially through the conveying apparatus 9 and transferred to the next processing chamber, and after completion of the predetermined processing in each processing chamber, it can be transferred to another vacuum preliminary chamber 13 again.

이들의 각 진공 예비실(12), (13)의 게이트 밸브(10), (11)에 대향하는 부분에는, 각각 게이트 밸브(14), (15)가 설치되어 있으며, 진공 예비실(12), (13)은 이들을 통하여 제2반송실(16)에 접속되어 있으며, 이들의 게이트 밸브(14), (15)를 개방함으로써, 제2반송실(16)에 서로 통하게 하고, 이들을 닫는 것에 의하여, 제2반송실(16)로부터 차단된다.The gate valves 14 and 15 are provided in the part which opposes the gate valves 10 and 11 of these vacuum reserve chambers 12 and 13, respectively, and the vacuum reserve chamber 12 is provided. (13) is connected to the 2nd conveyance chamber 16 through these, and these gate valves 14 and 15 open | release, and let the 2nd conveyance chamber 16 mutually connect and close these, It is blocked from the second conveyance chamber 16.

이 제2반송실(16)의 좌우양측면에는 게이트 밸브(18), (19)를 통하여 반도체 웨이퍼의 카세트에 수납하는 카세트실(20), (21)이 서로 통하게 접속되며, 이들의 카세트실(20), (21)은 게이트 밸브(14), (15)를 개방함으로써 제2반송실(16)에 통하고 이들을 닫음으로써 제2반송실(16)로 부터 차단된다.Cassette chambers 20 and 21, which are accommodated in the cassette of the semiconductor wafer via gate valves 18 and 19, are connected to each other on the left and right sides of the second conveyance chamber 16, and these cassette chambers ( 20 and 21 pass through the second conveyance chamber 16 by opening the gate valves 14 and 15, and isolate | separate from the 2nd conveyance chamber 16 by closing them.

제2반송실(16)내에는 좌우의 카세틜(20), (21) 사이의 중앙에 제2반송장치(23)가 설치되어 있으며, 이 제2반송장치(23)의 다관절 아암부(23A)에 의하여 진공 예비실(12), (13)과 카세트실(20), (21) 사이에서 반도체 웨이퍼(8)가 반송된다.In the second conveying chamber 16, a second conveying apparatus 23 is provided at the center between the right and left cassettes 20 and 21, and the articulated arm portion of the second conveying apparatus 23 is provided. The semiconductor wafer 8 is conveyed between the vacuum preliminary chambers 12 and 13 and the cassette chambers 20 and 21 by 23A.

또, 반송장치(23)의 상세한 구조는 후술한다.In addition, the detailed structure of the conveying apparatus 23 is mentioned later.

이 제2반송장치(23)와 진공 예비실(12), (13) 사이에는 반도체 웨이퍼(8)의 오리엔테이션 플래트를 기준으로 하여 광학적으로 반도체 웨이퍼(8)의 위치결정을 하는 위치결정 기구(24)가 설치되어 있다.Positioning mechanism 24 which optically positions the semiconductor wafer 8 with respect to the orientation plate of the semiconductor wafer 8 between this 2nd conveying apparatus 23 and the vacuum reserve chamber 12,13. ) Is installed.

그리고, 이 위치결정 기구(24)에 의하여 반도체 웨이퍼(8)가 일단 위치결정된 후, 제2반송장치(23)에 의하여 반도체 웨이퍼(8)가 진공 예비실(12)로 반송된다.After the semiconductor wafer 8 is once positioned by this positioning mechanism 24, the semiconductor wafer 8 is transferred to the vacuum preliminary chamber 12 by the second transfer device 23.

또, 제2반송실(16)은 실내에 질소가스 등의 불활성 가스를 공급하고 그 가스압을 대기압으로 조정하여 유지하는 기압조정 장치(도시하지 않음)를 구비하고 있고, 이 기압 조정장치에 의하여 제2반송실(16)을 대기압의 질소가스분위기로 한 상태에서, 카세트실(20), (21)내의 카세트(17)와 진공 예비실(12), (13)사이에서 반도체 웨이퍼(8)가 반송된다.Moreover, the 2nd conveyance chamber 16 is equipped with the atmospheric pressure adjusting device (not shown) which supplies inert gas, such as nitrogen gas, indoors, and adjusts and maintains the gas pressure to atmospheric pressure. The semiconductor wafer 8 is placed between the cassettes 17 in the cassette chambers 20 and 21 and the vacuum preliminary chambers 12 and 13 with the two conveying chambers 16 at an atmospheric nitrogen gas atmosphere. Is returned.

또, 이 제2반송실(16)은 크리닝시에 소정의 진공도로 유지된다.Moreover, this 2nd conveyance chamber 16 is hold | maintained by predetermined | prescribed vacuum degree at the time of cleaning.

제2반송실(16)의 저면에는 크리닝 가스를 배기하는 배기구(25)가 형성되며, 처리실(1), (2), (3)의 적어도 하나의 처리실로부터 공급되어 제1반송실(4), 진공 예비실(12), (13) 및 필요에 따라서 카세트실(0), (21)에 널리 퍼진 크리닝 가스가, 이 배기구(25)로부터 배기된다. 이 배기구(25)는 예를들면 진공 예비실(12), (13)의 배기계에 밸브(도시하지 않음)를 통하여 접속되고, 이 배기계를 이용하여 크리닝시에 배기구(25)를 통하여 제2반송실(16) 내가 진공배기된다. 크리닝 이외의 때는 그 밸브는 닫혀지며, 진공 예비실(12), (13)이 진공배기된다.An exhaust port 25 for exhausting the cleaning gas is formed in the bottom surface of the second conveyance chamber 16, and is supplied from at least one process chamber of the process chambers 1, 2, and 3 so as to supply the first conveyance chamber 4. The cleaning gas spread widely in the vacuum preliminary chambers 12 and 13 and the cassette chambers 0 and 21 as necessary is exhausted from this exhaust port 25. This exhaust port 25 is connected to the exhaust systems of the vacuum reserve chambers 12 and 13 via a valve (not shown), for example, and the second conveyance is carried out through the exhaust port 25 at the time of cleaning using this exhaust system. The chamber 16 is evacuated. At the time of cleaning other than cleaning, the valve is closed, and the vacuum reserve chambers 12 and 13 are evacuated.

상기 각 처리실(1),(2), (3), 제1반송실(4), 진공 예비실(12), (13) 등의 각 챔버에는 배기계가 설치되어 있으며, 처리시에 각 챔버가 거기에서 독립하여 배기가능하게 되어 있다.An exhaust system is installed in each of the chambers 1, 2, 3, the first transfer chamber 4, the vacuum reserve chamber 12, and the chamber 13, and the chambers It can be exhausted independently from there.

또, 참주부호 26, 27은 카세트실(20), (21)의 정면에 부착된 게이트이다.Note that reference numerals 26 and 27 are gates attached to the front of the cassette chambers 20 and 21.

이어서, 이 장치의 크리닝 가스의 공급기구에 대하여 설명한다.Next, the supply mechanism of the cleaning gas of this apparatus is demonstrated.

이 형태의 멀티챔버 처리장치는, 상술한 바와 같이, 처리실(1),(2), (3)의 적어도 하나에 크리닝 가스 공급계가 접석되어 있다.In the multichamber processing apparatus of this aspect, as described above, a cleaning gas supply system is attached to at least one of the processing chambers 1, 2, and 3.

그리고, 크리닝 가스 공급계가 접속된 처리실에 크리닝 가스가 공급되며, 이 크리닝 가스가 다른 처리실, 제1반송실(4), 진공 예비실(12), (13) 및 경우에 따라서는 필요에 의하여 카세트실(20), (21)을 경유하여 제2반송실(16)의 배기구(25)로부터 배기된다.Then, the cleaning gas is supplied to the processing chamber to which the cleaning gas supply system is connected, and the cleaning gas is supplied to another processing chamber, the first conveyance chamber 4, the vacuum preliminary chambers 12, 13 and, if necessary, a cassette. It exhausts from the exhaust port 25 of the 2nd conveyance chamber 16 via the chamber 20,21.

도 2에 이때의 크리닝 가스의 흐름을 개념적으로 나타낸다. 이 도면에 나타낸 바와 같이, 크리닝 가스 공급계(35)로부터 처리실(2)로 크리닝 가스를 공급하면, 이 크리닝 가스는 차례차례 전체 챔버에 널리 퍼지고, 진공 예비실(12), (13)의 배기계(59)에 의하여 제2반송실(16)의 배기구(25)로부터 배기된다.2 conceptually shows the flow of the cleaning gas at this time. As shown in this figure, when the cleaning gas is supplied from the cleaning gas supply system 35 to the processing chamber 2, the cleaning gas is spread over the entire chambers in turn, and the exhaust systems of the vacuum preliminary chambers 12 and 13 are supplied. By the 59, it exhausts from the exhaust port 25 of the 2nd conveyance chamber 16. As shown in FIG.

이 크리닝 가스가 미리 정해진 농도로 각 챔버 내에 분포된 시점에서, 소정시간 그 배기를 정지하여도 좋고, 또 배기정지 후 미리 정해진 시간을 경유한 후, 크리닝 가스의 공급을 정지하도록 하여도 좋다.At the time when this cleaning gas is distributed in each chamber at a predetermined concentration, the exhaust may be stopped for a predetermined time, or the supply of the cleaning gas may be stopped after passing the predetermined time after the exhaust stop.

또 배기와 크리닝 가스의 공급을 펄스적으로 되풀이하여 실시하여도 좋다.In addition, the supply of exhaust gas and cleaning gas may be repeated repeatedly.

크리닝 가스로서는, Cl과 F를 포함하는 가스, 대표적으로는 ClF3가스가 사용되며, 이 가스단독으로도 또는 질소가스 등으로 희석되어 있어도 좋다.As the cleaning gas, a gas containing Cl and F, typically a ClF 3 gas, is used, and may be diluted with this gas alone or with nitrogen gas.

이 ClF3는 화학적으로 활성이며, 플라즈마화시키는 일이 없이 충분한 크리닝 효과를 얻을 수 있다.This ClF 3 is chemically active, and sufficient cleaning effect can be obtained without making it plasma.

특히 텅스텐계의 피막과 좋게 반응하며, 텅스텐계의 부착물을 효과적으로 제거할 수 있다. 그러나 이 ClF3은 텅스텐에 한정되지 않으며, 다른 금속 예를들면 티탄계, 몰리브덴계 등의 금속화합물을 효과적으로 제거할 수 있다. 이 크리닝 시에 크리닝 분위기를 가열하여도 좋다.In particular, it reacts well with a tungsten-based coating and can effectively remove a tungsten-based deposit. However, the ClF 3 is not limited to tungsten, and can effectively remove other metals such as titanium, molybdenum and the like. During this cleaning, the cleaning atmosphere may be heated.

크리닝 가스가 ClF3가스만인 경우에는, ClF3가스의 유량이 5리터/분 이하이고, 그 온도가 ClF3의 비점~700℃, 내부 압력이 0.1~100Torr의 조건으로 크리닝하는 것이 바람직하다.If the cleaning gas, only the ClF 3 gas, and the flow rate of ClF 3 gas 5 l / min or less, a boiling point ~ 700 ℃ of the temperature of ClF 3, it is preferable that the internal pressure of the cleaning under the conditions of 0.1 ~ 100Torr.

ClF3가스의 유량이 5리터/분을 넘으면 각 챔버의 구성재료를 손상할 우려가 있다. ClF3가스온도가 비점 미만에서는 ClF3가 구성부재에 결로하여 그 재료를 파손할 우려가 있고, 700℃를 넘어도 ClF3가스가 활성화되어 역시 재료를 파손할 우려가 있다. ClF3가스의 압력이 0.1Torr 미만에서는 크리닝 효과가 기대될 수 없게 될 우려가 있으며, 100Torr를 넘으면 구성재료를 파손할 우려가 있다.If the flow rate of the ClF 3 gas exceeds 5 liters / minute, the material of each chamber may be damaged. If the ClF 3 gas temperature is lower than the boiling point, ClF 3 may condense on the component and damage the material, and even if it exceeds 700 ° C, ClF 3 gas may be activated to damage the material. If the pressure of the ClF 3 gas is less than 0.1 Torr, the cleaning effect may not be expected, and if it exceeds 100 Torr, the component material may be damaged.

또, ClF3가스를 불활성 가스, 예를들면 질소가스로 희석함으로써, ClF3가스의 반응성을 억제하여 크리닝 대상물을 부드럽게 크리닝하여 그 손상을 완화할 수가 있다.In addition, by diluting the ClF 3 gas with an inert gas such as nitrogen gas, the reactivity of the ClF 3 gas can be suppressed, and the cleaning object can be gently cleaned to alleviate the damage.

이어서, 크리닝 가스를 공급하는 가스 공급계 및 처리실에 대하여 도3을 참조하면서 설명한다. 처리실(1), (2), (3)에 적용되는 처리장치는, 행하고자 하는 처리에 따라서 여러가지가 선택되며, 예를들면 처리실(1)에 스퍼터링 처리장치, 처리실(2)에 열 CVD 처리장치, 처리실(3)에 에칭처리장치가 적용된다.Next, the gas supply system and process chamber which supply a cleaning gas are demonstrated, referring FIG. Various treatment apparatuses to be applied to the processing chambers 1, 2, and 3 are selected according to the processing to be performed. For example, a sputtering apparatus to the processing chamber 1 and a thermal CVD treatment to the processing chamber 2 are performed. An etching treatment apparatus is applied to the apparatus and the processing chamber 3.

여기에서는 처리실(2)의 열 CVD 장치를 예로 들어 설명한다.Here, the thermal CVD apparatus of the processing chamber 2 will be described as an example.

처리실(2)은, 도 3에 나타낸 바와 같이, 대략 원통형상을 이루며, 예를들면 알루미늄으로 형성되어 있고, 그 안이 소정의 진공도로 유지가능하다. 이 처리실(2)내의 저면(2A)의 대략 중앙에는, 반도체 웨이퍼(8)를 재치하는 서셉터(28)가 설치되며, 이 서셉터(28)의 윗 쪽에는 서셉터(28)에 대향하여 프로세스 가스 또는 크리닝 가스를 공급하는 가스분산 공급부(29)가 설치되어 있다. 또, 이 처리실(2)의 저벽 외측에는 서셉터(28)에 대향한 위치에 석영제의 창(30)이 설치되어 있으며, 이 창(30)의 대략 아래 쪽에 가열용의할로겐 램프(31)가 배치되어 있다. 그리고 이 할로겐 램프(31)로부터 석영창(30)을 통하여 서셉터(28) 상의 반도체 웨이퍼(8)에 광 에너지가 공급되며, 이것에 의하여 반도체 웨이퍼(8)가 가열된다.As shown in Fig. 3, the processing chamber 2 has a substantially cylindrical shape, for example, is made of aluminum, and the inside thereof can be held at a predetermined vacuum degree. The susceptor 28 which mounts the semiconductor wafer 8 is provided in the substantially center of 2 A of bottom surfaces in this process chamber 2, and is opposite to the susceptor 28 above this susceptor 28. As shown in FIG. The gas dispersion supply part 29 which supplies a process gas or a cleaning gas is provided. Moreover, the window 30 made of quartz is provided in the position which opposes the susceptor 28 on the outer side of the bottom wall of this process chamber 2, The halogen lamp 31 for heating is provided in the substantially lower side of this window 30. Is arranged. Light energy is supplied from the halogen lamp 31 to the semiconductor wafer 8 on the susceptor 28 through the quartz window 30, whereby the semiconductor wafer 8 is heated.

또, 가스 분산 공급부(29)에는 도 3에 나타낸 바와 같이, 프로세스가스를 공급하는 공급계(32)가 배관(33)을 통하여 접속되며, 이 배관(33)에 부착된 밸브(34)를 개방함으로써, 소정의 프로세스 가스가 가스분산 공급부(29)를 통하여 처리실(2)내로 공급된다.3, the supply system 32 which supplies a process gas is connected to the gas dispersion supply part 29 via the piping 33, and the valve 34 attached to this piping 33 is opened. The predetermined process gas is thereby supplied into the process chamber 2 through the gas dispersion supply unit 29.

이 처리실(2) 내에서 예를들면 블랭케트(W) 처리를 하는 경우에는 프로세스 가스 공급계(32)로부터 가스분산 공급부(29)에 6불화 텅스텐(WF6) 및 수소가 프로세스 가스로서 공급되며, 가스 분산 공급부(29)의 하면에 다수 형성된 분산구멍(29A)을 통하여 처리실(2) 내 전체에 프로세스 가스가 균등하게 공급된다. 또, 금속배선용의 프로세스 가스로서는, 할로겐화물, 카르보닐 화합물, 유기금속 화합물이 있고, 이들은 환원제와 함께 공급된다.In the process chamber 2, for example, when the blanket W treatment is performed, tungsten hexafluoride (WF 6 ) and hydrogen are supplied from the process gas supply system 32 to the gas dispersion supply unit 29 as the process gas. The process gas is uniformly supplied to the whole inside of the process chamber 2 via the dispersion holes 29A formed in the lower surface of the gas dispersion supply part 29. As the process gas for metal wiring, there are halides, carbonyl compounds and organometallic compounds, which are supplied together with a reducing agent.

배선재료 형성용의 화합물로서는, 비교적 증기압이 낮은 화합물이 바람직하다.As the compound for forming the wiring material, a compound having a relatively low vapor pressure is preferable.

배관(33)에는 도 3에 나타낸 바와 같이, 크리닝 가스를 공급하는 크리닝 가스공급계(35)가 배관(36)을 통하여 접속되며, 크리닝 시에는 이 크리닝 가스공급계(35)로부터 배관(36), 배관(33), 가스 분산 공급부(29)를 통하여 처리실(2) 내로 크리닝 가스가 공급된다.As shown in FIG. 3, the cleaning gas supply system 35 which supplies a cleaning gas is connected to the piping 33 through the piping 36, and at the time of cleaning, the piping 36 is supplied from the cleaning gas supply system 35 at the time of cleaning. The cleaning gas is supplied into the process chamber 2 through the pipe 33 and the gas dispersion supply unit 29.

이 크리닝 가스공급계(35)는, 크리닝 가스인 ClF3가스를 저장하는 가스봄베(37)와, 이 ClF3가스를 희석하는 질소가스를 저장하는 질소가스 봄베(38)를 구비하며, 이들 봄베(37), (38)는 배관(36)으로부터 분기하는 배관(36A), (36B)의 단부에 각각 접속되어 있다.The cleaning gas supply system 35 includes a gas cylinder 37 for storing ClF 3 gas, which is a cleaning gas, and a nitrogen gas cylinder 38 for storing nitrogen gas for diluting the ClF 3 gas. 37 and 38 are respectively connected to the ends of the pipes 36A and 36B branching from the pipe 36.

ClF3가스 봄베(37)가 접속된 배관(36A)에는 상류측으로부터 하류측으로 밸브(39), 매스플로우 콘트롤러(40), 밸브(41)가 차례로 설치되어 있다.In the pipe 36A to which the ClF 3 gas cylinder 37 is connected, the valve 39, the mass flow controller 40, and the valve 41 are provided in order from the upstream side to the downstream side.

그리고, 봄베(37), (38)로부터의 가스가 배관(36)에서 합류하며, 밸브(45)를 개방하는 것에 의하여 배관(33), 가스분산 공급부(29)를 통하여 처리실(2)내로 크리닝 가스가 공급된다.Then, gases from the cylinders 37 and 38 merge in the pipe 36 and are cleaned into the process chamber 2 through the pipe 33 and the gas dispersion supply part 29 by opening the valve 45. Gas is supplied.

처리실(2)의 저면(2A)에는 서셉터(28)의 근방에 배기구(46)가 형성되어 있다. 그리고, 이 배기구(46)에는 배기관(48)이 접속되며, 배기관(48)에는 진공배기펌프 (49)가 접속되고, 이 진공배기펌프(49)에 의하여 처리실(2) 내가 배기되며, 그 안이 소정의 진공도로 된다.An exhaust port 46 is formed in the vicinity of the susceptor 28 at 2A of the bottom surface of the processing chamber 2. An exhaust pipe 48 is connected to the exhaust port 46, a vacuum exhaust pump 49 is connected to the exhaust pipe 48, and the inside of the processing chamber 2 is exhausted by the vacuum exhaust pump 49. It becomes a predetermined vacuum degree.

이 진공배기펌프(49)는, 상술한 ClF3가스를 사용한 크리닝을 실시하는 경우에 있어서의 크리닝 가스의 배기수단을 겸할 수도 있다. 이 진공 배기펌프(49)로서는 배기되는 가스의 영향이 없도록 오일 프리의 드라이펌프를 사용하는 것이 바람직하다.The vacuum exhaust pump 49 may also serve as a means for exhausting the cleaning gas in the case of performing the cleaning using the above-described ClF 3 gas. As this vacuum exhaust pump 49, it is preferable to use an oil-free dry pump so that the gas which is exhausted may not be influenced.

이 진공배기펌프(49)의 하류측에는, 이 진공배기펌프(49)로부터 배기된 프로세스 가스, 크리닝 가스 등의 유해한 가스를 포착하여 배기가스로부터 이들의 유해가스를 제거하는 제거장치(50)가 배치되어 있다.On the downstream side of the vacuum exhaust pump 49, a removal device 50 for trapping harmful gases such as process gas and cleaning gas exhausted from the vacuum exhaust pump 49 and removing these harmful gases from the exhaust gas is disposed. It is.

이 제거장치(50)에는 ClF3가스를 양호하게 용해하는 용제, 예를들면 알칼리 용액 등을 만족한 것이 사용된다.The removal device 50 is used to satisfy a solvent, for example, an alkali solution or the like to favorably dissolve the ClF 3 gas.

이어서, 진공 예비실(12), (13)에 대하여 도 4를 참조하여 설명한다.Next, the vacuum preliminary chambers 12 and 13 are demonstrated with reference to FIG.

진공 예비실(12)은, 처리실과 같은 재료로 형성된 예비실 본체(51)와, 이 예비실 본체(51)내에 설치된, 반도체 웨이퍼를 냉각하는 냉각 스테이지(52)와, 이 냉각 스테이지(52)에 대향하여 예비실 본체(51) 상방에 설치된, 반도체 웨이퍼를 예비가열하는 가열장치(53)와, 이 가열장치(53)와 냉각 스테이지(52) 사이에서 반도체 웨이퍼를 지지하는 상하 2단의 지지구(54), (55)와, 이들의 지지구(54), (55)를 일체화하여 연결하고, 예비실 본체(51)의 저면을 관통하는 연결축(56)과, 이 연결축(56)의 하단에 연결되고, 지지구(54), (55)를 승강시키는 승강기구(57)를 구비하고 있다.The vacuum preliminary chamber 12 includes a preliminary chamber main body 51 formed of the same material as the processing chamber, a cooling stage 52 for cooling the semiconductor wafer provided in the preliminary chamber main body 51, and the cooling stage 52. A heating device 53 for preheating the semiconductor wafer, which is provided above the preliminary chamber main body 51, and the upper and lower two stage supports for supporting the semiconductor wafer between the heating device 53 and the cooling stage 52. The connecting shaft 56 which penetrates the bottom surface of the preliminary chamber main body 51, integrally connects the earth 54, 55, these supporters 54, 55, and this connecting shaft 56 It is connected to the lower end, and is equipped with the lifting mechanism 57 which raises and lowers the support bodies 54 and 55. As shown in FIG.

예비실 본체(51)의 저면에는 배기구(51A)가 형성되고, 이 배기구(51A)에 배기배관(58)을 통하여 진공펌프(59)가 접속되고, 이 진공펌프(59)에 의하여 예비실 본체(51) 내가 진공배기된다. 이 배기구(51A)의 근방에 가스 공급구(51B)가 형성되며, 이 가스 공급구(51B)에 공급배관(60)을 통하여 가스공급원(도시하지 않음)이 접속되고, 이 가스공급원으로부터 진공상태의 예비실 본체(51) 내에 불활성 가스 등이 공급되는 것에 의하여, 그 내부가 대기압으로 되돌아 간다.An exhaust port 51A is formed at the bottom of the preliminary chamber main body 51, and a vacuum pump 59 is connected to the exhaust port 51A via an exhaust pipe 58, and the preliminary chamber main body is connected to the exhaust port 51A by the vacuum pump 59. (51) I am evacuated. A gas supply port 51B is formed in the vicinity of the exhaust port 51A, and a gas supply source (not shown) is connected to the gas supply port 51B through a supply pipe 60, and is vacuumed from the gas supply source. The inert gas or the like is supplied into the preliminary chamber main body 51 to return the interior to atmospheric pressure.

이 진공펌프(59)는, 크리닝시에 공급되는 크리닝 가스의 배기에도 이용할 수가 있다.This vacuum pump 59 can also be used for exhausting the cleaning gas supplied at the time of cleaning.

상기 가열장치(53)는, 할로겐 램프로 구성되는 가열램프(53A)와, 이 가열램프(53A)의 빛을 예비실 본체(51)측으로 반사하는 반사판(53B)을 가지며, 이 반사판(53B)에서 반사된 가열램프(53A)로부터의 광에너지가 예비실 본체(51)의 상면에 배치된 석영창(53C)을 통하여 그 내부의 반도체 웨이퍼에 조사되고, 이것에 의하여 반도체 웨이퍼가 가열된다. 즉, 반도체 웨이퍼가 처리실에 반입되기 전에 그것이 예비가열된다. 이 때에는 승강기구(57)에 의하여 지지구(54)를 상승시켜서 가열장치 (53)에 접근시켜서 처리전의 반도체 웨이퍼를 예비가열한다.The heating device 53 has a heating lamp 53A composed of a halogen lamp, and a reflecting plate 53B for reflecting the light of the heating lamp 53A to the preliminary chamber body 51 side, and the reflecting plate 53B. The light energy from the heating lamp 53A reflected by is irradiated to the semiconductor wafer therein through the quartz window 53C disposed on the upper surface of the preliminary chamber body 51, thereby heating the semiconductor wafer. That is, it is preheated before the semiconductor wafer is carried into the processing chamber. At this time, the support tool 54 is raised by the lifting mechanism 57 to approach the heating apparatus 53 to preheat the semiconductor wafer before processing.

처리 후의 반도체 웨이퍼를 예비실(12)로부터 반출하는 경우는, 외부의 온도에 맞추어서 반도체 웨이퍼를 냉각한다. 이 때에는 승강기구(57)에 의하여 지지구(55)를 하강시켜서 냉각 스테이지(52)에 접촉시켜서 처리 후의, 반도체 웨이퍼를 냉각한다.When the semiconductor wafer after the process is taken out from the preliminary chamber 12, the semiconductor wafer is cooled in accordance with the external temperature. At this time, the support tool 55 is lowered by the lifting mechanism 57 to contact the cooling stage 52 to cool the semiconductor wafer after the treatment.

상기 지지구(54), (55)는, 도 5에 나타낸 바와 같이, 각각 냉각 스테이지(52)의 외경보다 약간 큰 직경으로 형성된 링(54A), (55A)을 가지며, 각 링(54A), (55A)에는 각각 둘레방향을 따라서 등간격으로 유지편(54B), (55B)이 3군데에 설치되어 있고, 이것에 의하여 반도체 웨이퍼가 유지된다.As shown in Fig. 5, the supports 54 and 55 each have rings 54A and 55A, each having a diameter slightly larger than the outer diameter of the cooling stage 52, and each ring 54A, Each of the holding pieces 54B and 55B is provided at 55A at equal intervals along the circumferential direction, respectively, thereby holding the semiconductor wafer.

다른 진공 예비실(13)도 진공 예비실(12)과 마찬가지로 예비실 본체(61), 냉각 스테이지(62), 가열장치(63), 지지구(64), (65), 연결축(66), 승강기구(67), 배기배관(68) 및 가스공급 배관(70)을 구비하고 있다.Similar to the vacuum prechamber 12, the other vacuum prechamber 13 also has a prechamber body 61, a cooling stage 62, a heating device 63, supporters 64, 65, and a connecting shaft 66. And an elevating mechanism 67, an exhaust pipe 68, and a gas supply pipe 70.

이어서, 상기 진공 예비실(12), (13)에 접속되어 있는 제2반송실(16)내에 배치된 제2반송장치(23)에 대하여, 도 6a, 도 6b를 참조하여 설명한다.Next, the 2nd conveying apparatus 23 arrange | positioned in the 2nd conveyance chamber 16 connected to the said vacuum preliminary chamber 12 and 13 is demonstrated with reference to FIG. 6A and 6B.

이 제2반송장치(23)는, 아암부(23A)와, 반도체 웨이퍼를 흡착시키기 위한 진공펌프(도시하지 않음)를 구비하고 있으며, 아암부(23A)는 링크기구에 의하여 구부러지거나 늘어나는 것이 자유롭게 구성된 아암(71)과, 이 아암(71)의 선단에 연결된 핸드(72)를 가지고 있다.The second conveying apparatus 23 includes an arm portion 23A and a vacuum pump (not shown) for adsorbing a semiconductor wafer, and the arm portion 23A is free to bend or extend by a link mechanism. It has the arm 71 comprised and the hand 72 connected to the front-end | tip of this arm 71. As shown in FIG.

핸드(72)의 상면에는 진공흡착용의 구멍(73)이 형성되어 있으며, 핸드(72)상에 반도체 웨이퍼(8)를 놓은 상태에서 진공펌프에 의하여 진공배기관(74)을 통하여 배기하는 것에 의하여, 반도체 웨이퍼(8)가 핸드(72) 상에 진공흡착된다.A hole 73 for vacuum suction is formed in the upper surface of the hand 72, and is exhausted through the vacuum exhaust pipe 74 by a vacuum pump in a state where the semiconductor wafer 8 is placed on the hand 72. The semiconductor wafer 8 is vacuum sucked onto the hand 72.

제2반송장치(23)에 의하여, 반송실(16) 내를 대기압 이상으로 유지한 상태에서, 반도체 웨이퍼(8)를 카세트(17)로부터 진공 예비실(12)로 실어서 이송할 때에는, 그 아암(71)을 늘려서 카세트(17)내의 반도체 웨이퍼(8) 사이에 삽입하고, 핸드(72)에 반도체 웨이퍼(8)를 놓음과 동시에 진공펌프에 의하여 진공배기관(74)을 통하여 배기하여 반도체 웨이퍼(8)를 핸드(72)의 구멍(73)을 통하여 정확하게 흡착고정하여 반도체 웨이퍼(8)를 탈락시키는 일이 없고, 진공 예비실(12)에 반송하고, 반송후에는 진공흡착을 해제하여 소정의 위치에 반도체 웨이퍼(8)를 정확하게 재치한다.When the semiconductor wafer 8 is loaded from the cassette 17 into the vacuum preliminary chamber 12 by the second conveying apparatus 23 while the inside of the conveying chamber 16 is maintained at atmospheric pressure or higher, The arm 71 is extended to be inserted between the semiconductor wafers 8 in the cassette 17, the semiconductor wafer 8 is placed in the hand 72, and exhausted through the vacuum exhaust pipe 74 by a vacuum pump. The semiconductor wafer 8 is transported to the vacuum preliminary chamber 12 without being pulled out and fixed correctly by the suction 73 through the hole 73 of the hand 72, and the vacuum adsorption is canceled after the transfer. The semiconductor wafer 8 is accurately placed at the position of.

이어서, 상기 멀티챔버 처리장치를 사용하는 배선용 성막처리의 일에 대하여 설명한다.Next, work of the film forming process for wiring using the multichamber processing apparatus will be described.

예를들면 처리실(1) 내에서는 스퍼터링에 의하여 TiN을 반도체 웨이퍼의 콘택홀의 표면에 밀착층을 형성하고, 처리실(2) 내에서는 처리실(1) 내에서 처리 후의 반도체 웨이퍼의 콘택홀에 블랭케트(W)에 의하여 텅스텐을 메워 넣고, 처리실(3) 내에서는 처리실(2) 내에서 텅스텐 메워 넣기 후의 반도체 웨이퍼의 표면으로부터 텅스텐을 에칭하여 콘택홀에만 텅스텐을 남기는 처리를 한다.For example, in the processing chamber 1, TiN is formed on the surface of the contact hole of the semiconductor wafer by sputtering, and in the processing chamber 2, the blanket is formed in the contact hole of the processed semiconductor wafer in the processing chamber 1. W) is filled with tungsten, and in the processing chamber 3, tungsten is etched from the surface of the semiconductor wafer after tungsten filling in the processing chamber 2 to leave tungsten only in the contact hole.

각 처리실에서는, 다른 반도체 웨이퍼에 대하여, 이들의 배선처리를 동시에 하며, 각 처리실에서의 처리 후는 반도체 웨이퍼를 제1반송장치(9)를 통하여 다음의 공정을 하는 처리실로 반송하며, 종전의 공정에 연속하여 그 공정이 이루어진다. 물론, 각 처리실내는 어느 것도 각각의 처리에 필요한 진공도로 유지되어 있다.In each processing chamber, these wiring processes are simultaneously performed with respect to the other semiconductor wafers, and after the processing in each processing chamber, the semiconductor wafer is conveyed to the processing chamber which performs the following process through the first transfer device 9, and the previous process is performed. The process takes place in succession. Of course, each of the processing chambers is maintained at the degree of vacuum necessary for each processing.

예를 들면, 처리실(2)에서의 블랭케트(W)에 대하여 설명하면, 프로세스 가스 공급계(32)로부터 가스 분산 공급부(29)에 프로세스 가스로서의 6불화 텅스텐(WF6) 및 수소가 공급되면, 가스 분산 공급부(29) 하면의 분산구멍(29A)으로부터 프로세스 가스가 실내전체에 균등하게 공급된다. 이때, 할로겐 램프(31)의 광에너지가 석영창(30)을 통하여 서셉터(28)에 의하여 지지된 반도체 웨이퍼(8)가 소정온도까지 가열되어 있다. 그리고, 이와 같이하여 가열된 반도체 웨이퍼(8)에 프로세스 가스가 접촉하면, 그 열에너지에 의하여 WF6가 수소환원되어 텅스텐의 피막이 반도체 웨이퍼(8)의 전표면에 형성된다.For example, the blanket W in the processing chamber 2 will be described. When tungsten hexafluoride (WF 6 ) and hydrogen as process gas are supplied from the process gas supply system 32 to the gas dispersion supply unit 29, the hydrogen is supplied. The process gas is uniformly supplied to the entire room from the dispersion holes 29A on the bottom surface of the gas dispersion supply unit 29. At this time, the semiconductor wafer 8 in which the light energy of the halogen lamp 31 is supported by the susceptor 28 through the quartz window 30 is heated to a predetermined temperature. When the process gas contacts the semiconductor wafer 8 thus heated, WF 6 is hydrogen-reduced by the thermal energy, and a tungsten film is formed on the entire surface of the semiconductor wafer 8.

이 처리에 의하여 텅스텐의 피막은 반도체 웨이퍼(8)의 표면 뿐만 아니라 서셉터(28) 등 기타 부분에도 형성된다.By this treatment, a tungsten film is formed not only on the surface of the semiconductor wafer 8 but also on other parts such as the susceptor 28.

처리실(2)에 있어서의 블랭케트(W)의 성막공정이 종료하면, 처리실(2)로부터 제1반송실(4)내의 제1반송장치에 의하여 반도체 웨이퍼가 꺼내지고, 다음의 공정을 하는 처리실로 반송된다. 처리실(1), (3)에 있어서도 똑같이 거기에서의 처리가 종료한 시점에서 제1반송실(4) 내의 제1반송장치(9)에 의하여 소정의 처리실 또는 진공 예비실로 반송된다.When the film forming step of the blanket W in the processing chamber 2 is completed, the semiconductor wafer is taken out from the processing chamber 2 by the first transfer apparatus in the first transfer chamber 4, and the processing chamber which performs the next step. Is returned. Similarly, in the processing chambers 1 and 3, when the processing thereon ends, it is conveyed by the 1st conveying apparatus 9 in the 1st conveying chamber 4 to a predetermined process chamber or a vacuum reserve chamber.

구체적으로는, 각 반도체 웨이퍼(8)의 반송시에는, 각 처리실의 게이트 밸브(5), (6), (7)가 열려짐과 동시에, 진공 예비실(12), (13)의 게이트 밸브(10), (11)가 차례대로 열려서 이들 각 챔버가 서로 통하게 된다. 이 상태에서 제1반송장치 (9)에 의하여 처리실(3) 내의 반도체 웨이퍼(8)가 진공 예비실(13) 내부의 지지구 (55)에 실리어 이송된다. 이어서, 제1반송장치(9)의 아암(9A)이 진공 예비실 (13)로부터 후퇴하고, 계속하여 아암(9A)이 처리실(2) 내로 늘어나고, 이것에 의하여 서셉터(28) 상의 블랭케트(W) 후의 반도체 웨이퍼(8)가 꺼내어져서 처리실(3)로 반송된다. 계속하여 아암(9A)이 처리실(1) 내로 늘어나고, 그것에 의하여 그 내부로부터 TiN 성막 후의 반도체 웨이퍼(8)가 꺼내짐과 동시에, 처리실(2) 내의 서셉터(28)로 반송된다. 그 후, 아암(9A)이 진공 예비실(12)내로 늘어나고 그것에 의하여 가열장치 (53)에 의한 예비가열 후의 반도체 웨이퍼(8)가 지지구(54)로부터 꺼내지고 처리실(1) 내로 반송된다. 이들의 일련의 각 동작이 종료한 시점에서, 각 게이트 밸브가 순차 닫혀지며, 이 상태에서 다음의 조작에 대비한다.Specifically, at the time of conveyance of each semiconductor wafer 8, while the gate valves 5, 6, and 7 of each processing chamber are opened, the gate valves of the vacuum reserve chambers 12 and 13 are opened. (10) and (11) are opened in turn so that these chambers communicate with each other. In this state, the semiconductor wafer 8 in the processing chamber 3 is sealed-transferred to the support 55 in the vacuum preliminary chamber 13 by the first transfer device 9. Subsequently, the arm 9A of the first conveying apparatus 9 is retracted from the vacuum preliminary chamber 13, and the arm 9A subsequently extends into the processing chamber 2, whereby a blanket on the susceptor 28 is obtained. The semiconductor wafer 8 after (W) is taken out and conveyed to the process chamber 3. Subsequently, the arm 9A extends into the processing chamber 1, whereby the semiconductor wafer 8 after the TiN film formation is taken out from the inside thereof and conveyed to the susceptor 28 in the processing chamber 2. Thereafter, the arm 9A extends into the vacuum preliminary chamber 12, whereby the semiconductor wafer 8 after preheating by the heating apparatus 53 is taken out of the support tool 54 and conveyed into the processing chamber 1. At the end of each of these series of operations, the gate valves are closed in sequence, preparing for the next operation in this state.

진공 예비실(12)에서는 게이트 밸브(10)가 닫히면, 그 후 게이트 밸브(14)가 열린다. 그리고, 다음의 반도체 웨이퍼(8)가, 위치결정기구(24)에서 위치결정된 후, 제2반송장치(23)에 의하여 진공 예비실(12)의 지지구(55)에 반송된다. 이어서 승강기구(57)에 의하여 지지구(55)가 예비실 본체(51)의 상면에 접근하는 위치로 상승된다. 이 때 예비실 본체(51)내에서는 진공펌프(59)가 구동하여 실내의 압력을 제1반송실(4)의 진공도와 같은 레벨까지 진공흡인함과 동시에, 가열장치(53)에 의하여 반도체 웨이퍼(8)를 예비가열하고, 다음의 처리에 대비한다.In the vacuum preliminary chamber 12, when the gate valve 10 is closed, the gate valve 14 opens after that. After the next semiconductor wafer 8 is positioned by the positioning mechanism 24, it is conveyed to the support 55 of the vacuum preliminary chamber 12 by the second transfer device 23. Subsequently, the support tool 55 is lifted to the position approaching the upper surface of the preliminary chamber main body 51 by the elevating mechanism 57. At this time, in the preliminary chamber main body 51, the vacuum pump 59 is driven to suck the vacuum in the room to the same level as the vacuum degree of the first conveying chamber 4, and at the same time, the semiconductor device is heated by the heating device 53. Preheat (8) and prepare for the next process.

한편, 게이트 밸브(11)가 닫혀진 진공 예비실(13)에서는, 예비실 본체(61)내에 가스공급배관(70)으로부터 질소가스가 공급되어 실내의 압력이 대기압 레벨로 되돌아가며, 동시에 승강기구(67)에 의하여 지지구(64)가 하강되어 냉각 스테이지(62)에 접촉하며, 이것에 의하여 반도체 웨이퍼(8)가 상온까지 냉각된다. 냉각 후 게이트 밸브(15)가 개방되어 진공 예비실(13)이 제2반송실(16)에 통하게 되고, 제2반송장치 (23)에 의하여 지지구(65)상의 반도체 웨이퍼(8)가 카세트실(21)내의 카세트(17)에 반송된다.On the other hand, in the vacuum preliminary chamber 13 in which the gate valve 11 is closed, nitrogen gas is supplied from the gas supply pipe 70 into the preliminary chamber main body 61 so that the pressure in the room returns to the atmospheric pressure level, and at the same time the lifting mechanism ( The support tool 64 is lowered by the 67 to contact the cooling stage 62, whereby the semiconductor wafer 8 is cooled to room temperature. After cooling, the gate valve 15 is opened so that the vacuum preliminary chamber 13 passes through the second conveyance chamber 16, and the semiconductor wafer 8 on the support 65 is cassetted by the second conveying apparatus 23. It is conveyed to the cassette 17 in the chamber 21.

이 때에, 제2반송장치(23)는 핸드(72)의 구멍(73)을 통하여 반도체 웨이퍼 (8)를 진공흡착하기 때문에, 반도체 웨이퍼(8)를 빗나가지 않게 실어서 이송시킬 수 있다.At this time, since the second conveying apparatus 23 vacuum-absorbs the semiconductor wafer 8 through the hole 73 of the hand 72, the second conveying apparatus 23 can be transported by carrying the semiconductor wafer 8 undeviated.

이상과 같이, 멀티챔버 처리장치 내에서의 일련의 처리가 종료한다.As described above, the series of processing in the multichamber processing apparatus is completed.

이들의 일련의 처리공정을 카세트(17)에 수납된 반도체 웨이퍼(8)의 전부에 대하여 행하고, 그 후 미처리된 반도체 웨이퍼(8)가 탑재된 카세트와 교환한다These series of processing steps are performed on all of the semiconductor wafers 8 stored in the cassette 17, and then replaced with the cassette on which the unprocessed semiconductor wafer 8 is mounted.

이와 같은 성막처리에 의하여 처리실(1), (2), (3)내에서는 각각의 벽면, 서셉터(28) 및 기타 부분에도 다소의 피막이 형성되고, 성막횟수를 소정횟수 되풀이하면, 그 때마다 피막이 적층되어 언젠가는 이들이 박리하여 퍼티클로서 실내를 부유하고 청정한 반도체 웨이퍼(8)를 오염하도록 되는 것은 전술한 바와같다. 또, 처리실(1), (2), (3)내에서는 완전하게 반응하지 않은 반응생성물이나 분해생성물이 반도체 웨이퍼(8)에 부착한다.By the film forming process, some coating films are formed on the respective walls, susceptors 28, and other parts of the processing chambers 1, 2, and 3, and each time the film forming number is repeated a predetermined number of times, It is as described above that the films are laminated so that they may someday peel off and contaminate the semiconductor wafer 8 that is suspended and floated in the room as a putty. In the processing chambers 1, 2, and 3, reaction products or decomposition products that do not react completely are attached to the semiconductor wafer 8.

이 때문에 이들 반응생성물이나 분해생성물이 반도체 웨이퍼(8)의 반송과정에서 반도체 웨이퍼(8)로부터 흩날리어 처리실(1), (2), (3)은 물론, 다른 제1반송실(4), 진공 예비실(12), (13) 및 제2반송실(16) 등의 챔버에도 흩날리어 각각의 챔버의 저부에 서서히 밀착된다. 그리고, 이들도 퍼티클로되어 반도체 웨이퍼(8)를 오염시킬 우려가 있다.For this reason, these reaction products or decomposition products are scattered from the semiconductor wafer 8 during the transfer process of the semiconductor wafer 8, as well as other first transfer chambers 4, The chambers, such as the vacuum preliminary chambers 12, 13 and the second conveyance chamber 16, are also scattered and gradually adhere to the bottom of each chamber. In addition, these may also be puttyles and contaminate the semiconductor wafer 8.

또 제1반송실(4), 제2반송실(16) 내에서는 각각의 반송장치(9), (23)의 구동부로부터 퍼티클이 발생하고, 이들이 서서히 각각의 저면에 축적하며, 이들이 반도체 웨이퍼(8)의 반송시에 날아 오르며 반도체 웨이퍼(8)를 오염시킬 우려가 있다.Moreover, in the 1st conveyance chamber 4 and the 2nd conveyance chamber 16, a putty generate | occur | produces from the drive part of each conveying apparatus 9 and 23, these gradually accumulate on each bottom surface, and these are a semiconductor wafer. There is a fear of flying during the transfer of (8) and contaminating the semiconductor wafer (8).

그래서, 몇회인가 성막처리를 한 후, 일단 성막을 중단하고 이 멀티챔버 처리장치를 크리닝 가스를 공급함으로써, 크리닝하여 피막, 먼지 등을 제거한다.Therefore, after several times of film formation, film formation is stopped and the multi-chamber processing apparatus is supplied with a cleaning gas to be cleaned to remove film, dust and the like.

이 상태에서는, 상술한 바와 같이 플라즈마레스의 ClF계의 크리닝 가스를 적어도 1개의 처리실에 공급하여 장치 내를 크리닝한다.In this state, as described above, the plasmaless ClF-based cleaning gas is supplied to at least one process chamber to clean the inside of the apparatus.

여기에서는, 예를들면 처리실(2)에 크리닝 가스를 공급하여 멀티챔버 처리장치 의 내부전체를 크리닝하는 경우에 대하여 설명한다.Here, for example, the case where the cleaning gas is supplied to the processing chamber 2 to clean the entire interior of the multichamber processing apparatus will be described.

이 경우에는 멀티챔버 처리장치 내에서 각 챔버 사이를 차단하는 게이트 밸브를 모두 열고 모든 챔버가 서로 통한 상태로 한다. 그 후, 처리실(2)의 할로겐 램프(31) 등의 전원을 끈 후, 반도체 웨이퍼(8)가 각 처리실(1), (2), (3)에 없는 상태로 한다.In this case, all the gate valves are blocked in the multi-chamber processing apparatus and the chambers are opened to each other. Thereafter, after the power supply of the halogen lamp 31 and the like of the processing chamber 2 is turned off, the semiconductor wafer 8 is left in each of the processing chambers 1, 2, and 3.

그리고, 처리실(2)에 접속된 크리닝 가스공급계(35)로부터 크리닝 가스가 처리실(2)에 공급되며, 크리닝이 개시된다.Then, the cleaning gas is supplied to the processing chamber 2 from the cleaning gas supply system 35 connected to the processing chamber 2, and cleaning is started.

또, 이 크리닝시에 각 처리실(1), (2), (3)내의 프로세스 가스를 질소가스 등으로 미리 치환하여 놓는 것이 바람직하다.Moreover, it is preferable to substitute the process gas in each process chamber 1, 2, and 3 beforehand by nitrogen gas etc. at the time of this cleaning.

이 크리닝시에는, 우선 ClF3의 비점보다 높은 상온하에서 각 처리실(1), (2), (3)의 진공펌프(49) 및 진공 예비실(12), (13)의 진공펌프(59)를 구동하며, 처리실(1), (2), (3), 진공 예비실(12), (13) 및 제2반송실(16)로부터 질소가스를 배기하여 멀티챔버 처리장치 내를 소정의 진공도로 유지한다.During this cleaning, first, the vacuum pumps 49 of the processing chambers 1, 2, and 3 and the vacuum pumps 59 of the vacuum reserve chambers 12 and 13 are stored at room temperature higher than the boiling point of ClF 3 . And exhaust the nitrogen gas from the processing chambers (1), (2), (3), vacuum preliminary chambers (12), (13), and the second conveyance chamber (16) to obtain a predetermined degree of vacuum in the multichamber processing apparatus. To keep.

그리고, 이와 같이 배기한 상태에서 크리닝 가스공급계(35)의 밸브(39), (41), (45)를 소정의 열림도로 개방함과 동시에, 매스플로우 콘트롤러(40)에 의하여 ClF3가스를 소정의 유량, 예를들면 5리터/분 이하의 유량으로 제어하여, 배관(33), 가스 분산 공급부(29)를 통하여 처리실(2)내로 도입하며, 전체 챔버에 ClF3가스를 널리 퍼뜨려서 내부의 압력을 0.1~100Torr의 진공도로 유지한다.In the exhausted state, the valves 39, 41, and 45 of the cleaning gas supply system 35 are opened at a predetermined opening, and the mass flow controller 40 releases ClF 3 gas. It is controlled at a predetermined flow rate, for example, 5 liters / minute or less, introduced into the processing chamber 2 through the pipe 33 and the gas dispersion supply unit 29, and the ClF 3 gas is widely spread throughout the entire chamber. The pressure is maintained at a vacuum of 0.1 to 100 Torr.

이 때, 크리닝 가스는, 처리실(2)로부터 유입하여 제1반송실(4)로 흐르고, 다시 다른 처리실(1), (3)로 계속한다. 또, 진공 예비실(12), (13) 및 제2반송실(16)에서도 각각의 배기구(511A), (61A) 및 (25)로부터 진공펌프(59) 등에 의하여 진공배기되기 때문에, 결국 모든 챔버에 크리닝 가스가 계속된다. 즉, 도 2에 나타낸 바와 같이, 크리닝 가스가 1개의 처리실로부터 도입됨으로써, 전체 챔버 내에 널리 퍼지고, 크리닝 가스의 압력이 예를들면 0.1~100Torr의 소정의 값으로 유지된다.At this time, the cleaning gas flows in from the processing chamber 2 and flows into the first transport chamber 4, and then continues to the other processing chambers 1 and 3 again. In addition, the vacuum reserve chambers 12, 13, and the second conveyance chamber 16 are also evacuated from the respective exhaust ports 511A, 61A, and 25 by the vacuum pump 59, and so on. Cleaning gas continues in the chamber. That is, as shown in FIG. 2, the cleaning gas is introduced from one processing chamber, thereby spreading widely in the entire chamber, and the pressure of the cleaning gas is maintained at a predetermined value of, for example, 0.1 to 100 Torr.

전체 챔버에 널리 퍼진 ClF3가스는, 화학적으로 활성인 가스이기 때문에, 처리실(1), (2), (3)내에 형성된 텅스텐계의 피막이나 이들의 처리실(1), (2), (3) 및 기타 모든 챔버의 저면 등에 처리과정에서 부착한 부착물과 반응하여 이들의 피막 및 부착물이 제거된다.Since the ClF 3 gas spread throughout the entire chamber is a chemically active gas, tungsten-based coatings formed in the processing chambers 1, 2, and 3, and these processing chambers 1, 2, and 3 ) And all other chambers are reacted with deposits attached in the process to remove their coatings and deposits.

따라서 각 챔버 내가 청정하게 크리닝된다.Therefore, each chamber inside is cleaned cleanly.

또, ClF3가스의 피막 등과의 반응이 발열반응이기 때문에, 이 발열에 의하여 ClF3가스의 반응은 점점 촉진되어 피막 등의 부착물을 일층 유효하게 제거할 수 있다.In addition, since the reaction with the film or the like of the ClF 3 gas is an exothermic reaction, the reaction of the ClF 3 gas is gradually promoted by this heat generation, so that deposits such as the film can be effectively removed.

특히, ClF3가스는 텅스텐과 좋게 반응하기 때문에, 본 실시예에서 각 챔버 내에 부착한 텅스텐 계의 부착물을 효과적으로 제거할 수가 있다.In particular, since the ClF 3 gas reacts well with tungsten, in this embodiment, it is possible to effectively remove the tungsten-based deposits deposited in each chamber.

더구나, 본 실시예에서는 크리닝 가스를 각 챔버의 배기계 배관을 통하여 외부로 배출하도록 하고 있기 때문에, 각각의 배기배관, 특히 각 처리실(1), (2), (3)의배기관(48)과 같이 반응생성물의 피막을 형성하기 쉬운 부분에 대해서도, 그 피막을 크리닝 가스에 의하여 제거할 수 있다.In addition, in the present embodiment, the cleaning gas is discharged to the outside through the exhaust system piping of each chamber, and therefore, as in each of the exhaust pipes, particularly the exhaust pipes 48 of the respective processing chambers 1, 2, and 3, Also for the part which is easy to form the film of reaction product, the film can be removed by a cleaning gas.

또, 배기계로부터 배기되는 유독가스를 제거장치(50)에 의하여 제거할 수 있기 때문에, 크린한 배기를 할 수 있다.In addition, since the toxic gas exhausted from the exhaust system can be removed by the removal device 50, clean exhaustion can be performed.

이상과 같은 크리닝을 하는 경우에는, NF3가스 등의 플라즈마를 이용하여 내부를 크리닝하는 방법에서는 제거할 수 없었던 각 챔버의 저면 등, 플라즈마가 미치지 않는 부분에도 ClF3가스가 완전하게 널리 퍼져서 각 챔버의 구석 구석까지 완전하게 크리닝할 수 있으며, 64M DRAM이상의 다층배선으로 구성되는 반도체 집적회로 소자의 제조장치의 주류로 되는 것이 기대되고 있는 멀티챔버 처리장치의 전 챔버를 완전하게 크리닝할 수 있으며, 64M DRAM이상의 집적도를 가지는 반도체 집적회로 소자의 제조에서 문제로 되는 퍼티클 등의 오염물을 제거할 수 있다.In the case of the above-mentioned cleaning, ClF 3 gas is completely spread even in the part where the plasma does not reach, such as the bottom of each chamber, which cannot be removed by the method of cleaning the interior using plasma such as NF 3 gas. It can completely clean every corner of the system, and can completely clean all the chambers of the multi-chamber processing apparatus, which is expected to become the mainstream of the semiconductor integrated circuit device manufacturing apparatus composed of multi-layer wiring of 64M DRAM or more. It is possible to remove contaminants such as puticles, which are a problem in the manufacture of semiconductor integrated circuit devices having an integration degree higher than DRAM.

더구나, ClF3가스는 활성한 가스일지라도 재료에 대한 부식성이 작고, 또 플라즈마레스이기 때문에, 플라즈마에 의하여 장치 내부를 손상시키는 등의 문제를 일으키지 않고, 매우 부드러운 크리닝을 할 수 있다.In addition, since ClF 3 gas has a low corrosiveness to the material and is plasmaless, even if it is an active gas, it is possible to perform very smooth cleaning without causing problems such as damaging the inside of the apparatus by plasma.

또, 기존의 멀티챔버 처리장치에 크리닝 시스템으로서 크리닝 가스 공급계(35)를 설치하는 외에, 다소의 배기계의 개량을 부가할 뿐으로 이루어지기 때문에, 매우 낮은 제조단가로 효과적인 크리닝을 할 수가 있다.In addition, since the cleaning gas supply system 35 is provided as a cleaning system in the existing multichamber processing apparatus, only a slight improvement of the exhaust system is added, so that effective cleaning can be performed at a very low manufacturing cost.

또, 당연한 것이지만, 작업원이 장치를 해체하여 크리닝하는 방식과 비교하면, 크리닝 시간을 크게 단축할 수 있다.As a matter of course, the cleaning time can be greatly shortened compared to the method in which the worker disassembles and cleans the device.

또, 다른 크리닝 방법으로서는 ClF3가스와 그 플라즈마를 병용하여 처리장치 내를 크리닝하는 방법도 있다.As another cleaning method, there is also a method of cleaning the inside of the processing apparatus by using a ClF 3 gas and a plasma thereof.

이 크리닝 방법에서는, ClF3가스를 예를들면 처리실(2) 내로 공급하며, 이 처리실(2) 내에서 ClF3가스의 플라즈마를 일으키고, 이 플라즈마에 의하여 처리실(2) 내의 도시하지 않은 서셉터, 전극 및 그 근방을 크리닝함과 동시에, 이 ClF3가스를 처리실(2)을 통하여 다른 처리실(1), (3), 제1반송실(4), 진공 예비실(12), (13) 및 제2반송실(16)에 공급한다. 이것에 의하여, 멀티챔버 처리장치의 전 챔버를 크리닝할 수가 있다.In this cleaning method, a ClF 3 gas is supplied into the processing chamber 2, for example, a plasma of ClF 3 gas is generated in the processing chamber 2, and a susceptor (not shown) in the processing chamber 2 is generated by the plasma. While cleaning the electrode and its vicinity, the ClF 3 gas is passed through the processing chamber 2 to the other processing chambers 1, 3, 1st conveyance chamber 4, vacuum reserve chamber 12, 13, and Supply to the 2nd conveyance chamber 16 is carried out. This makes it possible to clean all the chambers of the multichamber processing apparatus.

이 방법에 의하면, 처리실(2)에 있어서의 성막처리에 의하여 처리실(2)의 내면, 서셉터, 전극이 성막되어도, 특히 성막이 현저한 서셉터, 전극을 ClF3가스의 플라즈마중의 활성종에 의하여 퇴적막을 효과적으로 제거함과 동시에, 다른 모든 챔버에 대해서는 ClF3가스에 의하여 상술한 경우와 같이 크리닝한다.According to this method, even when the inner surface of the processing chamber 2, the susceptor, and the electrode are formed by the film forming process in the processing chamber 2, the susceptor and the electrode having particularly significant film formation are applied to the active species in the plasma of ClF 3 gas. By removing the deposited film effectively, all other chambers are cleaned with ClF 3 gas as described above.

이 때, 다른 처리실(1), (3)에서도 플라즈마를 일으키는 것에 의하여 똑같이 각각의 서셉터, 전극을 ClF3의 플라즈마에 의하여 크리닝할 수가 있다.At this time, the other susceptors and electrodes can be cleaned by the plasma of ClF 3 similarly by generating plasma in the other process chambers 1 and 3 as well.

이 경우에서도 장치를 해체하지 않고, ClF3가스 등의 크리닝 가스를 처리실(2내에서 플라즈마화하여 서셉터, 전극 등에 형성된 피막 또는 부착한 퍼티클 등을 에칭에 의하여 제거할 수 있기 때문에, 크리닝 시간을 단축할 수 있고, 또 가동시의 상태 그대로 간편하게 할 수 있다.In this case as well, the cleaning time such as ClF 3 gas can be plasma-formed in the process chamber 2 so that the film formed on the susceptor, the electrode, or the like can be removed by etching, without cleaning the device. Can be shortened and it can be made simple as it is at the time of operation.

또, 상기 예에서는, 크리닝 가스로서 ClF3가스를 사용한 것에 대하여 설명하였으나, 이 ClF3가스를 제거할 피막, 부착물의 성분에 따라서 질소가스에 의하여 적절하게 희석하며, 그 활성을 적절하게 조정할 수가 있다.In the above example, the use of ClF 3 gas as the cleaning gas has been described. However, depending on the coating and the components of the deposit to remove the ClF 3 gas, it can be appropriately diluted with nitrogen gas, and the activity thereof can be appropriately adjusted. .

또, 상기 예에서는 하나의 처리실(2)로부터 크리닝 가스를 도입하여, 각 처리실(1), (2), (3) 및 진공 예비실(12), (13) 및 제2반송실(16)로부터 배기하는 방법에 대하여 설명하였으나, 도 2에 나타낸 바와 같이, 하나의 처리실(2)로부터 크리닝 가스를 도입하고, 제2반송실(16)의 배기구(25)만으로부터 배기를 하여 크리닝 가스의 흐름을 만들어 크리닝하여도 좋다. 또, 모든 처리실(1), (2), (3)로부터 크리닝가스를 공급하도록 하고, 각 처리실(1), (2), (3) 및 진공 예비실(12), (13)의 전 배기계 배관으로부터 배기하도록 하여도 좋다. 또, 제1반송실(4)로부터 크리닝 가스를 공급하도록 하여도 좋고, 모든 챔버로부터 크리닝 가스를 공급하여도 좋다.In addition, in the above example, the cleaning gas is introduced from one processing chamber 2, and each of the processing chambers 1, 2, 3, and the vacuum preliminary chambers 12, 13, and the second transfer chamber 16 is introduced. Although the method of evacuating from the above was demonstrated, as shown in FIG. 2, the cleaning gas is introduce | transduced from one process chamber 2, and it exhausts only from the exhaust port 25 of the 2nd conveyance chamber 16, and the cleaning gas flows. You can make and clean. Further, the cleaning gas is supplied from all the processing chambers 1, 2, and 3, and the exhaust system of each of the processing chambers 1, 2, 3, and the vacuum reserve chambers 12, 13 is supplied. You may make it exhaust from piping. In addition, the cleaning gas may be supplied from the first transport chamber 4, or the cleaning gas may be supplied from all the chambers.

또, 카세트실(20), (21)의 크리닝에 대하여는 설명하지 않았으나, 이들의 챔버의 경우에는, 게이트 밸브(26), (27)를 개방한 상태에서 작업원이 간단하게 내부를 청소할 수 있기 때문에, 본 발명의 크리닝 방법을 사용할 것 까지도 없다.Although the cleaning of the cassette chambers 20 and 21 has not been described, in the case of these chambers, the worker can easily clean the inside with the gate valves 26 and 27 open. Therefore, there is no need to use the cleaning method of the present invention.

가사 본 발명의 크리닝 방법을 카세트실(20), (21)의 크리닝에도 적용한다고 하면, 상술한 바와 같이, 각 카세트실(20), (21)에 크리닝 가스의 공급구와 배기구를 설치하도록 하면 된다.If the cleaning method of the present invention is applied to the cleaning of the cassette chambers 20 and 21, the supply and exhaust ports for the cleaning gas may be provided in the cassette chambers 20 and 21 as described above. .

이어서 본 발명의 다른 형태에 대하여 설명한다.Next, another form of this invention is demonstrated.

이 형태에 관한 멀티챔버 처리장치는, 도1에 나타낸 멀티챔버 처리장치와 거의 같은 구성을 가지고 있으나, 크리닝 가스의 공급방법이 다르다.The multichamber processing apparatus according to this aspect has a configuration substantially the same as that of the multichamber processing apparatus shown in Fig. 1, but the cleaning gas supply method is different.

즉, 이 형태에서는, 멀티챔버 처리장치의 전 챔버의 게이트 밸브를 닫아서 각 챔버를 서로 차단한 후, 예를들면 하나의 크리닝 가스 공급계로부터 각 챔버에 대하여 ClF3가스를 크리닝 가스로서 개별적으로 공급하고, 각 챔버로부터 외부로 배기하며, 이 사이에 크리닝 가스에 의하여 각 챔버의 내부에 부착한 금속계의 부착물을 제거한다. 도 7에 이 때의 크리닝 가스의 흐름을 개념적으로 나타낸다.That is, in this form, and then cut off the respective chamber with each other by closing the gate valve around the chamber of the multi-chamber processing apparatus, such as individually fed to the ClF 3 gas to each chamber from a cleaning gas supply system as a cleaning gas Then, the gas is exhausted from each chamber to the outside, and metal deposits attached to the inside of each chamber are removed by the cleaning gas therebetween. 7 conceptually shows the flow of the cleaning gas at this time.

이 도면에 나타낸 바와 같이, 크리닝 가스 공급계(35)의 배관이, 멀티챔버 처리장치의 전 챔버에 대하여 분기하고, 분기한 배관이 각각 전 챔버에 대하여 개별적으로 접속되며, 크리닝 가스 공급계(35)로부터 전 챔버에 대하여 개별로 크리닝 가스가 공급된다.As shown in this figure, the piping of the cleaning gas supply system 35 branches with respect to all the chambers of the multichamber processing apparatus, and the branched piping is individually connected with respect to all the chambers, and the cleaning gas supply system 35 is carried out. ), The cleaning gas is supplied to all the chambers individually.

그리고, 각 챔버에는 각각 가스 배기구가 각각 형성되며, 각각의 가스 배기구로부터 크리닝 가스가 외부로 배기된다. 즉, 크리닝 가스 공급계(35)로부터의 배관은, 처리실(1), (2), (3)뿐만이 아니라 제1반송실(4), 제2반송실(16), 진공 예비실(12), (13)에도 접속되어 있으며, 이 들 챔버 각각에 크리닝 가스가 공급된다.Each chamber is provided with a gas exhaust port, respectively, and the cleaning gas is exhausted to the outside from each gas exhaust port. That is, the piping from the cleaning gas supply system 35 is not only the process chambers 1, 2, and 3 but also the 1st conveyance chamber 4, the 2nd conveyance chamber 16, and the vacuum reserve chamber 12. And (13), and a cleaning gas is supplied to each of these chambers.

이와 같은 크리닝 가스의 흐름을 실현하기 위하여, 이 형태의 멀티챔버 처리장치는 도 8에 나타낸 바와 같이 구성된다.In order to realize such a cleaning gas flow, the multichamber processing apparatus of this type is configured as shown in FIG.

즉, 제1반송실(4)의 저부에 크리닝 가스 공급계(35)로부터의 배관(33)이 접속되는 가스공급구(4A) 및 진공 예비실의 배기계에 접속되는 가스 배기구(4B)가 설치되며, 제2반송실(16)의 저부에 크리닝 가스 공급계(35)로부터의 배관(33)이 접속되는 가스 공급구(25A) 및 진공 예비실의 배기계에 접속되는 가스 배기구(25B)가 설치되어 있다.That is, a gas supply port 4A to which the pipe 33 from the cleaning gas supply system 35 is connected and a gas exhaust port 4B to be connected to the exhaust system of the vacuum reserve chamber are provided at the bottom of the first transport chamber 4. The gas supply port 25A to which the pipe 33 from the cleaning gas supply system 35 is connected to the bottom of the second conveyance chamber 16 and the gas exhaust port 25B to be connected to the exhaust system of the vacuum reserve chamber are provided. It is.

이 크리닝시에는, 우선 ClF3의 비점보다 높은 상온하에서 각 처리실(1), (2), (3)의 진공펌프(49) 및 진공 예비실(12), (13)의 진공펌프(59)를 구동하며, 처리실(1), (2), (3), 진공 예비실(12), (13) 및 제2반송실(16)로부터 질소가스를 배기하여 멀티챔버 처리장치 내의 진공도를 유지한다.During this cleaning, first, the vacuum pumps 49 of the processing chambers 1, 2, and 3 and the vacuum pumps 59 of the vacuum reserve chambers 12 and 13 are stored at room temperature higher than the boiling point of ClF 3 . And exhaust the nitrogen gas from the processing chambers (1), (2), (3), the vacuum reserve chambers (12), (13), and the second conveyance chamber (16) to maintain the degree of vacuum in the multichamber processing apparatus. .

그리고, 이와 같이 배기한 상태에서 크리닝 가스 공급계(35)의 밸브(39), (41), (45)를 소정의 열림도로 개방함과 동시에, 매스플로우 콘트롤러(40)에 의하여 ClF3가스를 소정의 유량, 예를들면 5리터/분 이하의 유량으로 제어하여, 배관(33)을 통하여 공급한다.In the exhausted state, the valves 39, 41, and 45 of the cleaning gas supply system 35 are opened at predetermined openings, and the mass flow controller 40 releases ClF 3 gas. A predetermined flow rate, for example, 5 liters / minute or less, is controlled and supplied through the pipe 33.

이 배관(33)에 접속된 처리실(2)의 가스 분산 공급부(29), 기타 처리실(1), (3)의 가스 공급구, 제1반송실(4)의 가스 공급구(4A), 각 진공 예비실(12), (13)의 가스 배기구(25B)로부터 크리닝에 의하여 소비된 크리닝 가스를 진공배기 펌프(49), (59) 등에서 항상 배기하여 갱신하고 있기 때문에, 각 챔버 내의 크리닝 가스 압력이 0.1~100Torr로 유지됨과 동시에, 갱신된 신선한 크리닝 가스에 의하여 효율 좋게 각 챔버를 개별로 크리닝할 수가 있다.The gas dispersion supply part 29 of the process chamber 2 connected to this piping 33, the gas supply port of the other process chambers 1 and 3, the gas supply port 4A of the 1st conveyance chamber 4, and each Since the cleaning gas consumed by the cleaning from the gas exhaust ports 25B of the vacuum reserve chambers 12 and 13 is always exhausted and updated by the vacuum exhaust pumps 49 and 59, the cleaning gas pressure in each chamber is updated. While keeping this at 0.1-100 Torr, each chamber can be cleaned individually by the fresh fresh cleaning gas efficiently.

또, 제1반송실 및 제2반송실에 크리닝가스 공급구 및 배기구를 설치하였기 때문에, 종래 충분하게 크리닝 할 수 없었던 반송실을 거의 완전하게 크리닝할 수 있다.In addition, since the cleaning gas supply port and the exhaust port are provided in the first transport chamber and the second transport chamber, the transport chamber that has not been sufficiently cleaned in the past can be almost completely cleaned.

또, 상기예에서는 크리닝 가스를 1군데의 크리닝 가스 공급계(35)로 부터 각 챔버에 개별로 공급하도록 한 것에 대하여 설명하였으나, 크리닝 가스 공급계는 여러 개 이어도 좋고, 각 챔버마다 부착하여도 좋다. 또, 상기 예에서는 처리실 이외의 챔버에는 크리닝 가스의 가스 공급구 및 가스배기구를 각각의 저면에 설치한 것에 대하여 설명하였으나, 이들을 설치하는 장소 및 수는 필요에 따라서 적절하게 설정할 수가 있다.In addition, in the above example, the cleaning gas is individually supplied from one cleaning gas supply system 35 to each chamber. However, the cleaning gas supply system may be several or may be attached to each chamber. . In the above example, the chambers other than the processing chamber have been described in which the gas supply port and the gas exhaust port of the cleaning gas are provided on the bottom of each chamber, but the locations and the number of the installation thereof can be set as appropriate.

이어서, 본 발명의 또 다른 형태에 대하여 설명한다.Next, another form of this invention is demonstrated.

이 형태에 관한 멀티챔버 처리장치에서도, 도1에 나타낸 멀티챔버 처리장치와 거의 같은 구성을 가지고 있고, 제1반송실(4)의 주위에 3개의 처리실(1), (2), (3)이 설치되어 있다.The multichamber processing apparatus according to this aspect also has a configuration substantially the same as that of the multichamber processing apparatus shown in FIG. 1, and includes three processing chambers 1, 2, and 3 around the first transport chamber 4. Is installed.

이 형태의 멀티챔버 처리장치에 사용되는 처리실의 예를 도 9를 참조하여 설명한다. 여기에서는 금속막으로서 예를들면 텅스텐막을 성막하는 열 CVD 장치를 처리실(1)에 적용하고 있다.An example of a processing chamber used for this type of multichamber processing apparatus will be described with reference to FIG. 9. Here, a thermal CVD apparatus for forming a tungsten film, for example, as a metal film is applied to the processing chamber 1.

이 처리실(1)은 대략 원통형상을 이루고, 예를들면 알루미늄으로 성형되어 있고, 그 안이 소정의 진공도로 유지가능하다. 처리실(1)의 일측벽에는 게이트 밸브(5)를 통하여 제1반송실(4)이 접속되어 있다.This processing chamber 1 has a substantially cylindrical shape, for example, is formed of aluminum, and the inside thereof can be held at a predetermined vacuum degree. The first conveyance chamber 4 is connected to one side wall of the process chamber 1 via the gate valve 5.

이 처리실(1) 내에는 웨이퍼(8)를 그 위에 놓기 위한 예를들면 알루미늄 등으로 구성되는 서셉터(80)가 처리실(1)의 저벽으로부터 올라온 지지통(81)에 의하여 지지되어 있다. 서셉터(80)의 상면에는, 도시하지 않은 직류전원에 접속된 정전척(82)이 설치되어 있고, 그 위에 웨이퍼(8)가 정전흡착된다.In this processing chamber 1, a susceptor 80 made of, for example, aluminum for placing the wafer 8 thereon is supported by a support cylinder 81 raised from the bottom wall of the processing chamber 1. On the upper surface of the susceptor 80, an electrostatic chuck 82 connected to a DC power source (not shown) is provided, on which the wafer 8 is electrostatically absorbed.

처리실(1)의 저면의 서셉터(80)의 아래쪽에 대응하는 부분은 뚫려 있고, 이 구멍부에는 석영제의 창(83)이 기밀하게 부착되며, 그 아래에 가열용의 할로겐 램프(84)가 설치되어 있다.A portion corresponding to the lower side of the susceptor 80 at the bottom of the processing chamber 1 is drilled, and a quartz window 83 is hermetically attached to the hole, and a halogen lamp 84 for heating thereunder. Is installed.

그리고, 성막가공시에는 이 할로겐 램프(84)로부터의 빛은 창(83)을 통하여 서셉터(80)의 이면을 조사하며, 이 광에너지로 웨이퍼(8)가 소정의 처리온도까지 간접가열된다.In the film forming process, the light from the halogen lamp 84 irradiates the back surface of the susceptor 80 through the window 83, and the light 8 indirectly heats the wafer 8 to a predetermined processing temperature. .

처리실(1)의 저부에는, 배기구(85)가 형성되어 있으며, 이 배기구(85)에는 배기관(86)이 접속되며, 또 이 배기관(86)이 진공펌프(87)에 접속되어 있고, 이들에 의하여 배기계(88)가 구성되어 있다.An exhaust port 85 is formed at the bottom of the processing chamber 1, and an exhaust pipe 86 is connected to the exhaust port 85, and the exhaust pipe 86 is connected to a vacuum pump 87. The exhaust system 88 is configured.

그리고, 배기계(88)에 의하여, 필요에 따라서 처리실(1) 내가 진공흡인된다.Then, the exhaust system 88 sucks the vacuum inside the processing chamber 1 as necessary.

한편, 처리실(1)의 천정부에는, 가스공급헤더(90)를 장착하기 위한 예를들면 원형의 장착구멍(91)이 설치되어 있다.On the other hand, in the ceiling of the processing chamber 1, for example, a circular mounting hole 91 for mounting the gas supply header 90 is provided.

이 장착구멍(91)은 원통형상을 이루며, 예를들면 알루미늄으로 성형된 가스공급헤더(90)가 삽입되어 있다. 이 헤더(90)의 주위 테두리부에는 플랜지부(92)가 형성되며, 이 플랜지부(92)는 O링(93)을 통하여 처리실(1)의 천정부에 지지되어 있고, 이 상태에서 헤더(90)가 처리실(1)에 기밀하게 부착되어 있다.The mounting hole 91 has a cylindrical shape, for example, into which a gas supply header 90 made of aluminum is inserted. A flange portion 92 is formed in the peripheral edge portion of the header 90. The flange portion 92 is supported by the ceiling of the processing chamber 1 via an O-ring 93, and in this state, the header 90 is formed. ) Is hermetically attached to the processing chamber 1.

이 가스공급헤더(90)의 상부에는 처리가스를 공급하기 위한 처리가스공급계 (100)와 ClF, ClF, ClF5등의 ClF계의 가스를 크리닝가스로서 공급하기 위한 크리닝 가스로서 공급하기 위한 크리닝 가스 공급계(110)가 각각 별개 독립하여 접속되어 있다.The upper part of the gas supply header 90 is for supplying a processing gas supply system 100 for supplying a processing gas and a cleaning gas for supplying ClF-based gas such as ClF, Cl F , ClF 5, etc. as a cleaning gas. The cleaning gas supply system 110 is independently connected each other.

이 공급헤더(90)내에는, 도시한 예에서는 수평으로 배치시켜서 그 아래 쪽으로부터 간막이판(94), 확산판(95) 및 정류판(96)이 차례차례 설치되어 3 개의 실(97A), (97B), (97C)로 나누어져 있다.In the supply header 90, the partition plate 94, the diffusion plate 95, and the rectification plate 96 are sequentially arranged from the lower side in the example shown in the horizontal direction, and three chambers 97A, It is divided into (97B) and (97C).

간막이판(94)의 중앙부에는 1 개의 서로 통하는 구멍(94A)이 형성되며, 확산판(95)에는 여러 개의 확산구멍(95A)이 그 전면에 걸쳐서 분산하여 형성되며, 또 정류판(96)에는 다수 개의 정류구멍(96A)이 그 전면에 걸쳐서 분산하여 형성되어 있다.A central hole 94A is formed in the center of the partition plate 94, and a plurality of diffusion holes 95A are formed in the diffuser plate 95 to be distributed over the entire surface thereof. A plurality of rectifying holes 96A are formed to be distributed over the entire surface thereof.

이 경우, 확산구멍(95A)의 직경은, 0.2~1.5mm정도의 범위로 설정되어 작은 밀도로 분산되어 있는 것에 대하여 정류구멍(96A)의 직경은 확산구멍(95A)보다도 큰 0.5~2.0mm정도의 범위로 설정되어 큰 밀도로 분산되어 있다.In this case, the diameter of the diffusion hole 95A is set in a range of about 0.2 to 1.5 mm and is dispersed at a small density, whereas the diameter of the rectifying hole 96A is about 0.5 to 2.0 mm larger than the diffusion hole 95A. It is set in the range of and is dispersed in a large density.

또, 서로 통하는 구멍(94A)의 직경은, 0.5~3.0mm정도의 범위로 설정되어 있다. 그리고, 구멍직경과 구멍의 분포를 변화시키는 것에 의하여 상하의 각 실에 압력차이가 형성되고 국부적으로 도입한 여러 처리가스가 균등하게 혼합되면, 또 웨이퍼 표면상에 균등하게 공급된다.Moreover, the diameter of the hole 94A which communicates with each other is set in the range of about 0.5-3.0 mm. Then, by varying the hole diameter and the hole distribution, pressure differences are formed in the upper and lower chambers, and variously introduced processing gases are evenly mixed, and are evenly supplied on the wafer surface.

이 때문에 웨이퍼(8)의 직경이 약 200mm인 경우에는 정류판(96)의 직경은 이것보다도 작거나 큰 값, 예를들면 220~230mm 정도로 설정된다.For this reason, when the diameter of the wafer 8 is about 200 mm, the diameter of the rectifying plate 96 is set smaller or larger than this, for example, about 220-230 mm.

또 이들 확산판(95) 또는 정류판(96)은, 더욱 수를 증가하여 다단으로 설치하도록 하여도 좋다.In addition, the diffusion plate 95 or the rectifying plate 96 may be provided in multiple stages by increasing the number.

상기 공급헤더(40)의 내외면, 간막이판(94), 확산판(95), 정류판(96) 및 처리실(1)의 내면은, 크리닝시에 ClF계 가스가 흡착하는 것을 방지하기 위한 이면 연마처리가 실시되어 있다.The inner and outer surfaces of the supply header 40, the partition plate 94, the diffusion plate 95, the rectifying plate 96 and the inner surfaces of the processing chamber 1 are the back surfaces for preventing the ClF-based gas from adsorbing during cleaning. Polishing treatment is performed.

상기 처리가스 공급계(100)는, 본 실시예에서는 텅스텐 막을 형성하는 것에 의하여 2종류의 처리가스를 도입하기 위하여 공급헤더(90)에 접속된 제1 및 제2 처리가스 도입포트(101), (102)를 가지고 있으며, 이들의 각 포트에는 각각 제1 및 제2포트 개폐밸브(101A), (102A)가 설치되어 있다.In the present embodiment, the process gas supply system 100 includes the first and second process gas introduction ports 101 connected to the supply header 90 for introducing two kinds of process gases by forming a tungsten film. Each of these ports is provided with first and second port opening / closing valves 101A and 102A, respectively.

제1 및 제2처리가스 도입포트(101), (102)에 각각 유량조절밸브로서의 제1 및 제2매스플로우 콘트롤러(105A), (105B) 및 제1 및 제2개폐밸브(106A), (106B)를 통하여 제1 및 제2처리가스원(107A), (107B)에 각각 접속되어 있다.First and second mass flow controllers 105A, 105B and first and second open / close valves 106A and (A) as flow control valves in the first and second process gas introduction ports 101 and 102, respectively. The first and second process gas sources 107A and 107B are respectively connected via 106B.

이 예에 있어서는, 제1 처리가스로서 WF6가, 제2처리가스로서 H2, SiH4및 Si2H6중 어느 하나가 사용된다.In this example, WF 6 is used as the first processing gas, and any one of H 2 , SiH 4, and Si 2 H 6 is used as the second processing gas.

도 9에는 SiH4가 나타나 있다.9 shows SiH 4 .

또, 상기 제1 및 제2처리가스 도입관(103), (104)에는 각각 도중에서 분기관(108A), (108B)이 설치되어 있으며, 각 분기관(108A), (108B)에는 각각 제3 및 제4매스플로우 콘트롤러(105C), (105D) 및 제3 및 제4 개폐밸브(106C), (106D)가 설치되어, 각각 불활성 가스원으로서 제1질소원(109)에 공통으로 접속되며, 후술하는 바와 같이, 이 질소원(109)으로부터 크리닝시에 불활성 가스로서의 질소가스가 흐른다.Further, branch pipes 108A and 108B are provided in the first and second process gas introduction pipes 103 and 104, respectively, and branch pipes 108A and 108B are respectively provided. Third and fourth mass flow controllers 105C, 105D and third and fourth on-off valves 106C, 106D are provided, and are commonly connected to the first nitrogen source 109 as inert gas sources, respectively. As will be described later, nitrogen gas as an inert gas flows from the nitrogen source 109 during cleaning.

한편, 상기 크리닝 가스 도입포트(111)를 가지고 있고, 이 포트(111)에는 크리닝 가스포트 개폐밸브(111A)가 설치되어 있다.On the other hand, it has the said cleaning gas introduction port 111, This port 111 is provided with the cleaning gas port opening / closing valve 111A.

이 크리닝 가스 도입포트(111)에 접속되는 크리닝 가스 도입관(112)은 도중에 유량제어밸브로서의 매스플로우 콘트롤러(113) 및 개폐밸브(114)를 통하여 크리닝 가스원(115)에 접속되어 있으며, 크리닝 가스로서 ClF계의 가스, 예를들면 ClF3가스를 버블링에 의하여 배기시켜서 공급할 수 있도록 되어 있다.The cleaning gas introduction pipe 112 connected to the cleaning gas introduction port 111 is connected to the cleaning gas source 115 via a mass flow controller 113 and an opening / closing valve 114 serving as a flow control valve on the way. As a gas, ClF-based gas, for example, ClF 3 gas, can be exhausted and supplied by bubbling.

상기 크리닝 가스도입관(112)에는 도중에 분기관(116)이 설치되어 있으며, 이 분기관(116)에는 매스플로우 콘트롤러(117) 및 제6개폐밸브(118F)를 통하여 제2질소원(119)이 접속되며, 제2질소원(119)의 질소가스에 의하여 필요에 따라서 크리닝 가스를 희석하여 농도를 제어할 수 있도록 되어 있다.The cleaning gas introduction pipe 112 is provided with a branch pipe 116 on the way, and the branch pipe 116 is provided with a second nitrogen source 119 through the mass flow controller 117 and the sixth open / close valve 118F. It is connected, and the cleaning gas can be diluted as needed by the nitrogen gas of the 2nd nitrogen source 119, and the density | concentration can be controlled.

그리고, 상기 각 매스플로우 콘트롤러, 개폐밸브 등은, 예를들면 마이크로 프로세서 등으로 구성되는 제어부(120)에 의하여 미리 기억된 프로그램에 의거하여 제어된다.Each of the mass flow controllers, opening / closing valves, and the like are controlled based on a program stored in advance by the control unit 120 configured of, for example, a microprocessor.

크리닝 가스로서 사용되는 ClF계 가스, 예를들면 ClF3는 비점이 +17℃정도이며, 사용온도에 따라서는 액화하여 사용한다.ClF-based gas used as a cleaning gas, such as ClF 3, has a boiling point of about + 17 ° C. and is liquefied depending on the operating temperature.

따라서 공급시에는 액체 ClF3를 가열하면서 버블링에 의하여 기화시켜서 공급하는 것이지만, 공급계에서 이 가스가 액화하면 공급계를 회복시키기 위하여 많은 시간을 낭비하여 버리며, 장치의 가동율이 저하한다. 그래서 여기에서는 이 크리닝 가스의 액화를 방지하기 위하여 크리닝 가스 도입관(112)에는, 예를들면 히팅테이프를 그 통로전체에 걸쳐서 감음으로써, 형성된 액화방지용 가열수단(121)이 설치되어 있고, 가스의 흐름방향을 따라서 서서히 온도를 높게 하도록 하여 온도구배가 붙여진다.Therefore, at the time of supply, the liquid ClF 3 is vaporized and supplied by bubbling while heating, but when this gas liquefies in the supply system, much time is wasted in order to recover the supply system, and the operation rate of the apparatus is lowered. Thus, in order to prevent the liquefaction of the cleaning gas, the cleaning gas introduction pipe 112 is provided with heating means 121 for preventing liquefaction, for example, formed by winding a heating tape over the entire passage. A temperature gradient is added by gradually increasing the temperature along the flow direction.

한편, 처리실(1)의 내벽면이나 처리가스 공급헤더(90)의 외벽면에는 ClF3가스의 부착을 방질하기 위하여 표면 연마처리되어 있으나, 이것에 의해서도 가스의 부착을 완전하게 방지할 수 있는 것은 아니다.On the other hand, the inner wall surface of the processing chamber 1 and the outer wall surface of the processing gas supply header 90 are surface polished to prevent adhesion of ClF 3 gas, but this also prevents the gas from being completely attached. no.

그래서, ClF3가스의 부착을 거의 완전하게 방지하기 위하여, 처리가스 공급헤더(90)에는 헤더 가열수단(122)이 설치되어 있다. 이 헤더 가열수단(122)은, 도 10에 나타낸 바와 같이, 헤더 측벽 전체에 걸쳐서 형성된 매체통로(123)와 세라믹 히터(124)에 의하여 형성되어 있고, 매체통로(123)에는 최고온도로 100℃의 온수를 흘리고, 그 이상의 온도로 가열하고 싶은 경우에는, 세라믹 히터(124)에 통전함으로써, 예를들면 100℃~200℃정도의 범위까지 가열하도록 되어 있다.Thus, in order to almost completely prevent the adhesion of ClF 3 gas, the header gas heating means 122 is provided in the process gas supply header 90. As shown in FIG. 10, the header heating means 122 is formed by a media passage 123 and a ceramic heater 124 formed over the entire header side wall, and the media passage 123 has a maximum temperature of 100 ° C. In order to flow hot water, and to heat at a temperature higher than that, by energizing the ceramic heater 124, for example, it is heated to the range of about 100 to 200 degreeC.

또, 이 매체통로(123)에는 도입측에서 온수측과 냉수측으로 2까지로 분기되고, 제어부(120)로부터의 지령에 의하여 변환밸브(125), (126)를 조작함으로써, 온수와 냉수를 필요에 따라서 선택적으로 흘릴 수 있도록 구성되어 있으며, 성막시에는 냉수를 흘리는 것에 의하여 헤더(90)를 냉각하여 헤더(90)에 막이 형성되는 것을 방지한다.In addition, the medium passage 123 is branched from the introduction side to the hot water side and the cold water side to 2, and hot water and cold water are required by operating the switching valves 125 and 126 by instructions from the control unit 120. It is configured to selectively flow in accordance with, and to form a film on the header 90 by cooling the header 90 by flowing cold water during film formation.

또, 처리실(1)의 벽부에도, 상기한 헤더 가열수단(122)과 같은 구성의 벽부 가열수단(127)이 설치되며, 이 가열수단(127)도 세라믹 히터(128)및 매체통로(129)에 의하여 구성되어 있고, 이것에 의하여 벽부를 가열하는 것에 의하여 내벽면에의 성막 및 크리닝시의 ClF3가스의 부착이 저지된다.Moreover, the wall part heating means 127 of the same structure as the header heating means 122 is provided also in the wall part of the process chamber 1, and this heating means 127 also has the ceramic heater 128 and the medium passage 129. is constituted by a, and this is attached to the ClF 3 gas in film formation and the cleaning of the inner wall face by being for heating the wall portion is prevented by the.

배기관(86)에는 예를들면 히팅테이프를 그 통로 전체에 걸쳐서 감는 것에 의하여 형성된 배기 가열수단(89)이 설치되어 있으며, 이것에 의하여 크리닝시에 배기관(86)을 가열하는 것이 가능하게 되어 있다.The exhaust pipe 86 is provided with exhaust heating means 89 formed by, for example, winding the heating tape over the entire passage, thereby enabling the exhaust pipe 86 to be heated during cleaning.

또, 다른 처리실(2), (3)도 처리실(1)과 같이, 처리가스공급계(100)와 크리닝 가스 공급계(110)가 개별로 설치되며, 처리가스 공급 헤더(90)에는 헤더 가열수단(122)이, 처리실의 벽부에는 같은 벽부 가열수단(127)이 설치되어 있다.In addition, the process gas supply system 100 and the cleaning gas supply system 110 are separately provided in the other process chambers 2 and 3 similarly to the process chamber 1, and the process gas supply header 90 heats a header. The means 122 is provided with the same wall part heating means 127 in the wall part of a process chamber.

또, 이 형태에서도 상기 2개의 형태의 경우와 같이 하여, 처리실(1), (2), (3)뿐만 아니라, 제1반송실(4), 제2반송실(16), 제1 및 제2 진공 예비실(12), (13), 및 필요에 따라서 제1 및 제2카세트실(20), (21)에도 크리닝 가스를 공급하여 멀티챔버 처리장치의 크리닝을 하기 때문에, 이들의 제1 및 제2반송실(4), (16) 및 제1 및 제2카세트실(20), (21)에도 처리실과 똑같이, 크리닝 가스 공급계 및 진공 배기계가 각각 접속되어 있다.Also in this embodiment, the processing chambers 1, 2, and 3, as well as the processing chambers 1, 2, and 3, as well as the first transport chamber 4, the second transport chamber 16, the first and the first, 2 Since the cleaning gas is supplied to the vacuum reserve chambers 12 and 13 and the first and second cassette chambers 20 and 21 as necessary, the multichamber processing apparatus is cleaned, so that these first The cleaning gas supply system and the vacuum exhaust system are connected to the second conveyance chambers 4, 16, and the first and second cassette chambers 20, 21, similarly to the processing chamber.

또, 이 형태의 멀티챔버 처리장치는, 다른 각실의 벽부에도, 벽부 가열수단(127)이 설치되어 있고, 또, 제1 및 제2반송실(4), (16)의 반송장치(9), (23)의 아암부(9A), (23A)에는, 도 11에 나타낸 바와 같이, 히터(130)가 메워 넣어져 있어, 크리닝시에 이들이 가열되고, 이들에 ClF계 가스가 부착하는 것이 방지된다.Moreover, in this multichamber processing apparatus, the wall part heating means 127 is provided also in the wall part of each chamber, and the conveyance apparatus 9 of the 1st and 2nd conveyance chambers 4 and 16 was carried out. In the arm portions 9A and 23A of (23), as shown in FIG. 11, the heater 130 is filled, and these are heated at the time of cleaning, and the ClF system gas is prevented from adhering to them. do.

그리고, 이들 각 실에 있어서의 부재도 ClF계 가스에 내부식성이 있는 전술한 재료로 구성한다. 예를들면 반송기구(9), (23)의 아암부(9A), (23A) 등은 테프론(상품명)으로 구성된다.And the member in each of these chambers is also comprised from the above-mentioned material which is corrosion-resistant to ClF system gas. For example, the arm parts 9A, 23A, etc. of the conveyance mechanisms 9 and 23 are comprised from Teflon (brand name).

본 발명에서는, 크리닝 가스로서 ClF계 가스를 사용하였기 때문에, 이 가스에 쪼이는 부분, 예를들면 처리실(1)의 내벽이나 서셉터(80)나 정전척(82) 등은, ClF계 가스 내부식성 재료로 구성될 필요가 있고, 또 그 재료의 내부식성 온도로 사용하여야 한다.In the present invention, since a ClF-based gas is used as the cleaning gas, a portion of the gas, for example, the inner wall of the processing chamber 1, the susceptor 80, the electrostatic chuck 82, and the like, are contained in the ClF-based gas. It must be composed of corrosive material and used at the corrosion resistance temperature of the material.

이와 같은 재료로서, 폴리이미드, 실리콘 고무 등은 사용할 수 없고, SiC, 알루미늄 등의 세라믹계 재료, 테프론, 석영유리(200℃이하), 카본(300℃이하)등이 사용가능하다.As such a material, polyimide, silicone rubber, or the like cannot be used, and ceramic-based materials such as SiC and aluminum, Teflon, quartz glass (200 ° C. or less), carbon (300 ° C. or less), and the like can be used.

상기 재료, 예를들면 석영 유리로 정전척을 형성하는 경우에는, 도전막을 석영유리에 의하여 샌드위치 형상으로 끼워 들어가도록 형성한다.In the case of forming an electrostatic chuck with the above-described material, for example, quartz glass, the conductive film is formed so as to sandwich the sandwich by quartz glass.

표 1에 ClF계 가스분위기에서 사용할 수 있는 재료를 나타낸다.Table 1 shows the materials that can be used in the ClF-based gas atmosphere.

[표 1]TABLE 1

또, 상술한 제1 및 제2반송기구(9), (23)와 같이 회전구동부를 가지기 때문에, 윤활제를 사용하지 않으면 안되는 부분에는, 종래에는 예를 들면 불소계 그리이스를 사용하여 왔으나, 이것은 ClF계 가스에 부식되어 버리고 말기 때문에, 사용할 수 없다. 그래서 여기에서는, 불소계 그리이스에 대신하여 ClF계 가스에 대하여 내부식성이 높은 윤활제, 예를들면 혼브린 그리이스를 사용한다.Moreover, since it has a rotational drive part like the 1st and 2nd conveyance mechanisms 9 and 23 mentioned above, the part which should use a lubricating agent conventionally used fluorine grease, for example, but this is ClF type | system | group. Because it is corroded by gas, we cannot use. Therefore, instead of fluorine-based grease, a lubricant having high corrosion resistance against ClF-based gas, for example, hornbrine grease, is used.

그러나, 이와 같이 ClF계 가스에 대한 내부식성을 가지는 재료를 사용하여도 서셉터(80)등은 이들은 크리닝에 의하여 약간씩이지만 소모된다. 따라서 서셉터(80) 등의 크리닝 회로 횟수에 대응하여, 크리닝 가스에 의하여 소모하는 부품의 교환시기가 제어부(120)에 미리 기억되어 있고, 설정된 횟수의 크리닝이 종료한 시점에서, 표시장치(120A)에 교환지시의 정보가 출력된다. 즉, 1회의 크리닝에 의한 부품의 소모량을 미리측정하여 놓고, 이것에 의거하여 한계소모량에 도달할 때까지의 크리닝 회수를 파악하고, 이것을 미리 프로그램하여 놓는다.However, even when materials having corrosion resistance to ClF-based gas are used, the susceptor 80 and the like are consumed slightly by cleaning. Therefore, in accordance with the number of cleaning circuits such as the susceptor 80, the replacement time of the parts consumed by the cleaning gas is stored in advance in the control unit 120, and at the time when the cleaning of the set number of times is completed, the display device 120A ), Information of the replacement instruction is displayed. In other words, the consumption of parts by one cleaning is measured in advance, and based on this, the cleaning count until the limit consumption is reached, and this is programmed in advance.

또, 이 교환까지의 크리닝 횟수는 각 부품에 의하여 개별적으로 파악할 필요가 있는 것은 물론이다.Moreover, it goes without saying that the number of cleanings up to this replacement needs to be determined individually by each component.

또, 크리닝 가스로서 사용되는 ClF계 가스는 인체 등에 매우 위험하기 때문에, 취급에 주의를 요하지 않으면 안되고, 따라서, 여기에서는, 멀티챔버 처리장치의 몇 개인가의 챔버, 예를들면, 카세트실(20), (21), 제1 및 제2반송실(4), (16) 등에는 각각 가스 검출기구가 설치되어 있으며, 이것에 의하여 가스 농도가 소정의 값 이하로 된 것이 검지된 시점에서 대기개방이 이루어진다. 특히, 이와 같은 가스검출기구는, 직후에 단독으로 대기개방될 가능성이 있는 카세트실(20),(21)에는 반드시 설치하도록 한다.In addition, since the ClF-based gas used as the cleaning gas is very dangerous to the human body or the like, care must be taken. Therefore, in some chambers of the multichamber processing apparatus, for example, the cassette chamber 20. , (21), first and second conveyance chambers (4), (16) and the like are each provided with a gas detector port, whereby when the gas concentration is detected to be below a predetermined value, Is done. In particular, such a gas detection mechanism is necessarily provided in the cassette chambers 20 and 21 which may be opened to the atmosphere alone immediately after.

도 12는 카세트실(21)에 설치된 가스 검출기구(131)를 나타낸다.12 shows a gas detector opening 131 provided in the cassette chamber 21.

또, 다른 부분에 설치된 가스 검출기구도 똑같이 구성되어 있다. 이 가스 검출기구(131)는, 카세트실(21)에 통하여진 흡인관(132)과, 이 흡인관(132)의 도중에 설치된 Cl 가스 검출부(133) 및 F 가스 검출부(134)를 구비하고, 필요에 따라서 흡인펌프(135)에 의하여 카세트실(21) 내를 배기함으로써, 이들의 검출부에 의하여 Cl 가스 및 F가스의 농도가 검출된다. 또, 이들 검출부(133), (134)는, 진공배기계에 설치하도록 하여도 좋다.Moreover, the gas detector mechanism provided in the other part is comprised similarly. The gas detector opening 131 includes a suction pipe 132 passed through the cassette chamber 21, a Cl gas detector 133 and an F gas detector 134 provided in the middle of the suction pipe 132. Therefore, by exhausting the inside of the cassette chamber 21 by the suction pump 135, the concentration of Cl gas and F gas is detected by these detection parts. In addition, these detection parts 133 and 134 may be provided in a vacuum exhaust machine.

각 검출부(133), (134)의 출력은 연산부(136)에 입력되어 가스농도가 구해지고, 그출력은 전술한 제어부(120)에 입력된다. 이 제어부(120)에는 다른 가스 검출기구로부터의 검출치도 입력된다. 그리고, 제어부(120)는 모든 가스 검출기구로부터의 Cl 가스 농도 및 F가스 농도가 각각 인체에 해를 끼치지 않는 농도, 예를들면 수 ppm으로 된 것을 검출한 시점에서, 그것에 응답하여 각 게이트 밸브에 대한 열림 허가신호(S1)를 구동부(137)를 향하여 출력한다.The outputs of the detection units 133 and 134 are input to the calculation unit 136 to obtain a gas concentration, and the outputs are input to the control unit 120 described above. The control unit 120 also inputs detection values from other gas detector ports. And the control part 120 respond | corresponds to each gate valve in response to the time when it detected that Cl gas concentration and F gas concentration from all the gas detector ports became concentrations which do not harm a human body, for example several ppm, respectively. The open permission signal S1 is output toward the driver 137.

그리고, 상기 제2카세트실(21)의 게이트(27)의 개폐를 하는 액튜에이터, 예를들면 에어 실린더(138)가 상기 구동부(137)로부터의 지령에 의하여 구동되고, 이것에 의하여 게이트(27)가 열리어 카세트실(21)이 대기에 개방되는 것으로 된다. 또, 열림동작시의 오동작을 없게 하기 위하여 인터로크 기구(131)를 설치하는 장소는 상술한 카세트실(20), (21), 제1 및 제2반송실(4), (16) 각 실에 한정되지 않고, 진공 예비실(12), (13) 및 처리실(1), (2), (3)에 설치하여도 좋다.Then, the actuator for opening and closing the gate 27 of the second cassette chamber 21, for example, the air cylinder 138, is driven by the command from the driving unit 137, whereby the gate 27 The cassette cassette 21 is opened to the atmosphere. In addition, the place where the interlock mechanism 131 is provided in order to prevent the malfunction during the opening operation is provided in the cassette chambers 20, 21, the first and the second conveyance chambers 4, and 16, respectively. It is not limited to this, and you may provide in the vacuum preliminary chamber 12, 13, and process chambers 1, 2, and 3.

이 형태의 멀티챔버 처리장치에 있어서도, 처리동작은 종전의 형태와 같이 이루어진다.Also in this type of multichamber processing apparatus, the processing operation is performed as in the conventional form.

여기에서는, 예를들면 처리실(1)에서 텅스텐막의 성막을 하고, 이어서, 처리실(3)에서 티탄의 성막을 한다.Here, for example, a tungsten film is formed in the processing chamber 1, and then titanium is formed in the processing chamber 3.

처리실(1)에서의 텅스텐막의 성막에 있어서는, 우선 할로겐 램프(84)로부터의 광에너지에 의하여 서셉터(80)가 가열되며, 그 위에 재치되어 있는 웨이퍼(8)가 소정의 처리온도로 유지된다.In the formation of a tungsten film in the processing chamber 1, the susceptor 80 is first heated by the light energy from the halogen lamp 84, and the wafer 8 placed thereon is maintained at a predetermined processing temperature. .

이것과 동시에 진공펌프(36)에 의하여 처리실(1) 내가 진공흡인됨과 동시에, 제1처리가스원(107A)으로부터 제1처리가스를, 제2처리가스원(107B)으로부터 제2처리가스를, 각각 유량제어하면서, 처리실(1)내로 도입하여 내부 분위기를 소정의 처리압력으로 유지하고, 성막처리를 한다.At the same time, the inside of the processing chamber 1 is vacuumed by the vacuum pump 36, and the first processing gas from the first processing gas source 107A and the second processing gas from the second processing gas source 107B, While controlling the flow rate, the film is introduced into the processing chamber 1 to maintain the internal atmosphere at a predetermined processing pressure and to form a film.

이 예에서는, 예를들면 제1처리가스로서 WF6가, 제2처리가스로서 SiH4가 사용되며, 제1처리가스원(109)으로부터의 질소가스에 의하여 소정의 농도로 희석되거나, 또는 희석되지 않고 이들이 각각 공급헤더(90)의 최상단의 혼합실(97A)내로 도입된다. 혼합실(97A)내에 도입된 2종류의 처리가스는 여기에서 혼합되면서 간막이판(94)의 통하는 구멍(94A)을 통하여 그 하단의 확산실(97A)로 도입된다. 이 혼합가스는, 확산판(95)의 확산구멍(95A)을 통하여 그 하단의 정류실(97C)에 도입되며, 그 후, 정류판(96)의 정류구멍(96A)을 통하여 웨이퍼 표면 전체에 걸쳐서 균일하게 공급된다. 이 경우, 헤더에 도입된 처리가스를 여러 실에서 서서히 팽창시키면서 혼합시키도록 하였기 때문에, 2종류의 처리가스를 균일하게 혼합할 수 있으며, 더구나 최하단의 정류판(96)의 직경을 웨이퍼(W)의 직경보다도 약간 크게 설정하였기 때문에, 웨이퍼 표면에 걸쳐서 혼합처리가스를 균일하게 공급할 수가 있다.In this example, for example, WF 6 is used as the first processing gas and SiH 4 is used as the second processing gas, and diluted or diluted to a predetermined concentration by nitrogen gas from the first processing gas source 109. Rather they are introduced into the mixing chamber 97A at the top of the supply header 90, respectively. The two kinds of processing gases introduced into the mixing chamber 97A are introduced into the diffusion chamber 97A at the lower end thereof through the holes 94A of the partition plate 94 while being mixed therein. This mixed gas is introduced into the rectification chamber 97C at the lower end through the diffusion hole 95A of the diffusion plate 95, and then through the rectification hole 96A of the rectification plate 96 to the entire wafer surface. It is supplied uniformly over. In this case, since the processing gases introduced to the header are mixed while gradually expanding in various chambers, two types of processing gases can be mixed uniformly, and the diameter of the lowermost rectifying plate 96 is changed to the wafer W. FIG. Since the diameter is set slightly larger than the diameter, the mixed processing gas can be uniformly supplied over the wafer surface.

성막처리시에 처리가스 공급헤더(90)의 온도나 처리용기(1)의 내벽의 온도가 높게 되면, 반응생성물이 웨이퍼 표면 이외의 이 벽면 등에도 성막하여 버리고 만다. 이것을 방지하기 위하여, 프로세스 중에서 공급헤더(90)에 설치된 가열수단(122)의 매체통로(123)와 처리용기(1)의 벽부에 설치된 벽 가열수단(127)의 매체통로(128)에 각각 약 15℃정도의 냉수로 이루어진 냉매를 흘려서 공급헤더(90)나 처리용기의 벽부를 냉각하며, 이들에 막이 형성되지 않도록 한다.When the temperature of the processing gas supply header 90 and the temperature of the inner wall of the processing container 1 become high at the time of the film forming process, the reaction product is formed on this wall surface other than the wafer surface. In order to prevent this, the medium passage 123 of the heating means 122 installed in the supply header 90 and the medium passage 128 of the wall heating means 127 provided in the wall of the processing container 1 are each weakened in the process. A coolant made of cold water of about 15 ° C. is flowed to cool the supply header 90 or the wall of the processing vessel so that no film is formed thereon.

이와 같은 냉각조작은, 다른 처리실(2), (3)에서도 프로세스 중, 똑같이 이루어지고 있고, 이것에 의하여 불필요한 부분에의 막이 부착이 방지된다.Such cooling operation is similarly performed during the process in the other processing chambers 2 and 3, whereby the film is prevented from sticking to unnecessary portions.

이와 같은 처리에 의하여 상술한 바와 같이 각 처리실에 막이 형성된다거나, 그것에 기인한 퍼티클이 발생하기 때문에, 장치 내의 크리닝을 한다.As described above, a film is formed in each processing chamber as described above, or a puticle due to it is generated, so that cleaning is performed in the apparatus.

이 형태에서는, 멀티챔버 처리장치의각 실을 한번에 크리닝하여도 좋고, 특정의 처리실이나 반송실 등을 개별적으로 하여도 좋다.In this embodiment, each chamber of the multichamber processing apparatus may be cleaned at a time, or a specific processing chamber, a conveying chamber, or the like may be individually.

이하, 멀티챔버 처리장치 전체를, 한번에 크리닝하는 경우에 대하여 설명한다.Hereinafter, the case where the whole multichamber processing apparatus is cleaned at once is described.

성막처리 종료에 의하여 처리실(1), (2), (3)의 처리가스 공급계(100)의 각 개폐밸브를 닫고, 대응하는 처리장치에 공급하고 있던 처리가스의 공급이 정지되고 있다.By the completion of the film forming process, the on / off valves of the processing gas supply system 100 of the processing chambers 1, 2, and 3 are closed, and the supply of the processing gas supplied to the corresponding processing apparatus is stopped.

이 상태에서 각 공간을 기밀하게 닫고 있는 각 게이트 밸브를 열면, 각 공간에 존재하고 있던 차압에 의하여 내부에 바람직하지 않는 기류가 발생하고, 예를들면 퍼티클 등의 흩날림의 원인으로 된다.In this state, when the gate valves that close each space are hermetically closed, undesired airflow is generated internally by the differential pressure existing in each space, for example, causing scattering of puticles and the like.

이 때문에, 각 게이트밸브를 닫은 상태에서, 즉 각 실의 개별의 기빌상태를 유지한 상태에서 각각의 실에 개별적으로 불활성 가스, 예를들면 N2가스를 흘린다.For this reason, an inert gas, for example, N 2 gas, flows into each chamber individually in a state where each gate valve is closed, that is, in a state in which each chamber is kept empty.

각 처리실(1), (2), (3)의 진공 예비실에 N2가스를 흘리는 경우에는, 이것에 접속된 각 처리가스 공급계(100)의 제1질소원(109)(도 9 참조)나 각 크리닝 가스 공급계(110)중의 제2질소원(119)로부터 공급한다.When N 2 gas flows into the vacuum preliminary chambers of the respective processing chambers 1, 2, and 3, the first nitrogen source 109 of each processing gas supply system 100 connected thereto (see Fig. 9). It is supplied from the 2nd nitrogen source 119 in each cleaning gas supply system 110.

또, 제1반송실(4), 제2반송실(16), 카세트실(20), (21) 및 진공 예비실(12), (13)에 가스를 흘리는 경우에는, 각각의 실에 접속된 크리닝 가스 공급계의 질소원으로부터 공급한다.Moreover, when gas flows into the 1st conveyance chamber 4, the 2nd conveyance chamber 16, the cassette chamber 20, 21, and the vacuum reserve chambers 12, 13, it connects to each chamber. From the nitrogen source of the cleaned cleaning gas supply system.

이와 같이하여 질소가스를 도입하여 각 실내가 같은 압력, 예를들면 대기압의 N2분위기로 되었다면, 각 공간을 구획하고 있는 게이트 밸브(5), (6), (7), (19)<(11), (14), (15), (18), (19)를 열림상태로 하고, 멀티챔버 처리장치의 모든 챔버를 서로 통하게 하여, 1개의 공간으로 한다.In this way, if nitrogen gas is introduced and each room has the same pressure, for example, an atmospheric pressure N 2 atmosphere, the gate valves 5, 6, 7, and 19 that partition each space are provided. 11), (14), (15), (18) and (19) are opened, and all the chambers of the multichamber processing apparatus are connected to each other to form one space.

이 때의 상태가 도 13에 나타내고 있다. 이 상태에서는 카세트실(20), (21)의 게이트(26), (27)는 닫혀져 있고, 대기개방은 되어 있지 않다.The state at this time is shown in FIG. In this state, the gates 26 and 27 of the cassette chambers 20 and 21 are closed and are not opened to the atmosphere.

이어서, 이 멀티챔버 처리장치에 ClF계 가스, 예를들면 ClF3가스를 포함하는 크리닝 가스를 흘림으로써 크리닝을 한다. 이 경우에는 도 13에 나타낸 바와 같이, 처리실(1), (2), (3)로부터 크리닝 가스를 도입하며, 이것을 멀티챔버 처리장치 전체로 확산시킨다.Subsequently, cleaning is performed by flowing a cleaning gas containing ClF-based gas, for example, ClF 3 gas, into the multichamber processing apparatus. In this case, as shown in FIG. 13, the cleaning gas is introduce | transduced from the process chambers 1, 2, and 3, and this diffuses into the whole multichamber processing apparatus.

하류측인 카세트실(20), (21)의 각 진공배기계(140)로부터 계 외로 배기된다. 즉, 처리실(1), (2), (3)에 접속된 크리닝 가스 공급계(110)의 크리닝 가스원(115)(도 9 참조)으로부터 ClF3가스 버블링에 의하여 발생되고, 이것이 매스플로우 콘트롤러(113)에 의하여 유량제어되면서 크리닝 가스 도입관(112)을 통하여 크리닝 가스 도입포트(111)로부터 처리가스 공급헤더(90)내로 공급된다.It is exhausted out of the system from each vacuum exhaust machine 140 of the cassette chambers 20 and 21 which are downstream. That is, it is generated by ClF 3 gas bubbling from the cleaning gas source 115 (see FIG. 9) of the cleaning gas supply system 110 connected to the processing chambers 1, 2, and 3, which is mass flow. The flow rate is controlled by the controller 113 and is supplied into the process gas supply header 90 from the cleaning gas introduction port 111 through the cleaning gas introduction pipe 112.

이 크리닝 가스는 공급헤더(90)내를 흘러 내려서 처리실(1) 내를 흐르고, 헤더 벽면이나 처리실 내벽 또는 서셉터(81) 등에 부착하고 있던 성막이나 막편(膜片)과 대응하여 이것을 제거하면서 개방된 게이트 밸브(75)를 통하여 제1반송실(4)에 유입한다.This cleaning gas flows down inside the supply header 90 and flows into the process chamber 1, and opens while removing it in correspondence with the film formation or film pieces attached to the header wall surface, the process chamber inner wall, the susceptor 81, or the like. It flows into the 1st conveyance chamber 4 through the gate valve 75 which was provided.

똑같이, 다른 처리실(2), (3)내를 흘러서 내부를 크리닝하여 온 ClF3가스도 이 제1반송실(4)에 유입하고, 여기에서 합류한다.Similarly, other treatment chamber (2), ClF 3 gas to the cleaning on the inner flows within the 3 degree and the flow into the first conveying chamber 4, and joining herein.

이 제1반송실(4)에 유입하여 합류한 ClF3가스는, 다음에 개방된 게이트 밸브(10), (11)를 통하여 2개의 진공 예비실(12), (13)에 흐르고, 또 게이트 밸브(14), (15)를 통하여 제2반송실(16)에 유입한다. 그리고, 이어서 이 ClF3가스는 게이트 밸브(18), (19)를 통하여 카세트실(20), (21)에 분기하여 흐르고, 최종적으로 각 카세트실의 진공배기계(140)로부터 진공흡인되어 배출된다.ClF 3 gas which flowed in and joined this 1st conveyance chamber 4 flows into the two vacuum preliminary chambers 12 and 13 through the gate valves 10 and 11 which are then opened, and the gate It flows into the 2nd conveyance chamber 16 through the valve 14 and 15. As shown in FIG. Subsequently, the ClF 3 gas branches and flows to the cassette chambers 20 and 21 through the gate valves 18 and 19, and is finally vacuumed and discharged from the vacuum exhaust machine 140 of each cassette chamber. .

이와 같이하여 크리닝을 하는 것에 의하여, 종전의 예와 같이, 각 처리실 내벽 등에 부착하고 있던 막 등은 물론, 처리가 끝난 웨이퍼 반송도중에서, 예를들면 웨이퍼 간네 받기 시에 박리하여 떨어져서 제1반송실(4), 제2반송실(16), 진공 예비실(12), (13), 카세트실(20), (21)에 부유하고 있던 박편, 또는 저부에 침강한 박편 등을 신속하고도 효율적으로 크리닝 제거할 수 있다.In this way, the cleaning is carried out, as in the previous example, as well as the film attached to the inner wall of each processing chamber and the like, as well as during the processing of the finished wafer transfer, for example, the first peeling chamber is peeled off at the time of receiving the wafer. (4) The flakes suspended in the second conveyance chamber 16, the vacuum reserve chambers 12, 13, the cassette chambers 20, 21, or the flakes settled to the bottom can be quickly and efficiently. Can be removed by cleaning.

따라서 반도체 제품의 생산성을 크게 향상시킬 수 있게 된다.Therefore, the productivity of semiconductor products can be greatly improved.

이 경우, 각 크리닝 가스 공급계로부터의 ClF3가스의 유량은, 예를들면 5리터/분이하로 설정하며, 필요에 따라서 각각의 공급계의 질소원(119)으로부터 질소가스를 유량제어하면서 공급하고, 크리닝 가스를 희석한다.In this case, the flow rate of the ClF 3 gas from each cleaning gas supply system is set to 5 liters / minute or less, for example, while supplying nitrogen gas from the nitrogen source 119 of each supply system while controlling the flow rate, Dilute the cleaning gas.

또, 이 크리닝시의 장치 내부의 압력은, 예를들면 0.1~100Torr의 범위 내로 설명한다.In addition, the pressure inside the apparatus at the time of this cleaning is demonstrated in the range of 0.1-100 Torr, for example.

여기에서 ClF3가스가 헤더나 처리실의 내벽면, 제1반송실(4), 제2반송실(16), 진공 예비실(12), (13), 카세트실(20), (21) 내벽 등에 부착하고 있으면, 크리닝 처리 후에 계속하여 이루어지는, 성막처리시, 또는 반도체 웨이퍼의 반송시에, 벽면으로부터 분리한 ClF3가스가 성막된 막중에 침입하고, 막 결함의 원인으로 된다.Here, ClF 3 gas is formed on the inner wall surface of the header or the processing chamber, the first transport chamber 4, the second transport chamber 16, the vacuum reserve chambers 12, 13, the cassette chamber 20, and the inner wall 21 of the chamber. If it adheres to the back or the like, ClF 3 gas separated from the wall surface enters into the film formed during the film formation process or during conveyance of the semiconductor wafer, which is formed after the cleaning process, and causes film defects.

그래서, ClF3가스의 벽면에의 부착을 방지하기 위하여 상기 각부분은 가열된다. 즉, 도 9에 나타낸 바와 같이, 공급헤더(90)에 설치된 헤더 가열수단(122)의 매체통로(124) 및 벽부에 설치된 벽부 가열수단(127)의 매체통로(129)에 열매체로서, 예를들면 80℃정도의 온수를 흘리며, 공급헤더(90)나 처리실(1)의 벽부를 가열한다.Thus, each part is heated to prevent adhesion of ClF 3 gas to the wall surface. That is, as shown in FIG. 9, the medium passage 124 of the header heating means 122 provided in the supply header 90 and the medium passage 129 of the wall heating means 127 provided in the wall portion are used as heat medium. For example, hot water at about 80 ° C. is flowed to heat the supply header 90 or the wall of the processing chamber 1.

이 경우, 더 높은 온도로 가열할 때에는 세라믹 히터(124), (128)에 통전한다. 또, 서셉터(80) 및 그 근방에는 반도체 웨이퍼를 가열하기 위하여 사용하는 할로겐 램프(84)에 의하여, 소정의 온도까지 가열할 수가 있다.In this case, when heating to a higher temperature, the ceramic heaters 124 and 128 are energized. The susceptor 80 and its vicinity can be heated to a predetermined temperature by a halogen lamp 84 used to heat the semiconductor wafer.

이 때의 크리닝 온도는, 예를들면 ClF3가스의 비점 온도인 17℃~700℃의 온도범위 내로 설정된다.Cleaning temperature at this time is, for example, is set in the boiling temperature of a temperature range of 17 ℃ ~ 700 ℃ of the ClF 3 gas.

이와같은 크리닝 중에 있어서의 가열은 다른 처리실(2), (3)에서도, 반송실 등의 다른 실에서도 상기한 바와 똑같이 이루어진다.Heating in such cleaning is performed similarly to the above in other processing chambers 2 and 3 and also in other chambers, such as a conveyance chamber.

또, 제1반송실(4), 제2반송실(16)의 반송장치(9), (23)는, 아암부(9A), (23A)에 설치된 히터(130)에 의하여 전술한 소정의 온도범위 내로 가열된다.Moreover, the conveyance apparatuses 9 and 23 of the 1st conveyance chamber 4 and the 2nd conveyance chamber 16 are the predetermined | prescribed mentioned above by the heater 130 provided in arm part 9A, 23A. Heated within the temperature range.

이 경우, 가열온도는 사용되는 재료가 ClF3가스에 대하여 부식되지 않도록 되는 범위로 설정되는 것은 물론이다.In this case, the heating temperature is, of course, set in a range such that the material used is not corroded to the ClF 3 gas.

이와 같이 크리닝 조작중에 처리장치의 공급헤더나 처리실 벽면, 반송실, 진공예비실, 카세트실의 벽면 등을 가열하도록 하였기 때문에, 크리닝 가스가 이들 각 실의 벽면 등에 부착하는 일은 없게 되며, 따라서 크리닝 종료 후에 재개되는 성막처리에 있어서 성막중에 결함의 원인으로 되는 ClF3가스가 침입하여 들어 오는 일이 없고, 생산성을 크게 향상시킬 수 있게 된다.In this way, the supply header of the processing apparatus, the wall of the processing chamber, the transfer chamber, the vacuum reserve chamber, the wall of the cassette chamber, and the like are heated during the cleaning operation, so that the cleaning gas does not adhere to the walls of each of these chambers. In the film formation process to be resumed later, ClF 3 gas, which is a cause of defects, does not enter the film during film formation, and productivity can be greatly improved.

또, 이 크리닝 조작중에서는, 각 처리실에 접속된 배기계(88)에 의하여 크리닝 가스의 일부를 배기한다. 이 때에 배기관 가열수단(89)에 의하여, 배기관(86)전체도 가열한다. 이것에 의하여 성막시에 배기관(86)의 내벽에 부착한 막도 효율적으로 제거될 수 있으며, 따라서 처리실 내를 크리닝함과 동시에 배기계의 크리닝을 할 수 있다.In addition, during this cleaning operation, a part of the cleaning gas is exhausted by the exhaust system 88 connected to each processing chamber. At this time, the exhaust pipe heating means 89 also heats the entire exhaust pipe 86. Thereby, the film adhering to the inner wall of the exhaust pipe 86 at the time of film formation can also be removed efficiently, so that the inside of the processing chamber can be cleaned and the exhaust system can be cleaned.

특히, 배기관(86)은 가열수단(89)에 의하여 실온보다도 높은 온도, 예를들면 50~200℃정도로 가열되기 때문에, 크리닝 가스가 부착하여 형성된 막도 효율적으로 제거할 수 있다.In particular, since the exhaust pipe 86 is heated to a temperature higher than room temperature, for example, about 50 to 200 ° C by the heating means 89, the film formed by the cleaning gas can be efficiently removed.

처리실(1), (2), (3)에서, 크리닝 가스가 흐르게 됨과 동시에, 처리가스공급계(100)에 설치된 제1질소원(109)으로부터 불활성 가스로서 질소가스가 제1 및 제2처리가스 도입관(103), (104)의 양쪽을 통하여 가스공급헤더(90)내로 공급된다.In the processing chambers (1), (2), and (3), while the cleaning gas flows, nitrogen gas is used as the inert gas from the first nitrogen source 109 installed in the processing gas supply system 100, and the first and second processing gases are used. It is supplied into the gas supply header 90 through both introduction pipes 103 and 104.

이 경우, 질소가스 공급압력은, 크리닝 가스의 공급압력보다도 약간 높게 설정되고, 크리닝 가스가 제1 및 제2처리가스 도입포트(101), (102)에 역류하지 않도록 한다.In this case, the nitrogen gas supply pressure is set slightly higher than the supply pressure of the cleaning gas so that the cleaning gas does not flow back into the first and second processing gas introduction ports 101 and 102.

이와 같이 크리닝 처리중에 각 처리 가스 공급계(100)에 불활성 가스를 흘리는 것에 의하여 크리닝 가스가 제1 및 제2처리가스 도입포트(101), (102)로부터 처리가스 도입관(103), (104)에 역류하는 것을 방지하고, 크리닝 가스가 그 내면에 부착하는 것을 방지할 수 있다.In this way, the cleaning gas flows from the first and second process gas introduction ports 101 and 102 to the process gas introduction pipes 103 and 104 by flowing an inert gas into each process gas supply system 100 during the cleaning process. ) Can be prevented from flowing back, and the cleaning gas can be prevented from adhering to the inner surface thereof.

따라서 이와 같이 함으로써, 크리닝 종료 후에 재개되는 성막처리에서 형성된 막중에 ClF3가스가 들어 오는 일이 없고, 상술한 헤더 및 벽부를 가열하는 것에 서로 어울려서 생산성을 한층 향상시킬 수 있다.Therefore, by this way, there is no work on film formed from the film-forming process is resumed after cleaning ends coming into the ClF 3 gas, it is possible to increase productivity even with each other eoulryeoseo as to heat parts of the above header and a wall.

그런데, 상술한 바와 같은 크리닝 처리를 하면, 이 ClF3가스는, 매우 반응성이 풍부하기 때문에, 불필요한 성막을 제거할 뿐만 아니라, 약간씩이긴 하지만, 서셉터 등의 부품과 반응한다. 따라서, 이들의 부품이 ClF계 가스에 의하여 훼손되고 마모된다.However, when the above-mentioned cleaning process is performed, this ClF 3 gas is very rich in reactivity, and thus not only removes unnecessary film formation, but also reacts with components such as susceptor although slightly. Therefore, these parts are damaged and worn by the ClF-based gas.

여기에서는, 전술한 바와 같이, 장치 전체의 동작을 제어하기 위한 제어부(120)에 소정횟수의 크리닝 조작을 한 시에 소모품이나 구성부품을 새로운 것으로 교환하도록 지시를 출력하도록 미리 프로그램이 짜 넣어져 있다.Here, as described above, the program is pre-programmed so as to output an instruction to replace the consumables or components with new ones after a predetermined number of cleaning operations are performed to the control unit 120 for controlling the operation of the entire apparatus. .

따라서, 상술한 크리닝 조작이 소정회수 이루어진 것에 응답하여 제어부(120)가 대응하는 소모품 또는 구성부품의 교환지령을 출력하고, 이것이 표시장치(120A)에 표시되는 것으로 된다.Therefore, in response to the cleaning operation described above being performed a predetermined number of times, the control unit 120 outputs a replacement command for the corresponding consumable or component, which is displayed on the display device 120A.

이와 같은 교환까지의 크리닝 횟수는, 각 소모품이나 각 구성부품에 의하여 일반적으로 다르며, 각각 개별로 결정된다.The number of cleanings until such replacement is generally different for each consumable and each component, and is determined individually.

교환까지의 크리닝 횟수를 결정하기에는, 1회의 크리닝에 의하여 생기는 부품마다의 소모량이나 손상량을 미리 측정하고, 이것에 의거하여 각 부품마다의 한계 소모량이나 한계 손상량에 도달하기 까지의 크리닝 횟수를 결정한다.In order to determine the number of cleaning until replacement, the amount of consumption or damage for each part caused by one cleaning is measured in advance, and based on this, the number of cleaning until the limit consumption or limit damage for each part is reached is determined. do.

예를들면 구성부품인 서셉터(80) 및 지지부재(81)는 어느 것이나 50~200회수로 교환지령이 출력되도록 제어부(120)에 프로그램되어 있다.For example, the susceptor 80 and the support member 81, which are components, are programmed in the control unit 120 so that an exchange command is output in 50 to 200 times.

이와 같이 미리 1회의 크리닝에 의한 소모량이나 손상량을 구하여 이것에 의거하여 소모품이나 구성부품의 교환시기를 알리도록 하였기 때문에, 적절한 시기에 부재의 교환을 할 수 있다.In this way, the amount of consumption and damage caused by one cleaning is determined in advance, and the replacement time of the consumables and components is informed based on this, so that the member can be replaced at an appropriate time.

따라서, 종래와 같이 소모나 손상의 상태를 체크하기 위한 공정을 없앨 수 있고, 장치의 보수관리를 효율적으로 할 수 있으며, 장치의 가동율 및 처리율도 향상할 수 있다.Therefore, the process for checking the state of consumption or damage can be eliminated as in the prior art, the maintenance and maintenance of the apparatus can be efficiently performed, and the operation rate and throughput of the apparatus can also be improved.

또, 이와 같은 방법을, 멀티챔버 처리장치에 적용함으로써, 소모량이나 손상량을 체크하기 위하여 장치 자체의 가동을 정지시키는 일이 없게되며, 장치 전체의 가동율을 크게 향상하며, 처리율도 한층 향상된다.In addition, by applying such a method to the multichamber processing apparatus, the operation of the apparatus itself is not stopped in order to check the consumption amount or damage amount, the operation rate of the entire apparatus is greatly improved, and the throughput is further improved.

특히, ClF3가스를 사용한, 상술한 바와 같은 처리방법을 반도체 디바이스의 양산향상인 클러스터 장차에 적용함으로써, 정기 보수시간의 큰 삭감에 의한 생산성 향상으로 큰 효과를 올릴 수 있다.In particular, by applying the above-described treatment method using ClF 3 gas to the cluster future, which improves the mass production of semiconductor devices, it is possible to achieve a great effect by improving productivity by a large reduction in regular maintenance time.

그리고, 금후, 반도체 공장의 FA화 또는 무인화가 진행함에 따라서 크리닝 기술은 더욱 중요하게 되어 오고 있기 때문에, 상술한 바와 같은 크리닝 방법을 채택함으로써, 생산성이 높은 반도체 공장의 실현에 기여할 수 있다.In the future, as FA or unattended semiconductor factories have progressed, cleaning techniques have become more important. By adopting the above-described cleaning method, it is possible to contribute to the realization of a highly productive semiconductor factory.

이와 같이 크리닝 조작이 완료하여 크리닝 가스의 공급을 정지한 후, 계속하여 행해지는 성막처리를 위하여, 각 실내에 잔류하고 있는 ClF3가스를 확실하게 배출할 필요가 있다. 이 때문에, 여기에서는, 진공 배기계(88)를 계속적으로 구동하여, 불활성 가스로서 예를들면 질소(N2)가스의 공급/정지를 여러번 되풀이한다.In this way, after the cleaning operation is completed and the supply of the cleaning gas is stopped, the ClF 3 gas remaining in each room needs to be reliably discharged for the film forming process performed continuously. For this reason, here, the vacuum exhaust system 88 is continuously driven, and the supply / stop of, for example, nitrogen (N 2 ) gas as the inert gas is repeated several times.

즉, 각 실의 진공 배기계(88)를 그 능력 최고 한도로 구동하여 진공흡인하면서 도 14에 나타낸 바와 같이, 불활성 가스인 N2가스의 공급과 정지를 여러번(여기에서는 10회)되풀이한다.In other words, while the vacuum exhaust system 88 of each chamber is driven to the maximum of its capacity and vacuum suctioned, as shown in FIG. 14, the supply and stop of the N 2 gas, which is an inert gas, are repeated several times (here, 10 times).

이 때에 N2가스의 공급기간(T1)을 10~30초 정도로 설정하고, 공급정지 시간(T2)은, 예를들면 30~60초 정도로 설정한다.At this time, the supply period T1 of the N 2 gas is set to about 10 to 30 seconds, and the supply stop time T2 is set to about 30 to 60 seconds, for example.

이 때에, N2가스는, 처리실에서는 처리가스 공급계 또는 크리닝 가스 공급계의 질소원으로부터 개폐밸브를 모두 열어서 공급되며, 반송실, 진공 예비실, 카세트실에서는 크리닝 가스 공급계의 질소원으로부터 공급된다.At this time, the N 2 gas is supplied from the nitrogen source of the processing gas supply system or the cleaning gas supply system by opening and closing the valves from the nitrogen source of the cleaning gas supply system in the transfer chamber, vacuum reserve chamber, and cassette chamber.

이와 같이 진공흡인을 연속적으로하고 있는 상태에서, N2가스의 공급 및 정지를 되풀이하기 때문에, 이 때의 충격에 의하여 용기 내벽 등에 부착하고 있던 ClF3가스가 이탈되며, 잔류하는 ClF3가스를 용기 내로부터 대략 완전히 배제할 수 있다.Since the supply and stop of the N 2 gas are repeated while the vacuum suction is performed in this manner, the ClF 3 gas attached to the inner wall of the container is released by the impact at this time, and the remaining ClF 3 gas is discharged. Can be approximately completely excluded from within.

이것에 의하여 계속하여 행해지는 성막처리중에 ClF3가스가 들어가는 것을 방지할 수 있으며, 제품의 생산성 향상을 더욱 향상할 수 있다.As a result, it is possible to prevent the ClF 3 gas from entering during the film forming process performed subsequently, and further improve the productivity of the product.

이 경우에, N2가스의 공급과 정지를 되풀이하는 횟수는 10회에 한정하지 않고 필요에 따라서 적절하게 설정하면 된다.In this case, the number of times the supply and stop of the N 2 gas is repeated is not limited to 10 times, but may be appropriately set as necessary.

또, N2가스의 공급은, N2가스의 공급시의 충격에 의하여 ClF3가스의 이탈을 효과적으로 하기 위하여 각 실내의 압력이 예를들면×10-3Torr 정도로 된 때에 개시하는 것이 바람직하다.In addition, the supply of N 2 gas, by impact at the time of supply of N 2 gas to effective separation of the ClF 3 gas is preferably initiated when the pressure in the inside of each room, for example about × 10 -3 Torr.

멀티챔버 처리장치를 대기개방하는 경우에는, 크리닝 가스의 공급이 정지된 후, 상기 N2가스의 공급 및 배기의 되풀이 후에 각 실에 배치; 한 가스검출기구(131)에 의하여 가스 농도가 설정된 기준치 이하인 것이 파악되며, 안전이 확인된 후에 대기개방이 이루어진다.When the multichamber processing apparatus is opened to the atmosphere, the supply of cleaning gas is stopped, and each chamber is disposed in each chamber after the supply and exhaust of the N 2 gas are repeated; It is found by the gas detection mechanism 131 that the gas concentration is equal to or less than the set reference value, and the air is opened after safety is confirmed.

즉, 도 12에 나타낸 바와 같이, 흡인펌프(135)를 구동함으로써, 예를들면 카세트실(21) 내의 분위기를 흡인관(132)을 통하여 배출하며, 이 도중에 설치한 Cl 가스검출기(133) 및 F가스 검출기(134)에 의하여 각각의 가스 농도를 검출하며, 그 결과를 연산부(136)에 전달하여 각각의 농도를 구한다.That is, as shown in FIG. 12, by driving the suction pump 135, for example, the atmosphere in the cassette chamber 21 is discharged through the suction pipe 132, and Cl gas detectors 133 and F provided in the middle of the suction chamber 132 are installed. Each gas concentration is detected by the gas detector 134, and the result is transmitted to the calculation unit 136 to obtain each concentration.

구해진 각 가스 농도는 제어부(120)에 입력되고, 여기에서는 다른 가스 검지수단으로부터의 가스 농도도 입력되어 있다.The obtained gas concentrations are input to the control unit 120, where gas concentrations from other gas detection means are also input.

제어부(120)는, 각 가스검출기구로부터 Cl, F 가스농도가 모두 소정의 안전기준치 이하로 되었다고 판단하였다면, 구동부(137)를 향하여 열림허가신호(S1)를 출력한다.The control part 120 outputs the opening permission signal S1 toward the drive part 137, when it determines with the gas detection mechanism that Cl and F gas concentrations became below the predetermined safety reference value.

구동부(137)는 열림신호(S2)를 받아서 에어 실린더(138)를 구동하며, 게이트(27)를 열어서 대기에 개방한다.The driving unit 137 receives the open signal S2 to drive the air cylinder 138, and opens the gate 27 to open to the atmosphere.

이 경우, 오동작을 방지하기 위하여 인터로크 기구(131)를 설치하였기 때문에, 열림신호(S2)를 받고 있어도 상기 열림 허가신호(S1)가 입력되어 있지 않은 경우에는, 에어 실린더(138)는 작동하지 않고, 게이트(27)는 열리지 않는다.In this case, since the interlock mechanism 131 is provided in order to prevent malfunction, the air cylinder 138 does not operate when the open permission signal S1 is not input even when the open signal S2 is received. And the gate 27 does not open.

이와 같이 인터로크에 의하여 에어 실린더가 열리지 않은 때에는, 주의를 환기시키기 위하여 도시하지 않은 부저 등을 울리도록 하여도 좋다.In this way, when the air cylinder is not opened by the interlock, a buzzer or the like not shown may be sounded for alerting.

이와 같이, 멀티챔버 처리장치를 크리닝 후에 대기개방하는 경우에는, 내부의 분위기 가스 중의 크리닝 가스 성분이 안전치 이하로 된 것에 응답하여 대기개방시키도록 하였기 때문에, 위험성이 높은 ClF3가스를 안전하게 취급할 수 있다.As described above, when the multichamber processing apparatus is opened to the atmosphere after cleaning, the ClF 3 gas with high risk can be safely handled because the cleaning gas component in the internal atmosphere gas is released to the atmosphere in response to the safety level being lower than the safe value. Can be.

특히, ClF3가스의 농도를 검지하는 가스 검출기구(131)를, 직접 단독으로 대기 개방될 가능성이 있는 실, 즉 본 실시예에서는 카세트실(20), (21)에 적어도 설치하여 놓는 것에 의하여 매우 높은 안전성을 얻을 수 있다.In particular, the gas detector port 131 for detecting the concentration of ClF 3 gas is provided at least in the chambers that may be directly open to the atmosphere alone, that is, in the cassette chambers 20 and 21 in the present embodiment. Very high safety can be obtained.

또, 이와 같은 크리닝 조작은 웨이퍼를 소정 장수 처리할 때마다 자동적으로 실행하도록 미리 제어부(120)에 프로그래밍하여 놓는 것이 바람직하다.In addition, it is preferable that such cleaning operation be programmed in advance in the control unit 120 so as to be automatically executed every time a predetermined number of wafers are processed.

예를들면 처리실(1), (2), (3)에서, 소정 장수, 예를들면 1로트(25)장)처리시마다, 상기한 바와같은 방법으로 자동적으로 장치 집합체 전체를 크리닝한다. 이 경우의 처리장수는 25장에 한정되지 않고, 성막량의 형편에 따라서 결정한다.For example, in the processing chambers 1, 2, and 3, every time the predetermined number of sheets, for example, one lot 25 sheets, is processed, the entire apparatus assembly is automatically cleaned in the manner described above. The number of treatments in this case is not limited to 25 sheets, but is determined according to the circumstances of the film formation amount.

이 경우에는, 1회의 성막처리 등에 의하여 어느 정도의 성막이 불필요한 부분에 형성되었는가를 미리 데이터로서 모으고, 이것에 의거하여 크리닝을 할 처리장수를 결정한다.In this case, how much film-forming is formed in the unnecessary part by one film-forming process etc. is gathered as data beforehand, and based on this, the number of processes to clean is determined.

또, 상기 예에서는, 멀티챔버 처리장치 전체를, 한번에 크리닝하도록 하였으나, 이것에 한정되지 않고, 예를들면 도 13에서 게이트 밸브(5)만을 닫아서 제1처리실(1)만을 밀폐실 사이로 하고, 이 처리실(1)내에서 통상의 성막처리, 예를들면 텅스텐막의 성막처리를 하며, 이것과 동시에, 다른 처리실(2), (3) 및 반송실, 진공 예비실, 카세트실 등을 크리닝하도록 하여도 좋다.In the above example, the entire multichamber processing apparatus is cleaned at once, but the present invention is not limited to this. For example, only the gate valve 5 is closed in FIG. 13 so that only the first processing chamber 1 is placed between the sealed chambers. In the processing chamber 1, a normal film forming process, for example, a tungsten film forming process is performed, and at the same time, the other process chambers 2, 3 and the transfer chamber, the vacuum preliminary chamber, the cassette chamber, etc. may be cleaned. good.

이와 같이 하는 것에 의하여, 불필요한 막이 그 내벽에 다중으로 부착한 처리실만을 개별로 크리닝처리할 수가 있고, 전체의 가동율을 향상시켜서 처리율을 크게 향상시킬 수 있다.By doing in this way, only the process chamber in which the unnecessary film adhered to the inner wall multiplely can be cleaned separately, and the whole operation rate can be improved and a throughput can be improved significantly.

이와 같은 선택적인 크리닝은, 각 처리실의 어느 것에도 실시할 수가 있다.Such selective cleaning can be performed in any of each process chamber.

또, 상기 예에서는, 각 공간을 통하게 하여 동일 농도 또는 동일 희석율의 ClF3가스로 크리닝하도록 하였으나, 이것에 한정되지 않고, 각 실의 불필요한 성막의 부착량에 따라서 또, 각 실의 막편 등에 의한 오염의 정도에 따라서 ClF3가스의 농도를 각각 최적치로 설정하여 크리닝하도록 하여도 좋다.In the above example, cleaning was performed with ClF 3 gas having the same concentration or dilution rate through each space. However, the present invention is not limited to this. Depending on the degree, the concentration of ClF 3 gas may be set to an optimum value for cleaning.

통상, ClF3가스의 농도와 성막의 에칭율은, ClF3가스의 농도에 비례하는 것이기 때문에, 불필요한 막의 부착량이 많은 실, 특히 처리실에 대해서는 ClF3가스 농도를 높이고, 반대로 반송실이나 카세트실에서는 ClF3가스 농도를 낮게 설정한다.Typically, the etch rate of the concentration and the deposition of the ClF 3 gas, since it is proportional to the concentration of ClF 3 gas, about the number of unwanted film deposition quantity chamber, in particular the treatment chamber to increase the ClF 3 gas concentration, whereas in the transport chamber and the cassette chamber Set the ClF 3 gas concentration low.

이 때의 상태는 도 15에 나타낸다.The state at this time is shown in FIG.

즉, 크리닝 처리시에는, 게이트(26),(27), 게이트 밸브(5), (6), (7), (10), (11), (14), (15),(18), (19)를 모두 열어서 열림상태로 하고, 각 실을 각각 개별로 밀폐상태로 한다. 또, 이 경우, 만약 ClF3가스의 농도를 같은 값으로 설정하는 실이 여러 개 존재한다면, 그들의 실 끼리를 서로 통하게 하여도 좋다.That is, in the cleaning process, the gates 26, 27, the gate valves 5, 6, 7, 10, 11, 14, 15, 18, Open all the doors (19) and leave each room individually closed. In this case, if there are several threads for setting the concentration of ClF 3 gas to the same value, the threads may be made to pass through each other.

이어서, 각 실에 개별로 설치된 진공 배기계(88), (140), (141), (142)를 구동하여 진공흡인을 하면서 각 실에 각각 개별로 설치된 크리닝 가스 공급계(110)로부터 각각 ClF3을 포함하는 크리닝 가스를 크리닝 가스원(115)으로부터 흘린다(도 9 참조). 이 경우, 이 크리닝 가스원(115)에 병설된 제2질소원(119)으로부터 희석용의 불활성 가스, 즉 N2가스를 흘리고, 제어부(120)에 의하여 결정되는 최적한 ClF3가스농도로 설정한다.Then, the individual vacuum pumping system 88 is installed in each room, 140, 141, and the 142 driving, while the vacuum suction from each of the cleaning gas supply system 110 are installed respectively in separate each chamber ClF 3 The cleaning gas including the flows from the cleaning gas source 115 (see FIG. 9). In this case, an inert gas for dilution, that is, N 2 gas, flows from the second nitrogen source 119 provided in the cleaning gas source 115, and is set to an optimal ClF 3 gas concentration determined by the control unit 120. .

이것에 의하여 각 실을 최적한 농도로 설정된 ClF3가스에 의하여 크리닝 처리할 수 있고, 따라서 최적한 크리닝이나 크리닝 부족을 일으키는 일이 없이 각 실에 대하여 동시에 최적한 크리닝을 실시할 수 있으며, 크리닝 시간을 단축화시켜서 크리닝 효율을 일층 향상시킬 수 있다.As a result, each chamber can be cleaned by ClF 3 gas set to an optimal concentration, and thus, optimal cleaning can be simultaneously performed on each chamber without causing an optimal cleaning or lack of cleaning. The cleaning efficiency can be further improved by shortening the temperature.

또, 이 ClF3가스의 농도를 결정하는 경우에는, 크리닝 대상으로 되는 실내에 사용되고 있는 부재의 내부식성도 고려하여 결정되기 때문에, 부재의 보호도 동시에 할 수가 있다.In the case of determining the concentration of the ClF 3 gas, the corrosion resistance of the member used in the room to be cleaned is also determined in consideration, so that the member can be protected at the same time.

이어서, 본 발명에서 크리닝 가스로서 사용되는 F 및 Cl을 포함하는 가스 중, ClF3가스의 유효성의 평가결과에 대하여 설명한다.Then, the gas containing F and Cl is used as a cleaning gas in the present invention will be described with respect to the results of the evaluation of the effectiveness of the ClF 3 gas.

우선, ClF3의 특징은 Cl-F의 결합 에너지가 253.6KJ/mol로 매우 작은 것이다. 이것은 플라즈마의 도움을 빌리지 않고, 열에너지만으로 활성한 반응종을 생성할 수 있음을 나타낸다. 이 ClF3의 물리적 특성을 표 2에 나타낸다.First, the characteristic of ClF 3 is that the binding energy of Cl-F is very small, 253.6 KJ / mol. This indicates that active species can be generated with only thermal energy without the aid of plasma. Table 2 shows the physical properties of this ClF 3 .

[표 2]TABLE 2

분자량 92.45Molecular Weight 92.45

CAS No. 7790-91-2CAS No. 7790-91-2

융점 -76.3℃Melting point -76.3 ℃

비점 11.75℃Boiling Point 11.75 ℃

임계온도 174℃Critical temperature 174 ℃

임계압력 57atmCritical pressure 57atm

융해열 1819.3cal/molHeat of fusion 1819.3cal / mol

증발열 6580cal/molEvaporation Heat 6580cal / mol

△H°298-37.97cal/molΔH ° 298 -37.97cal / mol

△G°298-28.41cal/molΔG ° 298 -28.41cal / mol

△S°29867.3cal/mol·deg△ S ° 298 67.3cal / moldeg

CP°29815.28cal/mol·degCP ° 298 15.28cal / moldeg

성막장치의 성막온도에 의해서도 다르지만, 예를들면 핫 웰형의 종형배치식 CVD 장치를 사용하는 경우에는, 일반적으로 500℃이상의 온도영역, 예를들면 620℃를 사용하기 때문에, ClF3을 에칭가스로서 사용한 경우, 특별한 열에너지를 부여할 필요가 없고, 이것은 큰 메리트로 된다.Although it depends on the film forming temperature of the film forming apparatus, for example, in the case of using a hot well-type vertically placed CVD apparatus, since a temperature range of 500 ° C. or higher, for example, 620 ° C. is generally used, ClF 3 is used as the etching gas. When used, no special thermal energy needs to be given, which is a big merit.

또, ClF3은 액화가스이고, 그 취급방법에 주의를 요하지만, 실리콘 질화막 프로세스로 일상적으로 디클롤시란이라고 하는 액화가스를 사용하고 있는 점을 고려하면, 이것은 그다지 큰 문제로 되지는 않는다.In addition, although ClF 3 is a liquefied gas and care must be taken for its handling method, considering that the liquefied gas commonly referred to as diclosisilane is routinely used in the silicon nitride film process, this is not a problem.

도 16은 ClF3의 증기압 곡선을 나타내며, 이것에 의하면 온도 13℃정도이고 증가압은 1Kg/㎠로 되어 있고, 상온에서 액체상태로 되어 있다.Fig. 16 shows the vapor pressure curve of ClF 3 , which shows a temperature of about 13 ° C., an increase pressure of 1 Kg / cm 2, and a liquid state at room temperature.

여기에서, ClF3가스의 각 반응막종에 대한 에칭율을 검토하였다. 그 결과를 표 3 및 도 17 및 도 18에 나타낸다.Here, the etching rate for each reactive film species of ClF 3 gas was examined. The results are shown in Table 3 and FIGS. 17 and 18.

[표 3]TABLE 3

표 3은 크리닝 메인티넌스시에서, 각 항목에 기재하는 조작을 하도록 요하는 시간을 나타낸다. 도 17은 도 3에 나타내는 각 크리닝 조작을 한 때의 종합시간을 나타낸다. 도 17에서는 ClF3가스에 의한 크리닝은, 종래의 웨트 크리닝과 비교하여 확실하게 크리닝 조작시간을 단축화할 수 있는 것이 확인된다.Table 3 shows the time required to perform the operation described in each item at the time of cleaning maintenance. FIG. 17 shows the total time when each cleaning operation shown in FIG. 3 was performed. In FIG. 17, it is confirmed that cleaning with ClF 3 gas can reliably shorten the cleaning operation time as compared with conventional wet cleaning.

도 18은 종형 열처리로를 사용한 CVD법(LP-CVD법)에 의하여 성막한 폴리실리콘(poly-Si), 실리콘 질화막(SiN4) 및 산화로에 의하여 형성한 열산화막 (SiO2)(Th-Ox)에 대한 ClF3에 의한 에칭율을 나타낸다.FIG. 18 shows a thermal oxide film (SiO 2 ) formed by polysilicon (poly-Si), a silicon nitride film (SiN 4 ), and an oxidation furnace formed by CVD (LP-CVD) using a vertical heat treatment furnace. The etching rate by ClF 3 with respect to Ox) is shown.

온도에 따라 다르지만, LP-CVD에 사용되는 일반적인 온도, 즉 500℃이상에서는 곡선 A로 나타내는 폴리실리콘 및 곡선 B로 나타내는 폴리실리콘 질화막에 대하여는 열산화막과 비교하여 1행으로부터 2행이상의 큰 충분한 에칭율이 얻어진다.Depending on the temperature, the general temperature used for LP-CVD, that is, the polysilicon nitride film represented by the curve A and the curve B above 500 ° C., a large enough etching rate from one row to two or more rows compared to the thermal oxide film Is obtained.

이것에 대하여 곡선 C로 나타내는 열산화막(SiO2)에 대해서는 충분한 에칭율이 얻어지지 않는다.This is sufficient etching rate for the thermal oxide film (SiO 2) indicated by the curve C is not obtained with respect to the.

이 점에서 폴리실리콘 및 실리콘 질화막과 열산화막과의 사이에서 충분하게 큰 에칭선택비가 얻어지는 것이 확인된다.From this point, it is confirmed that a sufficiently large etching selectivity can be obtained between the polysilicon, silicon nitride film and the thermal oxide film.

따라서, ClF3을 사용한 경우에, 핫 울형 LP-CVD의 반응관으로서 일반적으로 사용되는 석영(SiO2)에 대한 손상을 최소한으로 억제하는 것이 이 도 18도에 나타내는 그래브포로부터 확인되었다.Therefore, when using ClF 3 , it was confirmed from the grabpo shown in FIG. 18 to minimize the damage to quartz (SiO 2 ) which is generally used as a reaction tube for hot wool LP-CVD.

여기에서 ClF3가스가 석영반응관에 부여하는 손송에 대한 평가에 대하여 설명한다.Here, the evaluation about the hand conveyance provided by the ClF 3 gas to the quartz reaction tube will be described.

크리닝 가스를 흘리는 가스 크리닝 법과 종래의 웨트 크리닝법을 비교한 경우의 석영에 대한 손산을 평가하였다.The loss of acid with respect to quartz when the gas cleaning method which flows a cleaning gas and the conventional wet cleaning method was compared was evaluated.

그 평가 순서와 그 결과를 이하에 나타낸다.The evaluation procedure and the result are shown below.

평가순서로서는 우선, 2개의 석영반응관 내에 각각 석영 시험편을 투입하고, 각각에 같은 프로세스 조건으로 CVD에 의하여 성막을 한다. 그리고, 한 쪽의 석영반응관 내에는 그 프로세스 온도를 유지하면서 ClF3크리닝 가스를 흘려서 석영 시험편을 크리닝 처리하고, 그 후, 그 시험편의 표면 거칠기를 측정하였다.As an evaluation procedure, first, each quartz test piece is put into two quartz reaction tubes, and each film is formed by CVD under the same process conditions. The quartz test piece was cleaned by flowing a ClF 3 cleaning gas while maintaining the process temperature in one quartz reaction tube, and then the surface roughness of the test piece was measured.

또, 다른 석영 반응관에 대해서는, 성막 후, 시간을 들여서 실온까지 강온하고, 그 안의 석영 시험편에 종래의 웨트 크리닝을 실시하고, 그후, 시험편의 표면 거칠기를 측정하였다.Moreover, about another quartz reaction tube, after film-forming, it took time to cool down to room temperature, the conventional wet cleaning was performed to the quartz test piece in it, and the surface roughness of the test piece was measured after that.

그 결과를 도 19a~도 19d에 나타낸다. 도 19a 및 도 19b는 각각 웨트 크리닝용 시험편의 시험전 및 시험 후의 표면 거칠기를 나타낸 것으로, 도 19c 및 도 19d는 ClF3가스 크리닝용의 시험편의 시험전 및 시험 후의 표면 거칠기를 나타낸 것이다.The results are shown in Figs. 19A to 19D. 19A and 19B show the surface roughness before and after the test of the wet cleaning test piece, respectively, and FIGS. 19C and 19D show the surface roughness before and after the test of the test piece for ClF 3 gas cleaning.

웨트 크리닝법에서는 도 19b에 나타낸 바와 같이, 석영표면에 포인트(P1)로 나타낸 바와 같이, 마이크로 크랙 발생이 보여졌으나, ClF3가스 크리닝 법에서는 도 19d에 나타낸 바와 같이, 도 19c에 나타내는 성막전의 표면 거칠기와 거의 같은 표면 거칠기를 나타내는 것이 확인되었다.In the wet cleaning method, as shown in FIG. 19B, microcracks were observed as indicated by the point P1 on the quartz surface, but in the ClF 3 gas cleaning method, as shown in FIG. 19D, the surface before deposition was shown in FIG. 19C. It was confirmed that the surface roughness was approximately equal to the roughness.

웨트 크리닝에서 크랙이 발생한 것은, CVD막의 선팽창 계수와 석영 그것이 달라 있기 때문에, 실온에의 강온중에 석영표면에 마이크로 크랙이 발생한 것이라고 생각된다.Cracks in wet cleaning are thought to be microcracks generated on the quartz surface during the low temperature to room temperature because the coefficient of linear expansion of the CVD film is different from that of quartz.

이와 같은 눈에 보이지 않는 마이크로 크랙이 적층되면 진공흡인시에 석영 반응관의 파괴로 이어진다.If such invisible microcracks are deposited, the vacuum leads to the destruction of the quartz reaction tube.

따라서, 반응온도에 가까운 온도영역에서 즉, 큰 온도변화를 일으키는 일이 없이 ClF3가스 크리닝하는 것이, 석영반응관에 대한 손상을 최소한으로 억제하는 방법으로서 매우 유효한 것임을 도출된다.Therefore, it is derived that cleaning ClF 3 gas in a temperature range close to the reaction temperature, that is, without causing a large temperature change, is very effective as a method of minimizing damage to the quartz reaction tube.

이어서, ClF3가스크리닝에 대하여 행해진 퍼티클 평가에 대하여 설명한다.The following describes the putty made larger evaluation of the ClF 3 gas cleaning.

ClF3가스 크리닝의 에칭 조건 최적화를 한 후에, 퍼티클 평가를 하였기 때문에, 그 결과를 나타낸다. 이 평가는 동일한 석영반응관으로 성막과 ClF3가스 크리인의 되풀이를 한 것이다.After the etching condition optimization of the ClF 3 gas cleaning, since a large evaluation putty, it shows the result. This evaluation is a repeat of film formation and ClF 3 gas cree in the same quartz reaction tube.

도 10에 평가수단 및 도 11에 그 결과를 나타낸다.The evaluation means in FIG. 10 and the results are shown in FIG.

이 평가수순은, 도 10에 나타낸 바와 같이, 우선, 석영반응관을 HF에 의하여 웨트 세정하고(ST1), 그 후, 베어 웨이퍼에 대하여 N2공(空)시퀀스로 행하여, 퍼티클을 2회 행한다(ST2).In this evaluation procedure, as shown in Fig. 10, first, the quartz reaction tube was wet-washed by HF (ST1), and then subjected to N 2 empty sequences with respect to the bare wafer, and the putty was twice. (ST2).

이어서, 이 웨이퍼에 폴리실리콘막을 두께 1㎛프리코팅하며(ST3), 이 표면의 퍼티클 체크를 2회 한다(ST4).Subsequently, the wafer is precoated with a polysilicon film having a thickness of 1 µm (ST3), and the surface of the surface is double checked (ST4).

또, 상기 웨이퍼 상에 폴리실리콘을 두께 9㎛ 성막하고(ST5), 이 표면의 퍼티클 체크를 2회 한다(ST6).Further, polysilicon is deposited to a thickness of 9 占 퐉 on the wafer (ST5), and the surface of the surface is double checked (ST6).

이어서, ClF3가스의 크리닝 처리를 하며(ST7), 퍼티클 체크를 4회 한다(ST8). 그리고 이 ST8에서 ST3으로 되돌아가고, 같은 조작을 여러번, 예를들면 4번되풀이한다.Subsequently, the cleaning process of the ClF 3 gas is performed (ST7), and the putty check is performed four times (ST8). Then, returning from ST8 to ST3, the same operation is repeated several times, for example, four times.

이 결과는, 도 21a, 도 21b에 나타나 있으며, 웨트 세정 후, ClF3가스 크리닝 후, 각각에서 눈에 뛴 퍼티클의 증가는 보이지 않고, 양호한 값을 나타내고 있다.The results, Fig. 21a, Fig. 21b, and shown in, after the wet cleaning, ClF 3 gas after cleaning, runs out from each increase in the large putty is not seen, there is shown a favorable value.

따라서, 양산공장에서의 운용을 고려한 경우, 웨트 크리닝을 하지 않고, ClF3가스 크리닝만으로서의 연속운용이 가능한 것을 알았다.Therefore, when considering the operation of the production plant without a wet cleaning, it was found that the continuous operation as ClF 3 gas cleaned only possible.

이어서 콘터미네이션의 평가에 대하여 설명한다.Next, evaluation of the termination will be described.

종래, CVD 반응챔버 내에 에칭가스를 도입하는 것은 행해지지 않으나, 이것은 반응관 내의 스테인레스제의 매니홀드가 ClF3에 의하여 부식하는 것이 염려되기 때문이다. 또 반응관 내에 잔류한 염소(Cl) 및 불소(F)에 의한 웨이퍼의 오염도 염려되기 때문이다.Conventionally, introduction of etching gas into the CVD reaction chamber is not performed, because this is because the stainless steel manifold in the reaction tube is corroded by ClF 3 . This is because the contamination of the wafer by chlorine (Cl) and fluorine (F) remaining in the reaction tube is also concerned.

그래서, 크리닝 가스로서 ClF3을 사용한 경우의 콘터미네이션의 평가를 하였다.Thus, evaluation of the termination in the case of using ClF 3 as the cleaning gas was performed.

이 때의 평가순서는, 우선 반응관 내에 수용한 베어 웨이퍼상에 두께 1㎛의 폴리 실리콘을 성막하여 샘플 A를 만들고, 이어서, 이 반응관을 ClF3가스에 의하여 크리닝처리한다.The evaluation procedure at this time first forms a sample A by forming polysilicon having a thickness of 1 탆 on the bare wafer accommodated in the reaction tube, and then cleans the reaction tube with ClF 3 gas.

이어서 이 크리닝처리후에, 새로운 베어 웨이퍼상에 두께 1㎛의 폴리실리콘을 성막하여 샘플B를 만든다.Subsequently, after this cleaning process, polysilicon having a thickness of 1 탆 was formed on a new bare wafer to make Sample B.

또, 이 성막시에 성막관 내벽에도 두께 1㎛의 막을 사용하여 새로운 베어 웨이퍼 상에 두께 1㎛의 폴리 실리콘을 성막하여 샘플 C를 만든다.In addition, at the time of film-forming, sample C is formed by forming a film of 1 micrometer thick on the new bare wafer using a film of 1 micrometer thick also on the inner wall of the film forming tube.

이들 샘플 A, B, C를 SIMS(2차 이온 분질량 분석계)에 걸어서 운소분석을 합 바, 도 22a~도 22c 및 도 23a~도 23c에 나타나는 결과를 얻었다.These samples A, B, and C were subjected to a cloud analysis by SIMS (Secondary Ion Spectrometry), and the results shown in FIGS. 22A to 22C and 23A to 23C were obtained.

도 22a~도 22c는 Fe의 콘터미평가를 나타내는 그래프이고, 도22a는 샘플 A의 단면 프로파일을, 도 22b는 샘플 B의 단면 프로파일을, 도 22c는 샘플 C의 단면 프로파일을 각각 나타낸다.22A to 22C are graphs showing the conte evaluation of Fe, FIG. 22A shows the cross-sectional profile of sample A, FIG. 22B shows the cross-sectional profile of sample B, and FIG. 22C shows the cross-sectional profile of sample C, respectively.

또, 도 23a 내지 도 23c는 Cl 및 F의 콘터미 평가를 나타내는 그래프이며, 도 23a는 샘플 A의 단면 프로파일을, 도 23b는 샘플 B의 단면 프로파일을, 도 23c는 샘플 C의 단면 프로파일을 각각 나타낸다.23A to 23C are graphs showing the evaluation of the interface of Cl and F, FIG. 23A is a cross-sectional profile of Sample A, FIG. 23B is a cross-sectional profile of Sample B, and FIG. 23C is a cross-sectional profile of Sample C, respectively. Indicates.

도 22에 나타내는 결과로부터 샘플 A, B, C의 모두에서 Fe성분은 기준치보다도 밑돌고 있고, 스테인레스강의 주성분인 철(Fe)에 대해서는 전혀 문제가 없음이 판명되었다.The results shown in FIG. 22 showed that the Fe component was lower than the reference value in all of the samples A, B, and C, and there was no problem with respect to iron (Fe), which is the main component of stainless steel.

즉, ClF3은 액체가스이기 때문에 매니홀드 표면에 흡착한 경우, 노구개방시에 가지고 들어가는 수분에 의하여 매니홀드 표면이 부식될 가능성이 고려되지만, 전혀 문제없는 것으로 판명되었다.That is, since ClF 3 is a liquid gas, when the manifold surface is adsorbed on the manifold surface, the possibility of corrosion of the manifold surface by the water taken in at the time of opening of the furnace is considered.

이어서, 잔류염소(Cl) 및 불소(F)에 의한 웨이퍼 오염의 문제는 있으나, 도 13a~도 13c에 나타낸 바와 같이, 성막된 막중에는 염소(Cl) 및 불소(F)는 포함되어 있지 않고, 적어도 SIMS(질량분석계)의 검출 하한치 이하의 성분량이다.Subsequently, there is a problem of wafer contamination by residual chlorine (Cl) and fluorine (F), but as shown in Figs. 13A to 13C, chlorine (Cl) and fluorine (F) are not included in the formed film. It is an amount of components below the detection lower limit of SIMS (mass spectrometer) at least.

이 평가에서는 실리콘 기판과 폴리 실리콘의 계면에 염소(Cl) 및 불소(F)의 피크가 보여지며, 크리닝 직후의 경우, 특히 큰 피크치를 나타낸다(도 13b).In this evaluation, peaks of chlorine (Cl) and fluorine (F) are seen at the interface between the silicon substrate and polysilicon, and especially after the cleaning, a large peak value is shown (Fig. 13B).

그러나, 똑같은 평가방법으로 웨트 크리닝과의 비교를 한 경우에도, 크리닝 직후에 실리콘 기판과 폴리 실리콘의 계면의 불순물(Cl 및 F)의 면밀도가 최대로 되는 것은 양자 동일하다.However, even when comparison with wet cleaning is performed by the same evaluation method, the surface density of impurities (Cl and F) at the interface between the silicon substrate and the polysilicon is maximized immediately after the cleaning.

이와 같이 계면에 피크치가 나타나는 이유는, 금회 사용한 평가 웨이퍼에 대해서는 전처리로서 희불산 세정 및 염산 과수세정을 하였으나, 이들의 전처리를 하면 웨이퍼의 가장 표면에는 반드시 염소(Cl) 및 불소(F)가 흡착하기 때문이라고 생각된다.The reason for the peak value appearing at the interface was that the fluorinated hydrochloric acid and hydrochloric acid over-washed as the pretreatment of the evaluation wafer used this time, but chlorine (Cl) and fluorine (F) adsorbed on the most surface of the wafer. I think it is because

그리고, 반도체의 제조공정중에는 수많은 웨이퍼 세정공정에 들어가는 것이지만, 이 경우, 웨이퍼 최표면에는 반드시 염소나 불소가 흡착하고 있으며, 지금까지의 실적으로 보면, 상기 도 13a~도 13c에 나타낸 바와 같은 레벨에서는 문제는 없다고 판단하고 있다.In the semiconductor manufacturing process, a large number of wafer cleaning processes are entered, but in this case, chlorine and fluorine are always adsorbed on the wafer outermost surface. I think there is no problem.

이상은, 석영제의 프로세스 튜브를 사용한 종형 열처리로에서의 결과이지만, 이 경향은 이것에 한정되지 않고, 전술한 ClF3에 대하여 내부식성을 가지는 재료를 사용한 처리장치라면 같은 경향이 나타나며, ClF3크리닝의 유효성을 발휘할 수 있다.Above, but result in a longitudinal type of thermal processing used to process tube made of quartz, a tendency is not limited to this, and appears a tendency as if processing apparatus with a material having corrosion resistance against the above-mentioned ClF 3, ClF 3 The effectiveness of cleaning can be exhibited.

이상과 같은 결과에서 ClF3가스 크리닝을 멀티챔버 장치에 적용함으로써, 웨이퍼의 품질열화를 발생하는 일이 없이 효율적 생산이 가능한 것임이 파악된다.From the above results, it is understood that by applying ClF 3 gas cleaning to the multichamber apparatus, efficient production can be performed without causing deterioration of wafer quality.

이상 설명한 바와 같이, 본 발명에 의하면, 멀티챔버 처리장치를 해체하는 일이 없이, 플라즈마레스의 가스로 크리닝을 할 수 있기 때문에, 처리율 및 생산성이 현저하게 향상하며, 256M DRAM등의 고미세화, 고집적화에 대응할 수 있다.As described above, according to the present invention, since the multi-chamber processing apparatus can be cleaned with a plasmaless gas, the throughput and productivity are remarkably improved, resulting in high fineness and high integration of 256M DRAM and the like. It can correspond to.

또, 상기 실시예에서는, 금속 텅스텐막의 크리닝에 대하여 설명하였으나, 크리닝할 막은 이것에 한정되지 않고, MoSi2, WSi2, TiN, TiW, Mo, SiO2, Poly-Si 등에도 적용할 수 있으며, 예를들면 텅스텐막의 경우에는, WF6+SiH4의 조합이외에, WF6+H2, WF6+Si2H6의 조합 등이 사용되고, WSix의 성막의 경우에는, WF6+SiH4의 조합, WF6+Si2H6의 조합, WF6+SiH2Cl2의 조합등이 사용될 수 있다.Incidentally, in the above embodiment, the cleaning of the metal tungsten film has been described, but the film to be cleaned is not limited to this, and it can be applied to MoSi 2 , WSi 2 , TiN, TiW, Mo, SiO 2 , Poly-Si, and the like. For example, in the case of tungsten film, in addition to the combination of WF 6 + SiH 4 , a combination of WF 6 + H 2 , WF 6 + Si 2 H 6 , and the like is used. In the case of WSix film formation, a combination of WF 6 + SiH 4 is used. , A combination of WF 6 + Si 2 H 6 , a combination of WF 6 + SiH 2 Cl 2 , and the like may be used.

또, 사용하고 불활성 가스로서는 N2가스에 한정되지 않고, 다른 불활성 가스, 예를들면 He, Ar, Xe 등도 사용할 수 있다.In addition, as an inert gas, it is not limited to N 2 gas, and other inert gases, such as He, Ar, Xe, etc., can also be used.

또 본 발명은, 상술한 CVD 장치 뿐만 아니라, 스퍼터 장치, 확산장치 등에도 적용가능하다. 또, 피처리체로서 반도체 웨이퍼에 한정되지 않고, LCD기판, 등 다른 것으로도 사용되며, 상기 예에서는 진공처리장치를 예로 들어 설명하였으나, 본 발명은 이것에 한정되지 않고, 상압의 처리장치에도 적용할 수 있다.The present invention is applicable not only to the above-described CVD apparatus but also to a sputter apparatus and a diffusion apparatus. In addition, the object to be processed is not limited to a semiconductor wafer, but also used as an LCD substrate or the like. In the above example, the vacuum processing apparatus has been described as an example. Can be.

Claims (7)

처리가스를 사용하여 피처리체를 처리하기 위한 복수개의 진공처리실과; 상기 복수개의 진공처리실에 접속되고, 이들 진공처리실에 대한 상기 피처리체의 반출반입을 하기 위한 진공반송실과; 장치의 내부를 대기로 개방하기위한 개폐수단과, 이들 처리실 및 반송실내로 ClF3를 포함하는 크리닝 가스를 공급하는 크리닝 가스 공급수단과; 크리닝 가스를 배기하는 배기수단과; 크리닝 가스를 사용한 크리닝의 완료후에 가스내의 C1 및 F의 농도를 검출하기 위한 농도검출수단 및; 상기 농도검출수단의 검출값이 설정값보다 작을 때, 상기 개폐수단으로 개방지령을 출력하는 제어수단을 포함하여 구성되는 처리장치.A plurality of vacuum processing chambers for processing the object to be processed using the processing gas; A vacuum conveying chamber connected to the plurality of vacuum processing chambers for carrying in and out of the object to be processed into these vacuum processing chambers; Opening and closing means for opening the interior of the apparatus to the atmosphere, and cleaning gas supply means for supplying a cleaning gas containing ClF 3 to these processing chambers and the transfer chamber; Exhaust means for exhausting the cleaning gas; Concentration detecting means for detecting concentrations of C1 and F in the gas after completion of the cleaning using the cleaning gas; And control means for outputting an opening command to the opening and closing means when the detected value of the concentration detecting means is smaller than a set value. 제1항에 있어서, 상기 진공반송실에 접속된 진공 예비실과, 상기 피처리체를 수납하는 피처리체 수납실과, 상기 진공 예비실과 상기 피처리체 수납실 사이에 설치되고, 이들 사이에서 피처리체를 반송하는 제2반송실을 더욱 포함하는 처리장치.The vacuum preliminary chamber connected to the said vacuum conveyance chamber, the to-be-processed object storage chamber which accommodates the said to-be-processed object, and the said vacuum prechamber and the to-be-processed object storage chamber, and conveying a to-be-processed object therebetween. A processing apparatus further comprising a second conveyance chamber. 처리가스를 사용하여 피처리체를 처리하기 위한 복수개의 진공처리실과, 상기 복수개의 진공처리실에 접속되고, 이들 진공처리실에 대한 상기 피처리체의 반출반입을 하기 위한 진공반송실을 포함하여 구성되는 처리장치를 크리닝하는 방법으로서; 상기 복수개의 진공처리실 및 상기 진공반송실을 크리닝하기 위하여 상기 복수개의 진공처리실 및 상기 진공반송실로 C1F3를 포함하는 크리닝가스를 공급하는 공정과; 크리닝의 완료후 상기 진공처리실 및 상기 진공반송실을 배기하는 공정및; 상기 복수개의 진공처리실 및 상기 진공반송실내로 불황성기체를 간헐적으로 공급하고, 진공이 수행되는 동안은 그 공급을 중지하는 공정을 포함하여 구성되는 방법.A processing apparatus including a plurality of vacuum processing chambers for processing the object to be processed using a processing gas, and a vacuum conveying chamber connected to the plurality of vacuum processing chambers for carrying in and out of the object to be processed into these vacuum processing chambers. As a method of cleaning; Supplying a cleaning gas comprising C1F 3 to the plurality of vacuum processing chambers and the vacuum conveying chamber to clean the plurality of vacuum processing chambers and the vacuum conveying chambers; Exhausting the vacuum chamber and the vacuum transfer chamber after completion of cleaning; And intermittently supplying the inert gas into the plurality of vacuum processing chambers and the vacuum conveying chamber, and stopping the supply while the vacuum is performed. 처리가스를 사용하여 피처리체를 처리하기 위한 진공처리실과; 상기 진공처리실내로 크리닝 가스를 공급하는 크리닝 가스 공급수단과; 상기 크리닝 가스에 의한 상기 처리실의 구성부품의 소모량이 미리 기억되고, 그 값과 크리닝 회수에 의거하여 상기 구성부품의 교환을 지령하는 교환지령수단을 구비하는 것을 특징으로 하는 진공처리장치.A vacuum processing chamber for processing the target object using the processing gas; Cleaning gas supply means for supplying a cleaning gas into the vacuum processing chamber; And a replacement instruction means for instructing replacement of the component based on the value and the number of cleanings, in which the consumption amount of the component of the processing chamber by the cleaning gas is stored in advance. 처리가스를 사용하여 피처리체를 처리하기 위한 복수개의 진공처리실과, 상기 복수개의 진공처리실에 접속되고, 이들 진공처리실에 대한 상기 피처리체의 반출반입을 하기 위한 진공반송실과, 이들 복수개의 진공처리실 및 진공반송실내로 크리닝 가스를 공급하는 크리닝 가스 공급수단 및; 상기 크리닝 가스에 의한 상기 처리실의 구성부품의 소모량이 미리 기억되고, 그 값과 크리닝 회수에 의거하여 상기 구성부품의 교환을 지령하는 교환지령수단을 구비하는 것을 특징으로 하는 진공처리장치.A plurality of vacuum processing chambers for treating the object to be processed using a processing gas, a vacuum conveying chamber connected to the plurality of vacuum processing chambers, for carrying in and out of the object to be processed into these vacuum processing chambers, these plurality of vacuum processing chambers and Cleaning gas supply means for supplying a cleaning gas into the vacuum transport chamber; And a replacement instruction means for instructing replacement of the component based on the value and the number of cleanings, in which the consumption amount of the component of the processing chamber by the cleaning gas is stored in advance. 처리가스를 사용하여 피처리체를 처리하기 위한 진공처리장치를 사용한 진공처리방법으로서, 상기 진공처리장치를 사용하여 피처리체를 처리하는 공정과; 크리닝가스로 상기 진공처리장치를 크리닝하는 공정과; 상기 크리닝 가스에 의한 상기 처리장치의 구성부품의 소모량에 의거한 구성부품의 교환을 위하여 크리닝 회수를 인식하는 공정을 포함하여 구성되는 방법.A vacuum processing method using a vacuum processing apparatus for processing a target object using a processing gas, comprising the steps of: processing the target object using the vacuum processing apparatus; Cleaning the vacuum processing apparatus with a cleaning gas; And recognizing the number of cleanings for replacement of the components based on the consumption amount of the components of the processing apparatus by the cleaning gas. 제6항에 있어서, 상기 크리닝 가스는 C1F3를 포함하는 방법.The method of claim 6, wherein the cleaning gas comprises C 1 F 3 .
KR1019940012731A 1994-06-07 1994-06-07 Treatment device, treatment method and cleaning method of treatment device KR100263404B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019940012731A KR100263404B1 (en) 1994-06-07 1994-06-07 Treatment device, treatment method and cleaning method of treatment device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019940012731A KR100263404B1 (en) 1994-06-07 1994-06-07 Treatment device, treatment method and cleaning method of treatment device

Publications (2)

Publication Number Publication Date
KR960002603A KR960002603A (en) 1996-01-26
KR100263404B1 true KR100263404B1 (en) 2000-11-01

Family

ID=19384781

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940012731A KR100263404B1 (en) 1994-06-07 1994-06-07 Treatment device, treatment method and cleaning method of treatment device

Country Status (1)

Country Link
KR (1) KR100263404B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6537876B2 (en) 2000-03-07 2003-03-25 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor capacitor having a hemispherical grain layer using a dry cleaning process

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3676983B2 (en) * 2000-03-29 2005-07-27 株式会社日立国際電気 Semiconductor manufacturing method, substrate processing method, and semiconductor manufacturing apparatus
KR100480819B1 (en) * 2002-03-20 2005-04-06 엘지.필립스 엘시디 주식회사 Method for cleaning chamber of bonding device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6537876B2 (en) 2000-03-07 2003-03-25 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor capacitor having a hemispherical grain layer using a dry cleaning process

Also Published As

Publication number Publication date
KR960002603A (en) 1996-01-26

Similar Documents

Publication Publication Date Title
US5785796A (en) Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
US5951772A (en) Vacuum processing apparatus
JP2881371B2 (en) Vacuum processing apparatus and method of cleaning vacuum processing apparatus assembly
US5769952A (en) Reduced pressure and normal pressure treatment apparatus
JP3176118B2 (en) Multi-chamber substrate processing equipment
JPH06267873A (en) Treatment device
JP2005072525A (en) Substrate heating device and multi chamber substrate processing device
JP2007186757A (en) Vacuum treatment apparatus and vacuum treatment method
JPH01319944A (en) Method and apparatus for forming thin film on surface of semiconductor substrate
JP6091487B2 (en) Substrate processing apparatus, substrate processing apparatus control method, substrate processing apparatus maintenance method, and recipe control program
US5254176A (en) Method of cleaning a process tube
JP2007035874A (en) Vacuum processing system
JP3050354B2 (en) Processing method
CN100447975C (en) Substrate processing system and method for manufacturing semiconductor device
TWI618115B (en) Substrate processing apparatus and method of cleaning chamber
JP2909364B2 (en) Processing apparatus and cleaning method thereof
KR100263404B1 (en) Treatment device, treatment method and cleaning method of treatment device
JP2741156B2 (en) Cleaning method for multi-chamber processing equipment
JP3066691B2 (en) Multi-chamber processing apparatus and cleaning method thereof
JP2009123723A (en) Vacuum treatment apparatus or method for vacuum treatment
JPH0794489A (en) Cleaning method of treating apparatus
JP2004304116A (en) Substrate processing apparatus
US20040002299A1 (en) Ventilation system and method of using
JP3125121B2 (en) Cleaning method for single-wafer hot wall processing equipment
KR100300096B1 (en) Treatment device, supply method of treatment gas and cleaning method of treatment device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120423

Year of fee payment: 13

LAPS Lapse due to unpaid annual fee