KR100246902B1 - Semiconductor substrate and fabrication method for the same - Google Patents

Semiconductor substrate and fabrication method for the same Download PDF

Info

Publication number
KR100246902B1
KR100246902B1 KR1019960032304A KR19960032304A KR100246902B1 KR 100246902 B1 KR100246902 B1 KR 100246902B1 KR 1019960032304 A KR1019960032304 A KR 1019960032304A KR 19960032304 A KR19960032304 A KR 19960032304A KR 100246902 B1 KR100246902 B1 KR 100246902B1
Authority
KR
South Korea
Prior art keywords
layer
porous
semiconductor substrate
single crystal
silicon
Prior art date
Application number
KR1019960032304A
Other languages
Korean (ko)
Other versions
KR970013012A (en
Inventor
키요후미 사카구찌
타카오 요네하라
Original Assignee
미다라이 후지오
캐논 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 미다라이 후지오, 캐논 가부시키가이샤 filed Critical 미다라이 후지오
Publication of KR970013012A publication Critical patent/KR970013012A/en
Application granted granted Critical
Publication of KR100246902B1 publication Critical patent/KR100246902B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/7624Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology
    • H01L21/76251Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques
    • H01L21/76256Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using semiconductor on insulator [SOI] technology using bonding techniques using silicon etch back techniques, e.g. BESOI, ELTRAN
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/96Porous semiconductor

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Recrystallisation Techniques (AREA)
  • Bipolar Transistors (AREA)
  • Element Separation (AREA)
  • Thin Film Transistor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Thyristors (AREA)

Abstract

도전형을 제어할 수 있는 원소를 실리콘기판에 확산시키는 확산법을 이용하여 확산영역을 형성하는 스텝과; 상기 확산영역에 다공질층을 형성하는 스텝과; 상기 다공질층상에 비다공질단결정층을 형성하는 스텝과; 상기 비다공질단결정층의 접착되는 표면위 또는 베이스기판의 접착되는 표면위중 어느 한쪽에 절연층을 형성하고, 상기 비다공질단결정층을 상기 베이스기판에 접착하는 스텝과; 상기 다공질층을 제거하는 스텝으로 이루어진 것을 특징으로 한다.Forming a diffusion region by using a diffusion method in which an element capable of controlling a conductivity type is diffused onto a silicon substrate; Forming a porous layer in said diffusion region; Forming a non-porous single crystal layer on the porous layer; Forming an insulating layer on either the adhered surface of the non-porous single crystal layer or the adhered surface of the base substrate, and adhering the non-porous single crystal layer to the base substrate; Characterized in that the step of removing the porous layer.

Description

반도체기판 및 그의 제작방법Semiconductor substrate and manufacturing method

본 발명은 반도체기판 및 그의 제작방법에 관한 것이다. 보다 상세하게는 본 발명은 유전체분리 또는 절연물상의 단결정반도체층에 제작되는 전자디바이스나 집적회로에 적합한 반도체기판 및 그 반도체기판의 제작방법에 관한 것이다.The present invention relates to a semiconductor substrate and a manufacturing method thereof. More specifically, the present invention relates to a semiconductor substrate suitable for an electronic device or an integrated circuit fabricated in a single crystal semiconductor layer on a dielectric separation or insulator, and a method of manufacturing the semiconductor substrate.

절연물상의 단결정Si반도체층의 형성은, SOI(silicon on an insulator)기술이라 불리는 널리 알려진 절차를 구성하며, 이 기술에 대해서는. 통상의 Si집적회로를 제작하는 벌크 Si기판을 사용해서는 얻을 수 없는 다수의 우위점을, SOI기술을 이용한 디바이스가 가지고 있으므로 많은 연구가 이루어져 왔다. 즉, SOI기술을 이용함으로써 예를 들면 다음과 같은 우위점이 얻어진다.The formation of a single crystal Si semiconductor layer on an insulator constitutes a well known procedure called silicon on an insulator (SOI) technology. Many studies have been made because devices using SOI technology have many advantages that cannot be obtained by using bulk Si substrates for producing conventional Si integrated circuits. In other words, for example, the following advantages are obtained by using the SOI technology.

1) 유전체분리가 용이하여 , 대규모의 집적화가 가능1) Dielectric separation is easy, so large scale integration is possible

2) 방사선내성이 우수2) Excellent radiation resistance

3) 부유용량이 저감되어 고속화가 가능3) High speed is possible due to the reduced floating capacity.

4) 웰공정을 생략가능4) Well process can be omitted

5) 래치업을 방지가능5) Possible to prevent latchup

6) 막두께가 저감된 경우 완전공핍형 전계효과트랜지스터의 형성이 가능6) Formation of a fully depleted field effect transistor is possible when the film thickness is reduced.

상기한 디바이스특성상의 많은 이점을 지닌 SOI구조의 형성방법에 대해서는, 예를 들면, Special Issue:″Single-crystal silicon on non-single crystal insulators″; edited by G.W.Cullen, Journal of Crystal Growth, Volume 63, No.3, pp. 429 내지 590(1983)에 개시되어 있다.For a method of forming an SOI structure having many advantages in the above described device characteristics, for example, Special Issue: "Single-crystal silicon on non-single crystal insulators"; edited by G.W.Cullen, Journal of Crystal Growth, Volume 63, No. 3, pp. 429-590 (1983).

또한, 선행방법으로서는 단결정 사파이어기판상에 Si를 CVD법(화학기상퇴적법)에 의해 헤테로에피택셜성장에 의해 형성하는 SOS(silicon on sapphire)라 불리는 것이 연구되어 있으며, 이 방법은 가장 성숙한 SOI기슬로서 성공적으로 사용되어 왔으나, Si층과 하부층의 사파이어기판과의 계면의 격자부정합에 의한 대량의 결정결함, 사파이어기판으로부터의 알루미늄의 Si층으로의 혼입, 그리고, 특히 기판의 높은 제작비용과, 기판의 대면적화에 대한 기술이 아직 발달되어 있지 않은 점 때문에 SOS를 사용가능한 그 응용범위에는 한계가 있었다. 따라서, 최근 사파이어기판을 사용하지 않고 SOI구조를 실현하고자 하는 시도가 행해지고 있었다. 이러한 시도는, 일반적으로 다음의 2가지 절차중의 하나를 행함으로써 행해지고 있었다.In addition, as a prior method, what is called SOS (silicon on sapphire) which forms Si by heteroepitaxial growth by CVD (chemical vapor deposition) on a single crystal sapphire substrate, this method is the most mature SOI gas Although it has been used successfully as a substrate, a large amount of crystal defects due to lattice mismatch of the interface between the Si layer and the sapphire substrate of the lower layer, the incorporation of aluminum from the sapphire substrate into the Si layer, and especially the high manufacturing cost of the substrate, Due to the fact that the technology for large area is not yet developed, there is a limit to the range of applications in which SOS can be used. Therefore, recent attempts have been made to realize an SOI structure without using a sapphire substrate. Such an attempt has generally been made by performing one of the following two procedures.

1) Si단결정기판의 표면을 산화시킨 후에, Si기판의 일부를 노출시키고, 그 부분을 시드로서 사용하여 Si를 횡방향으로 에피택셜성장시켜 SiO2상에 Si단결정층을 형성한다(이 경우에는, SiO2상에 Si층의 퇴적을 수반함).1) After oxidizing the surface of the Si single crystal substrate, a part of the Si substrate is exposed, and the portion is used as a seed to epitaxially grow Si to form a Si single crystal layer on SiO 2 (in this case, , With deposition of a Si layer on SiO 2 ).

2) Si단결정기판을 활성층으로서 사용하여, 그 활성층의 하부에 SiO2를 형성한다(여기서는, Si층의 퇴적을 수반하지 않음).2) A Si single crystal substrate is used as the active layer to form SiO 2 in the lower portion of the active layer (not involving deposition of the Si layer here).

상기 절차 1)을 실행하기 위한 방법으로서는, CVD법에 의해, 직접 단결정층 Si를 횡방향으로 에피택셜성장시키는 방법; 비정질Si를 퇴적해서 열처리에 의해 고상으로 횡방향에피택셜성장시키는 방법, 비정질 또는 다결정 Si층에 전자선이나 레이저광 등의 에너지빔을 수속해서 조사하여, 용융재결정에 의해 단결정을 SiO2상에 성장시키는 방법; 봉형상히터에 의해 띠형상으로 용융영역을 주사하는 방법(영역용융재결정법; zone melting and recrystallization)등이 잘 알려져 있다. 이들 방법은 각각 장단점이 있으나, 모두 그 제어성, 생산성, 균일성 및 품질에 다소 문제가 있으므로, 아직 실용화된 것은 없다. 예를 들면, CVD법은 평탄화 박막을 형성하기 위해서는 희생산화가 필요하고, 고상성장법에서는 그 결정성이 나쁘다. 빔어닐링법에서는, 수속빔주사에 의한 처리시간과, 빔의 중첩 및 초점조정에 대한 제어성에 문제가 있다. 영역용융재결정법은 가장 성숙한 방법으로 비교적 대규모의 집적회로의 제작에 의거한 실험에 사용하였으나, 아립계결함 등의 결정결함이 다수 발생하여, 소수 캐리어디바이스를 제작하는 데는 아직 실용적이지 않다.As a method for performing the above procedure 1), a method of directly epitaxially growing a single crystal layer Si in the transverse direction by a CVD method; A method of depositing amorphous Si and laterally epitaxially growing it into a solid phase by heat treatment, or converging and irradiating an energy beam such as an electron beam or a laser beam to an amorphous or polycrystalline Si layer, and growing a single crystal on SiO 2 by molten material crystallization. Way; Background Art A method of scanning a melting zone in a band shape by a rod heater (zone melting and recrystallization) is well known. Each of these methods has advantages and disadvantages, but all have some problems in controllability, productivity, uniformity, and quality, and have not yet been put to practical use. For example, the CVD method requires sacrificial oxidation to form a planarized thin film, and the crystallinity is poor in the solid phase growth method. In the beam annealing method, there is a problem in processing time by convergent beam scanning and controllability of beam overlap and focus adjustment. The area melt recrystallization method is the most mature method used in the experiments based on the manufacture of a relatively large scale integrated circuit, but many crystal defects such as grain boundary defects are generated, and it is not practical to manufacture minority carrier devices.

상기 2)의 절차로서는, 이하의 3종류의 방법을 들 수 있다.As the procedure of 2) above, the following three methods can be mentioned.

1) V자형상 홈이 표면에 이방성에칭된 Si단결정기판에 산화막을 형성하고, 해당 산화막상에 다결정Si층을 Si기판과 동일하게 두껍게 퇴적한 후, Si기판의 이면으로부터 연마에 의해 두꺼운 다결정Si층상에 V자형상 홈으로 둘러싸여 유전분리된 Si단결정영역을 형성하는 방법. 이 방법에 있어서는, 결정성은 양호하나, 다결정Si층을 수백㎛ 퇴적하는 공정, 단결정Si기판의 이면으로부터 연마해서 분리한 Si활성층만을 얻는 공정을 사용하므로 제어성과 생산성의 점에서 문제가 있다.1) An oxide film is formed on a Si single crystal substrate having an anisotropically etched V-shaped groove, and a polycrystalline Si layer is deposited on the oxide film as thick as a Si substrate, and then thick polycrystalline Si is polished from the back surface of the Si substrate. A method for forming a dielectrically separated Si single crystal region surrounded by a V-shaped groove on a layer. In this method, although the crystallinity is good, there is a problem in terms of controllability and productivity since a process of depositing a polycrystalline Si layer by several hundred micrometers and a process of obtaining only an Si active layer polished and separated from the back surface of a single crystal Si substrate are used.

2) Si단결정기판중에 산소이온주입에 의해 SiO2층을 형성하는 SIMOX(separation by ion implanted oxygen)라 불리는 방법이 있으며, 이 방법은 Si프로세스와 정합성이 우수하므로 현재 가장 성숙한 방법이다. 그러나, SiO층을 형성하기 위해서는 산소이온을 1018ions/㎠ 주입할 필요가 있어, 그 주입공정에 장시간을 요하므로, 생산성은 높지 않다. 또, SIMOX웨이퍼가 비싸고, 또한 결정결함이 비교적 다수 존재하므로, 공업적으로 볼 때, 소수캐리어디바이스를 제작가능한 충분한 품질에 이르지 않고 있다.2) There is a method called SIMOX (separation by ion implanted oxygen) which forms SiO 2 layer by oxygen ion implantation in Si single crystal substrate. This method is the most mature method because of its excellent compatibility with Si process. However, in order to form the SiO layer, oxygen ions need to be implanted at 10 18 ions / cm 2, which requires a long time for the implantation process, and thus productivity is not high. In addition, since the SIMOX wafer is expensive and there are a relatively large number of crystal defects, industrially, it has not reached a sufficient quality capable of producing a minority carrier device.

3) 다공질Si의 산화에 의한 유전체분리에 의해 SOI구조를 형성하는 방법. 이 방법은, p형 Si단결정기판의 표면에 N형 Si층을 프로톤이온주입(이마이씨외, ″J.Crystal Growth″, vol.63, 547(1983)) 또는 에피택셜성장과 패터닝에 의해서 섬형상으로 형성하고, 표면상에 Si섬을 둘러싸도록 HF용액중에 양극화성법에 의해 P형 Si기판만을 변화시켜 다공질화한 후, 증속(增速)산화에 의해 N형 Si섬을 유전분리하는 방법이다. 이 방법에서는, 분리되어 있는 Si영역은, 디바이스공정의 수행전에 결정되고 있어, 디바이스설계의 자유도가 제한된다고 하는 문제가 있다.3) A method of forming an SOI structure by dielectric separation by oxidation of porous Si. In this method, an N-type Si layer is implanted into the surface of a p-type Si single crystal substrate (Imai et al., ″ J. Crystal Growth ″, vol. 63, 547 (1983)) or by epitaxial growth and patterning. It is a method of forming in a shape, porously changing only a P-type Si substrate by anodization method in HF solution so as to surround Si islands on the surface, and then dielectrically separating the N-type Si islands by accelerated oxidation. . In this method, the separated Si region is determined before the device process is performed, and there is a problem that the degree of freedom in device design is limited.

본 출원인은, 이러한 문제를 해결하기 위하여 일본국 특개평 5-21338호 공보에 신규한 방법을 제안하였다.The present applicant has proposed a new method in Japanese Patent Laid-Open No. 5-21338 to solve this problem.

일본국 특개평 5-21339호 공보에 개시된 방법은, 다공질단결정반도체영역상에 비다공질단결정반도체영역을 위치시킨 부재를 형성하고, 상기 비다공질단결정반도체영역의 표면에, 표면이 절연성물질로 이루어진 다른 부재를 접착시킨 후, 상기 다공질단결정반도체영역을 에칭에 의해 제거하는 반도체부재의 제작방법이다.The method disclosed in Japanese Patent Laid-Open No. 5-21339 forms a member in which a non-porous single crystal semiconductor region is located on a porous single crystal semiconductor region, and on the surface of the non-porous single crystal semiconductor region, the surface is made of an insulating material. A method of manufacturing a semiconductor member in which the porous single crystal semiconductor region is removed by etching after adhering the members.

이 방법은 SOI기판의 제작에 적용가능하며, 다공질단결정반도체영역과 비다공질단결정반도체영역을 선택적으로 에칭하여, 예를 들면 균일한 두께의 실리콘활성층을 지닌 SOI기판을 얻는 우수한 방법이다. 상기 일본국 특개평 5-21338호 공보에 개시된 방법의 SOI기판에의 응용예로서는, 주로, 단결정실리콘기판을 다공질화하는 공정과, 상기 다공질실리콘층상에 단결정실리콘을 에피택셜성장시키는 공정과 상기 다공질실리콘층이 형성된 에피택셜실리콘막을 절연층을 개재해서 다른 기판에 접착하는 공정과, 이 접착된 기판으로부터 다공질실리콘을 제거하여 절연층상에 에피택셜실리콘층을 남겨두는 공정으로 이루어진 것을 들 수 있다.This method is applicable to fabrication of SOI substrates and is an excellent method of selectively etching porous single crystal semiconductor regions and nonporous single crystal semiconductor regions to obtain, for example, an SOI substrate having a silicon active layer of uniform thickness. As an example of application to the SOI substrate of the method disclosed in Japanese Patent Laid-Open No. 5-21338, a process of porously monocrystalline silicon substrate, a process of epitaxially growing single crystal silicon on the porous silicon layer, and the porous silicon And a step of adhering the epitaxial silicon film on which the layer is formed to another substrate via the insulating layer, and removing the porous silicon from the bonded substrate and leaving the epitaxial silicon layer on the insulating layer.

이 예로부터 알 수 있는 바와 같이, 상기 방법은, 절연층상에 결정성이 단결정웨이퍼와 마찬가지로 우수한 Si단결정층을 형성하는 생산성, 균일성, 제어성 및 경제성이 우수하며, 그 이유로, 상기 방법에 의하면, SOI기판을 구성하는 단결정실리콘층(활성층)을 CVD법 등의 막형성기술로 형성가능한 점과, 접착공정을 행하는 점과, 단결정실리콘층(활성층)에 우선해서 다공질실리콘층의 선택적에칭에 의해 다공질실리콘층을 제거하는 점을 들 수 있다.As can be seen from this example, the method is excellent in productivity, uniformity, controllability, and economic efficiency of forming a Si single crystal layer having excellent crystallinity on the insulating layer as well as a single crystal wafer. In addition, the single crystal silicon layer (active layer) constituting the SOI substrate can be formed by a film forming technique such as a CVD method, the bonding process is performed, and the porous silicon layer is selectively subjected to selective etching of the porous silicon layer prior to the single crystal silicon layer (active layer). The point which removes a silicon layer is mentioned.

본 발명자들은, 상기 일본국 특개평 5-21338호 공보에 개시된 방법에 의거해서 한층더 개량하여야 할 점을 검토한 바, 제조비용의 저감화라는 점으로 결정하였다. 즉 상기 일본국 특개평 5-21338호 공보에 개시된 방법은 실험실수준에서는 우수하게 실행되었으나, 이 방법에 있어서, 대규모의 공장에서 반도체부재를 제조하기 위하여 비용을 더욱 저감할 수 있다면, 공업적 발전에 더욱 기여할 수 있을 것으로 기대된다.MEANS TO SOLVE THE PROBLEM The present inventors considered the point which should further improve based on the method disclosed by the said Unexamined-Japanese-Patent No. 5-21338, and decided that it was a manufacturing cost reduction. That is, the method disclosed in Japanese Patent Laid-Open No. 5-21338 was performed well at the laboratory level, but in this method, if the cost can be further reduced for manufacturing a semiconductor member in a large-scale factory, it is necessary for industrial development. It is expected to contribute more.

이점을 감안하여, 본 발명자들은 상기 방법을 검토한 바, 다공질화한 실리콘 기판의 종류를 고려함으로써 제조비용을 더욱 저감화할 수 있다는 것을 알게 되었다.In view of these advantages, the present inventors have studied the above method and found that the production cost can be further reduced by considering the type of the porous silicon substrate.

이하, 실리콘(Si)이 다공질화에 대해 설명한다.Hereinafter, the silicon (Si) is porous.

Si기판은 HF용액을 사용한 양극화성법에 의해 다공질화시킬 수 있다. 이 다공질Si층은 하기 이유에 의해 N형 Si층보다는 오히려 P명 Si층에 형성되는 경향이 있다.The Si substrate can be made porous by anodization method using HF solution. This porous Si layer tends to be formed in the P-shaped Si layer rather than the N-type Si layer for the following reason.

다공질Si는 울리르씨에 의해서 1956년에 반도체의 전해연마의 연구과정에서 발견되었다(A.Uhlir, Bell Syst. Tech. J., vol.35. 333, 1956).Porous Si was discovered by Ulril in 1956 in the course of electropolishing of semiconductors (A. Uhlir, Bell Syst. Tech. J., vol. 35. 333, 1956).

또, 우나가미씨등은 양극화성에 있어서의 Si의 용해반응을 연구하여, HF용액중의 Si의 양극반응에는 정공이 필요하며, 그 반응은 다음과 같다고 보고하고 있다.(T.Unagami, J. Electrochem.Soc.Vol.127, 476(1980)).In addition, Unagami et al. Studied the dissolution reaction of Si in anodization, and reported that holes were required for the anodization of Si in HF solution, and the reaction is as follows. (T.Unagami, J. Electrochem. Soc. Vol. 127, 476 (1980)).

Si+2HF+(2-n)e+→SiF2+2H++ne- Si + 2HF + (2-n ) e + → SiF 2 + 2H + + ne -

SiF2+2HF→SiF4+H2 SiF 2 + 2HF → SiF 4 + H 2

SiF2+2HF→H2SiF6 SiF 2 + 2HF → H 2 SiF 6

또는,or,

Si+4HF+(4-λ)e+→SiF4+4H++λe- Si + 4HF + (4-λ ) e + → SiF 4 + 4H + + λe -

SiF4+2HF→H2SiF6 SiF 4 + 2HF → H 2 SiF 6

여기서, e+및 e-는 각각 정공과 전자를 표시하며, n 및 λ는 각각 Si원자가 용해하는데 필요한 정공수이며, n>2 또는 λ>4가 만족된 경우에 다공질Si가 형성되는 것을 하고 있다.Here, e + and e - represent holes and electrons, respectively, n and λ are the number of holes required to dissolve Si atoms, respectively, and porous Si is formed when n> 2 or λ> 4 is satisfied.

그 결과, 정공이 존재하는 P형 Si는 용이하게 다공질화되나, N형 Si는 다공질화되기 어렵다. 이 다공질실리콘기판의 개질에 대한 선택성은 나가노씨외 및 이마이씨에 의해 실증되어 있다(Nagano, Nakajima, Yasuno, Onaka and Kajiwara, Electronic communication society study report, Vol. 79, SSD79-9549(1979)) 및 (K. Imai, ″Solid-state Electronics:, Vol. 24,159(1981)).As a result, P-type Si in which holes exist is easily porous, while N-type Si is difficult to be porous. Selectivity for the modification of this porous silicon substrate is demonstrated by Nagano et al. And Namai (Nagano, Nakajima, Yasuno, Onaka and Kajiwara, Electronic communication society study report, Vol. 79, SSD79-9549 (1979)) and (K. Imai, ″ Solid-state Electronics :, Vol. 24,159 (1981)).

다공질Si층에는, 투과전자현미경에 의한 관찰에 의하면, 수십∼수백 Å정도의 평균직경을 지닌 구멍이 형성되어 있으나, 단결정성은 유지되고 있고, 다공질층의 상부에 단결Si층을 에피택셜성장시키는 것이 가능하다. 그러나, 1000℃이상에서는, 내부의 구멍의 재배열이 일어나, 증속에칭의 특성이 열화될 수 있다. 이 때문에, Si층의 에피택셜성장에는, 분자선에피택셜퇴적 , 플라즈마CVD, 감압CVD, 광CVD, 바이어스스퍼터링 또는 액상성장법 등의 저온성장이 바람직하다.The porous Si layer has a hole with an average diameter of about tens to hundreds of microns, although it is observed by transmission electron microscopy, but monocrystalline property is maintained, and epitaxial growth of the unitary Si layer on top of the porous layer is performed. It is possible. However, at 1000 ° C. or higher, rearrangement of the holes inside may occur, which may deteriorate the characteristics of the accelerated etching. For this reason, for epitaxial growth of the Si layer, low temperature growth such as molecular beam epitaxial deposition, plasma CVD, reduced pressure CVD, photoCVD, bias sputtering, or liquid phase growth method is preferable.

또, 다공질층은 그 내부에 대량의 공극이 형성되어 있으므로, 밀도가 절반이하로 감소된다. 그 결과, 체적에 비해서 표면적이 비약적으로 증대하므로, 그 층의 화학에칭속도는 통상의 단결정층의 에칭속도에 비해서, 현저하게 증속된다.In addition, since a large amount of voids are formed in the porous layer, the density is reduced to less than half. As a result, the surface area is dramatically increased compared to the volume, so that the chemical etching rate of the layer is significantly increased compared to the etching rate of the normal single crystal layer.

이상과 같은 다공질Si의 특성을 이용함으로서 에치백(etch-back)법에 의해 접착웨이퍼를 제작하는 것이 가능하다는 것이, 전술한 일본국 특개평 5-21338호 공보에 개시되어 있다.It is disclosed in the above-mentioned Japanese Patent Application Laid-Open No. 5-21338 that it is possible to produce an adhesive wafer by the etch-back method by utilizing the characteristics of porous Si as described above.

상기 일본국 특개평 5-21338호 공보에 개시된 다공질실리콘기판의 제작방법은 다음과 같이 요약할 수 있다.The manufacturing method of the porous silicon substrate disclosed in Japanese Patent Laid-Open No. 5-21338 can be summarized as follows.

(1) P형기판을 준비하여 다공질화한다.(1) P-type substrate is prepared and porous.

(2) P형기판상에 에피택셜퇴적법 등의 박막퇴적법에 의해 저불순물농도층을 형성하고, 그 P형기판부분은 다공질화한다.(2) A low impurity concentration layer is formed on the P-type substrate by a thin film deposition method such as epitaxial deposition, and the P-type substrate portion is made porous.

(3) P형기판의 표면에 프로토니온의 주입에 의해 N형단결정층을 형성하고, 남아있는 P형기판부분을 다공질화한다.(3) An N-type single crystal layer is formed on the surface of the P-type substrate by protonion injection, and the remaining P-type substrate is porous.

상기 (1) 내지 (3)에 표시한 방법에 이어서는, P형실리콘기판을 이용한다. 대규모의 공장에서 균일하게 대량의 다공질실리콘기판을 제조하기 위해서는, 실리콘의 양극반응에 의해 양극화성을 시행하므로 저항률이 엄격하게 조정된 P형기판을 사용해야만 하나, 저항률이 지정된 실리콘기판은 비교적 비싸다. 그러므로, 저항률에 관계없이 실리콘기판을 사용할 수 있다면 SOI기판의 제조비용을 더욱 저감할 수 있다.Subsequent to the method (1) to (3) described above, a P-type silicon substrate is used. In order to manufacture a large amount of porous silicon substrates uniformly in a large-scale factory, it is necessary to use a P-type substrate with a strictly adjusted resistivity because the polarization is performed by the anodic reaction of silicon, but a silicon substrate having a specified resistivity is relatively expensive. Therefore, if the silicon substrate can be used regardless of the resistivity, the manufacturing cost of the SOI substrate can be further reduced.

따라서, 본 발명의 일목적은, 상기 일본국 특개평 5-21338호 공보에 개시된 방법을 개량해서 얻어진 반도체기판의 제작방법을 제공하는 데 있다.Accordingly, one object of the present invention is to provide a method for manufacturing a semiconductor substrate obtained by improving the method disclosed in Japanese Patent Laid-Open No. 5-21338.

본 발명의 다른 목적은, SOI기판의 제조비용을 더욱 저감시킬 수 있는 반도체기판의 제작방법을 제공하는 데 있다.Another object of the present invention is to provide a method for manufacturing a semiconductor substrate which can further reduce the manufacturing cost of the SOI substrate.

본 발명의 또 다른 목적은, 공장에 있어서의 반도체기판의 제조에 적합한 반도체기판의 제작방법을 제공하는데 있다.Another object of the present invention is to provide a method for producing a semiconductor substrate suitable for manufacturing a semiconductor substrate in a factory.

상기 각 목적은 다음과 같이 고안된 본 발명에 의해 달성된다.Each of the above objects is achieved by the present invention devised as follows.

본 발명의 제 1측면에 의하면, 반도체기판의 제작방법은, 도전형을 제어할 수 있는 원소를 실리콘기판에 확산시키는 확산법을 사용하여 확산영역을 형성하는 스텝과; 상기 확산영역내에 다공질층을 형성하는 스텝과; 상기 다공질층위에 비다공질단결정층을 형성하는 스텝과; 상기 비다공질단결정층의 접착되는 표면위 또는 베이스기판의 접착되는 표면위에 절연층을 형성하고, 상기 비다공질단결정층을 상기 베이스기판에 접착하는 스텝과; 상기 다공질층을 제거하는 스텝을 구비하고 있다.According to the first aspect of the present invention, a method of manufacturing a semiconductor substrate includes: forming a diffusion region by using a diffusion method in which an element capable of controlling a conductivity type is diffused onto a silicon substrate; Forming a porous layer in said diffusion region; Forming a non-porous single crystal layer on the porous layer; Forming an insulating layer on the adhered surface of the nonporous single crystal layer or on the adhered surface of the base substrate, and adhering the nonporous single crystal layer to the base substrate; The step of removing the porous layer is provided.

본 발명의 제 2측면에 의하면, 반도체기판의 제작방법은 실리콘기판의 제 1표면 및 이 제 1표면의 이면쪽의 제 2표면에, 도전형을 제어할 수 있는 원소를 확산시키는 확산법을 이용하여 확산영역을 형성하는 스텝과; 상기 제 1표면상에 형성된 확산영역내에 다공질층을 형성하는 스텝과; 상기 다공질층위에 비다공질단결정층을 형성하는 스텝과; 상기 비다공질단결정층의 접착되는 표면위 또는 베이스기판의 접착되는 표면위에 절연층을 형성하여, 상기 비다공질단결정층과 베이스기판을 접착하는 스텝과, 상기 다공질층을 제거하는 스텝을 구비하고 있다.According to the second aspect of the present invention, a method of fabricating a semiconductor substrate includes a diffusion method of diffusing an element capable of controlling conductivity into a first surface of a silicon substrate and a second surface of the back surface of the first surface. Forming a diffusion region; Forming a porous layer in a diffusion region formed on said first surface; Forming a non-porous single crystal layer on the porous layer; Forming an insulating layer on the adhered surface of the non-porous single crystal layer or on the adhered surface of the base substrate, adhering the non-porous single crystal layer and the base substrate, and removing the porous layer.

전술한 목적은 이상과 같이 구성된 본 발명에 의해 달성된다. 본 발명에 있어서는, 도전형을 제어할 수 있는 원소를 확산법에 의해 확산시켜 확산영역을 형성하고, 이 영역에 다공질충을 형성하므로, 저항률이 엄격하게 제어된 실리콘기판을 사용하지 않은 경우에도 실리콘기판을 균일하게 다공질화할 수 있다. 즉, 비교적 저렴한 저항무지정의 실리콘기판을 이용할 수 있다.The above object is achieved by the present invention configured as described above. In the present invention, since the element capable of controlling the conductivity type is diffused by the diffusion method to form a diffusion region, and a porous insect is formed in this region, even when a silicon substrate having a strictly controlled resistivity is not used. Can be made porous uniformly. That is, a relatively low resistance silicon substrate can be used.

또, 기판의 양면에 확산층을 형성하는 모드에 의하면, 확산층의 형성중에 발생하는 휨을 저감시킬 수 있다. 그 결과, 충분한 접착공정을 행할 수 있어, 접착된 기판이 박리할 가능성은 실질적으로 저감된다. 따라서, 얻어진 실리콘기판의 수율이 향상하여, 기판의 제작비용을 낮출 수 있다. 또한, 양극화성에 의해 다공질층을 형성할 경우 발생되는 접촉저항도 저감할 수 있다.In addition, according to the mode of forming the diffusion layers on both surfaces of the substrate, the warpage generated during the formation of the diffusion layer can be reduced. As a result, a sufficient bonding process can be performed, and the possibility that the bonded substrate is peeled off is substantially reduced. Therefore, the yield of the obtained silicon substrate can be improved and the manufacturing cost of a board | substrate can be reduced. In addition, the contact resistance generated when the porous layer is formed by anodization can also be reduced.

제1(a)도 내지 제1(f)도는 본 발명에 의한 반도체기판의 제작방법의 일례를 도시한 모식도.1 (a) to 1 (f) are schematic diagrams showing an example of a method for manufacturing a semiconductor substrate according to the present invention.

제2(a)도 내지 제2(h)도는 본 발명에 의한 반도체기판의 제작방법의 다른 예를 도시한 모식도.2 (a) to 2 (h) are schematic diagrams showing another example of the method for manufacturing a semiconductor substrate according to the present invention.

제3(a)도 내지 제3(h)도는 본 발명에 의한 반도체기판의 제작방법의 또 다른 예를 도시한 모식도.3 (a) to 3 (h) are schematic diagrams showing still another example of the method for manufacturing a semiconductor substrate according to the present invention.

제4(a)도 내지 제4(f)도는 본 발명에 의한 반도체기판의 제작방법의 또 다른 예를 도시한 모식도.4 (a) to 4 (f) are schematic diagrams showing still another example of the method for manufacturing a semiconductor substrate according to the present invention.

제5(a)도 내지 제5(f)도는 본 발명에 의한 반도체기판의 제작방법의 또 다른 예를 도시한 모식도.5 (a) to 5 (f) are schematic diagrams showing still another example of the method for manufacturing a semiconductor substrate according to the present invention.

제6도는 본 발명에 적용가능한 확산절차의 일례를 도시한 모식도.6 is a schematic diagram showing an example of a diffusion procedure applicable to the present invention.

제7(a)도 및 제7(b)도는 다공질실리콘층을 형성하는 방법을 도시한 모식도.7 (a) and 7 (b) are schematic diagrams showing a method of forming a porous silicon layer.

* 도면의 주요부분에 대한 부호의 설명* Explanation of symbols for main parts of the drawings

100, 600 : 실리콘기판 101: 확산층100, 600: silicon substrate 101: diffusion layer

102,1102 : 비다공질단결정반도체(실리콘)층102,1102 non-porous single crystal semiconductor (silicon) layer

103, 104, 1103 : 절연층(SiO2층)103, 104, 1103: insulation layer (SiO 2 layer)

110, 300, 1110, 1210 : 베이스기판110, 300, 1110, 1210: base board

200 : 다공질층 301 : 노(확산로)200: porous layer 301: furnace (diffusion furnace)

302 : 서셉터(susceptor) 600 : 기판302: susceptor 600: substrate

604, 604′: 불화물용액 605, 605′: 양극604, 604 ': Fluoride solution 605, 605': Anode

606 : 음극 1104 : 절연막(산화막)606: cathode 1104: insulating film (oxide film)

전술한 바와 같은 본 발명에 의한 반도체기판의 제작방법에 의한 가장 특이한 특징은, 실리콘기판에 확산법에 의해 확산영역을 형성한 후, 이 확산영역에 다공질층을 형성하는 점이다. 이러한 특징에 의거하여, 제1(a)도 내지 제1(f)도를 참조하면서 본 발명을 상세히 설명한다.The most peculiar feature of the method for manufacturing a semiconductor substrate according to the present invention as described above is that after forming a diffusion region on a silicon substrate by a diffusion method, a porous layer is formed on the diffusion region. Based on these characteristics, this invention is demonstrated in detail, referring FIG. 1 (a) thru | or FIG. 1 (f).

예 1:Example 1:

제1(a)도 내지 제1(f)도는 본 발명의 반도체기판의 제작방법의 일례를 예시한 모식도이다. 먼저, 단결정실리콘기판(실리콘웨이퍼)(100)에 확산법에 의해 도전형을 제어하는 원소를 확산시킨다(제1(a)도).1 (a) to 1 (f) are schematic diagrams illustrating an example of a method for manufacturing a semiconductor substrate of the present invention. First, an element for controlling the conductivity type is diffused into the single crystal silicon substrate (silicon wafer) 100 by the diffusion method (FIG. 1 (a)).

본 발명에 있어서는, 확산법을 이용해서 단결정실리콘기판에 쉽게 다공질화되는 밀도를 가진 확산층을 형성하므로, 저항이 엄격하게 제어된 비교적 값비싼 단결정실리콘기판을 사용하지 않더라도, 실리콘기판간의 차를 감소하면서, 실리콘기판을 다공질화하기 위한 스테디프로세스를 행할 수 있다.In the present invention, the diffusion layer is used to form a diffusion layer having a density that is easily porous with the single crystal silicon substrate, so that the difference between the silicon substrates is reduced, even when a relatively expensive single crystal silicon substrate with a tightly controlled resistance is not used. A steady process for porousizing a silicon substrate can be performed.

또, 본 발명에 있어서, 도전형을 제어할 수 있고 확산법에 의해 실리콘기판에 확산되는 원소로서는 일반적으로 반도체제작프로세스기술에서 사용되는 것, 예를 들면 표 1에 표시된 것을 들 수 있다In the present invention, an element that can control the conductivity type and is diffused into the silicon substrate by the diffusion method is generally used in semiconductor manufacturing process technology, for example, those shown in Table 1.

제조비용 때문에 확산법을 채용해서 도전형을 제어할 수 있는 원소를 실리콘기판에 열확산시키는 것이 바람직하며, 그러한 방법의 예가 표 2에 표시되어 있다.Because of the manufacturing cost, it is preferable to thermally diffuse the silicon substrate to an element that can control the conductivity type by employing a diffusion method, and an example of such a method is shown in Table 2.

본 발명에서는, 확산영역에 다공질층을 형성하므로, N형 확산영역보다도 P형 확산영역에 다공질층을 용이하게 형성할 수 있다. 이것에 의거해서, B(붕소)를 확산하는 기술로서는 예를 들면 표 3에 표시한 것을 들 수 있다.In the present invention, since the porous layer is formed in the diffusion region, the porous layer can be formed more easily in the P-type diffusion region than in the N-type diffusion region. Based on this, the technique shown in Table 3 is mentioned as a technique of diffusing B (boron), for example.

표 3에 표시한 기술에 의해서도, 기본적으로 소스로부터 공급되는 원소는 ″노″에서 열처리에 의해 실리콘기판에 확산된다.Even by the technique shown in Table 3, basically, the element supplied from the source is diffused into the silicon substrate by heat treatment in the "no".

예를 들면, 스핀코팅막을 이용한 확산법은 다음과 같이 수행된다.For example, the diffusion method using the spin coating film is performed as follows.

유기바인더와 B2O3를 함유하는 용매로 이루어진 혼합물을 스피너를 사용해서 실리콘기판(실리콘웨이퍼)상에 균일하게 피복한 후, 건조·어닐링하여 이 실리콘기판상에 B2O3막을 형성한다. 다음에 이 실리콘기판을 제6도에 도시한 노에 놓고, 열처리에 의해 붕소(B)를 확산시킨다. 제6도에 있어서, (301)은 노, (302)는 서셉터(susceptor)이다. 실리콘기판(100)의 한쪽표면상에 B2O3막을 형성하고, 이 실리콘기판(100)을 제6도에 도시한 장치에 의해 900∼1300℃에서 열처리하여, 이 실리콘기판(100)중에 붕소(B)를 확산시킨다. 이 경우, 확산영역은, B2O3막이 퇴적된 면뿐만 아니라 그 이면에도, 인접한 다른 실리콘기판에 형성된 B2O3막을 소스로서 이용해서 형성된다. 이것은, 양극화성에 의해 다공질화할 때 HF용액과 접촉시의 저항을 저감시킬수 있으므로 실리콘기판의 양면에 확산층을 형성하는 데 매우 편리하다.A mixture of an organic binder and a solvent containing B 2 O 3 is uniformly coated on a silicon substrate (silicon wafer) using a spinner, and then dried and annealed to form a B 2 O 3 film on the silicon substrate. Next, the silicon substrate is placed in a furnace shown in FIG. 6, and boron (B) is diffused by heat treatment. In FIG. 6, 301 is a furnace and 302 is a susceptor. A B 2 O 3 film was formed on one surface of the silicon substrate 100, and the silicon substrate 100 was heat-treated at 900 to 1300 ° C. by the apparatus shown in FIG. 6 to form boron in the silicon substrate 100. (B) is diffused. In this case, the diffusion region is formed not only on the surface on which the B 2 O 3 film is deposited but also on the back side thereof, using a B 2 O 3 film formed on another adjacent silicon substrate as a source. This is very convenient for forming the diffusion layers on both sides of the silicon substrate because the resistance at the time of contact with the HF solution can be reduced when porous by anodization.

본 발명예서는, 다공질화공정과 다공질실리콘층상에 형성되는 에피택셜막의 특성을 고려해서, 확산영역에 함유되는 도전형을 제어가능한 원소의 농도는 일반적으로는 5.0×1016/㎤ 내지 5.0×1020/㎤, 바람직하게는 1.0×1017/㎤ 내지 20×1020/㎤, 가장 바람직하게는 5.0×1017/㎤ 내지 1.0×1020/㎤의 범위내이다.In the present invention, in consideration of the characteristics of the epitaxial film formed on the porous siliconization process and the porous silicon layer, the concentration of the element that can control the conductivity type contained in the diffusion region is generally 5.0 × 10 16 / cm 3 to 5.0 × 10 20 / cm 3, preferably 1.0 × 10 17 / cm 3 to 20 × 10 20 / cm 3, most preferably 5.0 × 10 17 / cm 3 to 1.0 × 10 20 / cm 3.

본 발명에서 형성되는 확산영역의 두께는, 가열시간과 온도를 조절함으로써 제어할 수 있다. 확산층의 두께는, 일반적으로는 100Å이상, 바람직하게는 500Å이상, 가장 바람직하게는 5000Å이상이지만, 확산영역형성후에 수행해야 할 다공질화공정이 확산영역에 걸쳐 용이하게 진행되므로, 형성되는 확산영역은 반드시 두꺼운 것은 아니다.The thickness of the diffusion region formed in the present invention can be controlled by adjusting the heating time and temperature. The thickness of the diffusion layer is generally 100 GPa or more, preferably 500 GPa or more, and most preferably 5000 GPa or more, but since the porous process to be performed after the formation of the diffusion region proceeds easily over the diffusion region, the diffusion region formed is It is not necessarily thick.

제1(a)도에 있어서, 실리콘기판(100)의 한쪽면에만 확산층(101)을 형성했으나, 실리콘기판(100)의 양면에 확산층(101)을 형성해도 된다.In FIG. 1A, the diffusion layer 101 is formed only on one side of the silicon substrate 100, but the diffusion layer 101 may be formed on both surfaces of the silicon substrate 100.

기본적으로, 본 발명에서는, 확산층을 형성할 실리콘기판으로서 어떤 종류든 단결정실리콘기판(실리콘웨이퍼)을 채용할 수 있으나, 목적이 반도체기판의 제작비의 저감화인 경우, 비교적 저렴한 저항무지정의 실리콘기판, IC프로세스용의 모니터웨이퍼, 또는 표면을 연마하여 IC프로세스에서 재사용할 수 있는 소위 재생웨이퍼 등을 이용하는 것이 바람직하다.Basically, in the present invention, any type of single crystal silicon substrate (silicon wafer) can be employed as the silicon substrate for forming the diffusion layer, but if the purpose is to reduce the manufacturing cost of the semiconductor substrate, a relatively low resistance-free silicon substrate and IC It is preferable to use a monitor wafer for a process or a so-called recycled wafer which can be polished and reused in an IC process.

본 발명에 있어서는, 확산층을 형성한 후 다공질층을 형성한다.In the present invention, the porous layer is formed after the diffusion layer is formed.

비다공질단결정실리콘기판(실리콘웨이퍼)은 양극화성에 의해 다공질화할 수 있다. 얻어진 다공질실리콘층은 평균직경이 50∼300Å정도인 다수의 구멍을 지니고 단결정구조를 유지하였다.A nonporous single crystal silicon substrate (silicon wafer) can be made porous by anodization. The obtained porous silicon layer had a large number of holes having an average diameter of about 50 to 300 mm 3 and maintained a single crystal structure.

제1(b)도에 있어서, 확산층(101)에 다공질층(200)을 형성하고, 이 확산층(101)의 전체를 다공질화하거나, 또는 제1(b)도에 도시한 바와 같이, 확산층(101)의 일부는 이 공정을 생략해도 된다. 또 확산층(101)의 전체와 실리콘기판(100)의 일부를 다공질화해도 된다.In FIG. 1 (b), the porous layer 200 is formed in the diffusion layer 101, and the entirety of this diffusion layer 101 is made porous, or as shown in FIG. 1 (b), the diffusion layer ( A part of 101) may omit this step. In addition, the entirety of the diffusion layer 101 and a part of the silicon substrate 100 may be made porous.

다공질화를 위한 두께는 기판의 한쪽면상의 표면층의 단지 5∼20㎛정도면 충분하고, 실리콘기판(100)전체를 양극화성해도 된다.The thickness for porous formation is only about 5 to 20 µm of the surface layer on one side of the substrate, and the entire silicon substrate 100 may be anodized.

이하 제7(a)도 및 제7(b)도를 참조하면서 다공질실리콘층의 형성방법에 대해서 설명한다. 상부에 확산층이 형성된 기판(600)을 제7(a)도에 도시한 장치에 놓는다. 구체적으로는, 기판(600)의 확산층이 형성된 면을, 음극(음전극)(606)에 위치된 불화물(플루오라이드)용액(604)과 접촉시키고, 기판(600)의 다른쪽 면을 금속제의 양극(양전극)(605)에 접촉시킨다. 다른 구성으로서는, 제7(b)도에 도시한 바와 같이, 양극(605′)은 용액(604′)을 개재해서 전위를 획득해도 된다. 불화물용액(604)으로서는, 일반적으로 농축불화물(49%HF)을 사용한다. 도통되는 전류의 강도에 의존해서, 해당 용액의 특정농도에서 에칭이 일어나므로 용액을 수소(H2O)로 희석하는 것은 바람직하지 않다. 양극화성중에 기판(600)의 표면에서 기포가 발생할 경우, 이 기포를 효율적으로 제거하기 위하여 계면활성제로서 알콜을 첨가할 수 있다. 이러한 알콜로서는, 메탄올, 에탄올, 프로판올 또는 이소프로판올 등이 있다. 또, 양극화성용 용액을 교반하기 위하여 계면활성제 대신에 교반장치를 사용해도 된다. 음극(606)은 불화물용액에 부식되는 금(Au) 또는 백금(Pt)등의 재료로 형성되어 있고, 양극(605)은 통상의 금속재료로 형성되어 있다. 기판(600)의 양극화성이 종료된 경우 양극(605)에 불화물용액(604)이 닿아 있으므로, 양극(605)의 표면에 불화물용액으로의 부식에 내성이 있는 금속막을 피복할 필요가 있다. 양극화성용 전류의 최대강도는 수백 mA/㎠, 최소강도는 0을 제외한 어떠한 값이어도 된다. 이 전류강도는 다공질실리콘기판의 표면상에 양질의 에피택셜성장을 할 수 있는 범위내에서 결정한다. 통상, 대전류강도에서는, 양극화성속도가 증가되고, 이와 동시에 다공질실리콘층의 밀도는 저감된다. 즉, 기공의 내부체적이 증가한다. 따라서, 에피택셜성장조건은 변화한다. 본 실시예에서는 에피택셜층의 특성과 제조비용을 고려해서, 다공질실리콘층의 기공률, 즉 다공률(기공체적/(잔류실리콘체적+기공체적))은 일반적으로 50%이하, 바람직하게는 1 내지 40%, 가장 바람직하게는 5 내지 30%의 범위이다.Hereinafter, a method of forming a porous silicon layer will be described with reference to FIGS. 7 (a) and 7 (b). The substrate 600 having the diffusion layer formed thereon is placed in the apparatus shown in Fig. 7A. Specifically, the surface on which the diffusion layer of the substrate 600 is formed is brought into contact with the fluoride (fluoride) solution 604 located at the cathode (negative electrode) 606, and the other surface of the substrate 600 is made of a metal anode. (Positive electrode) 605. As another structure, as shown in FIG. 7 (b), the anode 605 'may acquire a potential via the solution 604'. As the fluoride solution 604, concentrated fluoride (49% HF) is generally used. Depending on the strength of the current being conducted, it is undesirable to dilute the solution with hydrogen (H 2 O) because etching occurs at a certain concentration of the solution. When bubbles are generated on the surface of the substrate 600 during anodization, alcohol may be added as a surfactant to remove the bubbles efficiently. Such alcohols include methanol, ethanol, propanol or isopropanol. In addition, in order to stir the solution for anodization, you may use the stirring apparatus instead of surfactant. The cathode 606 is made of a material such as gold (Au) or platinum (Pt) that is corroded to the fluoride solution, and the anode 605 is made of a common metal material. When the anodization of the substrate 600 is completed, the fluoride solution 604 contacts the anode 605, so that the surface of the anode 605 needs to be coated with a metal film resistant to corrosion with the fluoride solution. The maximum intensity of the polarization current may be several hundred mA / cm 2, and the minimum intensity may be any value except zero. This current strength is determined within a range capable of high quality epitaxial growth on the surface of the porous silicon substrate. Usually, at high current strength, the anodization rate is increased, and at the same time the density of the porous silicon layer is reduced. That is, the internal volume of the pores increases. Thus, the epitaxial growth conditions change. In the present embodiment, in consideration of the properties of the epitaxial layer and the manufacturing cost, the porosity of the porous silicon layer, that is, the porosity (pore volume / (residual silicon volume + pore volume)) is generally 50% or less, preferably 1 to 40%, most preferably in the range of 5 to 30%.

이와 같이 형성된 다공질층(200)상에 비다공질단결정실리콘층(102)을 에피택셜성장시킨다(제1(c)도). 다공질층(200)상에 단결정실리콘층(102)을 형성하기 위해서는, CVD(화학적기상퇴적)법, MBE(분자선에피택시)법 또는 바이어스스퍼터링법 등의 통상의 에피택셜결정성장법을 채용할 수 있다.The non-porous single crystal silicon layer 102 is epitaxially grown on the porous layer 200 thus formed (FIG. 1 (c)). In order to form the single crystal silicon layer 102 on the porous layer 200, conventional epitaxial crystal growth methods such as CVD (chemical vapor deposition), MBE (molecular beam epitaxy), or bias sputtering can be employed. have.

다음에 , 에피택셜층(102)의 표면상에 절연층(103)을 형성한다(제1(d)도). 절연층(103)은 CVD법을 이용한 퇴적막(예를 들면, SiO2막 또는 Si3N4막)으로 형성하거나, 에피택셜층(102)표면의 열산화에 의해 형성할 수 있다. 에피택셜층(102)상에 절연층(103)을 형성하는 데는, 베이스기판에 에피택셜층(102)을 직접 접착하는 방법이 유효하다. 즉, 이 방법은, 접착면상의 불순물의 분리 및 접착면상의 원자간의 댕글링결합의 빈번한 발생(이들 앙현상은 접착공정중에 발생하는 경향이 있음)에 의해 초래되는 박막장치의 특성의 불안정성을 저감하는 것이 가능하다.Next, the insulating layer 103 is formed on the surface of the epitaxial layer 102 (FIG. 1 (d)). The insulating layer 103 can be formed by a deposition film (for example, a SiO 2 film or a Si 3 N 4 film) using the CVD method or by thermal oxidation of the surface of the epitaxial layer 102. In forming the insulating layer 103 on the epitaxial layer 102, a method of directly adhering the epitaxial layer 102 to the base substrate is effective. In other words, this method reduces the instability of the characteristics of the thin film device caused by the separation of impurities on the adhesive surface and the frequent occurrence of dangling bonds between atoms on the adhesive surface (these phenomena tend to occur during the bonding process). It is possible to do

그러나, 에피택셜층(102)상에 SiO2막(103)을 형성하는 공정은 필요한 절차는 아니다. 즉, 상기 현상이 문제로 되지 않도록 장치가 설계된 경우, 이러한 절차는 생략해도 된다. SOI기판에 대해서는 절연층으로서 SiO2층(103)이 기능하나, 절연층은 적어도 접착되는 한쪽 기판중의 한면에 형성하지 않으면 안되고, 그 절연층의 형성을 위해서는 각종 모드가 있다. 또, 절연층은 SiO2층으로 한정되는 것은 아니다.However, the process of forming the SiO 2 film 103 on the epitaxial layer 102 is not a necessary procedure. In other words, if the device is designed such that the phenomenon is not a problem, this procedure may be omitted. For the SOI substrate, the SiO 2 layer 103 functions as an insulating layer, but the insulating layer must be formed on at least one side of one of the substrates to be bonded, and there are various modes for forming the insulating layer. The insulating layer is not limited to the SiO 2 layer.

산화시, 산화막은 접착면에 의해 흡수되는 공기에 의해 오염되지 않도록 두껍게 할 필요가 있다.In oxidation, the oxide film needs to be thickened so as not to be contaminated by air absorbed by the adhesive surface.

표면이 산화되는 에피택셜면을 지닌 기판(100)과는 별도로 베이스기판으로서 기능하는 SiO2층(104)의 상부에 형성된 기판(110)을 준비한다. 이 베이스기판(110)으로서는 표면이 산화(열산화에 의한 것)된 실리콘기판, 석영유리기판, 결정화유리기판 또는 상부에 SiO2가 퇴적된 임의의 기판 등을 들 수 있다. 상부에 SiO2층(104)이 형성되어 있지 않은 실리콘기판을 이용해도 된다.A substrate 110 formed on top of the SiO 2 layer 104 serving as a base substrate is prepared separately from the substrate 100 having an epitaxial surface whose surface is oxidized. Examples of the base substrate 110 include a silicon substrate whose surface is oxidized (by thermal oxidation), a quartz glass substrate, a crystallized glass substrate, or any substrate having SiO 2 deposited thereon. A silicon substrate on which no SiO 2 layer 104 is formed may be used.

이와 같이 준비한 2매의 기판을 세정하여 접합한다(제1(e)도). 상기 세정공정은, 통상의 반도체기판의 세정공정(예를 들면, 산화이전)과 마찬가지로 행한다.The two substrates thus prepared are washed and bonded (FIG. 1 (e)). The cleaning step is performed in the same manner as the normal cleaning step (for example, before oxidation) of the semiconductor substrate.

이들 기판의 접착시 기판의 표면을 가압함으로써, 접착력을 증대시킬 수 있다.By pressing the surface of the substrate during adhesion of these substrates, the adhesive force can be increased.

열처리는 접착력을 증대시키기 위하여 접착기판에 대해 실시한다. 고온에서의 가열이 바람직하나, 지나치게 고온이면, 다공질층(200)의 조직이 변하거나 기판중에 함유된 불순물이 에피택셜층으로 확산될 수도 있다. 따라서, 온도와 시간은 이들 현상을 일으키지 않는 가열이 되도록 선택해야만 하며, 구체적으로는 600℃ 내지 1100℃가 바람직하다. 그러나, 열처리는 일부 기판에 대해서는 실시할 수 없다. 예를 들면, 석영유리로 이루어진 베이스기판(110)은 석영의 열팽창계수가 실리콘과 다르므로 200℃이하까지만 가열할 수 있다. 가열온도가 200℃를 초과하면 접착된 기판이 응력에 의해 서로 박리되어 버리거나 파괴된다. 그러나, 열처리는 이하의 공정에서 수행되는 벌크실리콘(100)의 연마나 에칭중에 발생하는 응력에 견딜 수 있는 충분한 응력을 제공할 필요가 있다. 따라서, 활성화를 위한 표면처리조건이 최적화되면, 200℃이하의 온도에서 균일하게 열처리할 수 있다.Heat treatment is carried out on the adhesive substrate to increase the adhesion. Heating at a high temperature is preferable, but if the temperature is too high, the structure of the porous layer 200 may change, or impurities contained in the substrate may diffuse into the epitaxial layer. Therefore, the temperature and time must be selected so that the heating does not cause these phenomena, specifically, 600 ° C to 1100 ° C is preferred. However, heat treatment cannot be performed on some substrates. For example, the base substrate 110 made of quartz glass may be heated only up to 200 ° C. because the coefficient of thermal expansion of quartz is different from that of silicon. When the heating temperature exceeds 200 ° C., the bonded substrates are peeled off from each other by stress or are broken. However, the heat treatment needs to provide sufficient stress to withstand the stresses generated during the polishing or etching of the bulk silicon 100 performed in the following process. Therefore, if the surface treatment conditions for activation are optimized, it can be uniformly heat treated at a temperature of 200 ℃ or less.

다음에, 에피택셜층(102)을 유지한 채로 실리콘기판(100)과 다공질층(200)을 제거한다(제1(f)도). 이와 같이 해서 SOI기판을 얻을 수 있다. 실리콘기판(100)의 전체가 다공질화되면, 실리콘기판의 제거는 불필요하다.Next, the silicon substrate 100 and the porous layer 200 are removed while the epitaxial layer 102 is held (FIG. 1 (f)). In this way, an SOI substrate can be obtained. If the entirety of the silicon substrate 100 is made porous, it is not necessary to remove the silicon substrate.

본 발명에 의하면, 에칭에 의해 다공질층을 선택적으로 제거하는 것이 바람직하다. 에칭제로서는, 예를 들면, 통상의 Si에칭액, 다공질Si를 선택적으로 에칭하기 위한 불화수소산, 알콜이나 과산화수소수중의 적어도 어느 1종과 불화수소산과의 혼합액, 완충 불화수소간, 또는 알콜이나 과산화수소수중의 적어도 어느 1종과 완충 불화수소산과의 혼합액을 들 수 있다. 다공질Si층은 큰 표면적을 지니므로, 통상의 Si에칭액으로도 선택적으로 에칭할 수 있다.According to the present invention, it is preferable to selectively remove the porous layer by etching. Examples of the etchant include a conventional Si etching solution, a mixture of hydrofluoric acid for selectively etching porous Si, at least one of alcohol or hydrogen peroxide and hydrofluoric acid, between buffered hydrogen fluoride, or alcohol or hydrogen peroxide. And a mixed liquid of at least any one of and a buffered hydrofluoric acid. Since the porous Si layer has a large surface area, it can be selectively etched even with a conventional Si etching liquid.

제1(a)도 내지 제1(f)도에 도시한 예에 있어서, 층(102)을 에피택셜실리콘층으로 간주하였으나, 이 층(102)은 Ⅱ-Ⅵ족 또는 Ⅲ-Ⅴ족 등의 단결정화합물반도체로 형성할 수 있고, 이러한 화합물반도체층을 에피택셜층위에 적층해도 된다.In the example shown in FIGS. 1 (a) to 1 (f), the layer 102 was regarded as an epitaxial silicon layer, but the layer 102 was made of group II-VI or group III-V. It can be formed from a single crystal compound semiconductor, and such a compound semiconductor layer may be laminated on the epitaxial layer.

또한, 상기 공정에 이하의 공정을 첨가할 수 있다.In addition, the following processes can be added to the said process.

(1) 다공질층중의 구멍의 내벽의 과산화(1) Peroxidation of the inner wall of the hole in the porous layer

다공질층중의 인접기공간의 벽은 수nm∼수십nm로 매우 얇다. 다공질층을 고온에서 처리하는 공정, 즉, 접착된 기판의 열처리공정에 있어서, 기공벽은 응집하여 울퉁불퉁하게 (즉, 조면화)되어 구멍을 폐쇄하므로, 그 결과, 에칭속도가 감속된다. 따라서, 기공벽의 조면화를 방지하도록 다공질층의 형성후 기공벽상에 얇은 산화막을 퇴적시킨다. 그러나, 다공질층상에 비다공질단결정실리콘층을 에피택셜성장시킬 필요가 있으므로, 다공질층 속의 기공의 내벽면만을 산화시켜야만 하며, 따라서, 기공벽의 안쪽은 단결정구조가 유지된다. 산화막의 두께는 수 Å 내지 수십 Å인 것이 바람직하며, 이러한 두께를 지닌 산화막은, 산소분위기중에서 200 내지 700℃, 바람직하게는 250 내지 500℃의 온도에서 열처리에 의해 형성된다.The walls of adjacent air spaces in the porous layer are very thin, ranging from several nm to several tens of nm. In the process of treating the porous layer at a high temperature, that is, in the heat treatment process of the bonded substrate, the pore walls aggregate and become unevenly (that is, roughen) to close the holes, and as a result, the etching rate is reduced. Therefore, a thin oxide film is deposited on the pore wall after formation of the porous layer to prevent roughening of the pore wall. However, since it is necessary to epitaxially grow the non-porous single crystal silicon layer on the porous layer, only the inner wall surface of the pores in the porous layer must be oxidized, and thus the single crystal structure is maintained inside the pore wall. The thickness of the oxide film is preferably several kPa to several tens of kPa, and the oxide film having such a thickness is formed by heat treatment at a temperature of 200 to 700 캜, preferably 250 to 500 캜 in an oxygen atmosphere.

(2) 수소베이킹공정(2) Hydrogen Baking Process

본 발명자들은 유럽특허공보 제 553852 A2호에, 실리콘표면을 수소분위기중에서 가열하여 해당 표면으로부터 최소조도를 제거하여, 그 결과 매우 평활한 실리콘표면을 얻을 수 있다는 것을 개시하였다. 수소분위기중에서의 베이킹도 본 발명에 적용할 수 있다. 이 수소베이킹공정은 예를 들면, 다공질실리콘층의 형성 후, 그리고 에피택셜실리콘층의 형성전에 행할 수 있고, 또 다공질실리콘층을 에칭에 의해 제거한 후 얻어진 SOI기판에 대해 행해도 된다. 에피택셜실리콘층의 형성전에 수행하는 수소베이킹공정에 있어서는, 다공질실리콘층의 표면을 구성하는 실리콘원자의 이동에 의해 구멍의 최외표면이 폐쇄되는 현상이 발생한다. 구멍의 최외표면을 폐쇄하면서 에피택셜실리콘표면의 형성을 행할 경우, 얻어진 에피택셜실리콘층은 결정결함을 거의 지니지 않는다. 다공질실리콘층을 에칭에 의해 제거한 후 수행하는 수소베이킹공정에 있어서는, 에칭의 결과 다소 울퉁불퉁한 에피택셜실리콘층의 표면이 평활하게 되어, 접착공정중에 접착면에 의해 불가피하게 흡수되는 청정실내의 붕소를 증발시킬 수 있다.The inventors have disclosed in EP 553852 A2 that the silicon surface is heated in a hydrogen atmosphere to remove the minimum roughness from the surface, resulting in a very smooth silicon surface. Baking in a hydrogen atmosphere can also be applied to the present invention. This hydrogen baking step can be carried out, for example, after the formation of the porous silicon layer and before the formation of the epitaxial silicon layer, or on the SOI substrate obtained after the porous silicon layer is removed by etching. In the hydrogen baking step performed before the formation of the epitaxial silicon layer, the phenomenon that the outermost surface of the hole is closed by the movement of silicon atoms constituting the surface of the porous silicon layer occurs. When the epitaxial silicon surface is formed while closing the outermost surface of the hole, the obtained epitaxial silicon layer has almost no crystal defects. In the hydrogen baking process performed after the porous silicon layer is removed by etching, the surface of the somewhat irregular epitaxial silicon layer is smoothed as a result of etching, and boron in the clean room inevitably absorbed by the adhesive surface during the bonding process is removed. Can be evaporated.

지금까지 본 발명의 반도체기판의 제작방법의 일례를 제1(a)도 내지 제1(f)도를 참조하면서 설명하였으나, 이하 접착되는 부재의 구조가 다른 경우의 예에 대해 설명한다.An example of a method for manufacturing a semiconductor substrate of the present invention has been described with reference to FIGS. 1A to 1F, but the following will describe an example in which the structure of the member to be bonded is different.

예 2:Example 2:

제2(a)도 내지 제2(h)도에 도시한 예에 대해 설명한다. 제2(a)도 내지 제2(h)도에 있어서 상기 제1(a)도 내지 제1(f)도와 동일한 참조부호는 대응 또는 동일한 성분을 나타낸다. 제1(a)도 내지 제1(f)도에 도시한 예에 있어서는, 접착되는 양 기판의 각각의 면상에 절연층(SiO2층)(103),(104)을 형성하였으나, 양면에 절연층(예를 들면 SiO2)을 반드시 형성할 필요는 없고, 적어도 한면에만 형성하면 된다. 이 예에서는, 다공질실리콘층(200)상에 퇴적된 에피택셜실리콘층(1102)(제2(c)도)의 표면을, 실리콘기판(1110)상에 형성된 절연막(1104)(예를 들면 산화막)의 표면과 접착시킨 구조(제2(d)도) 및 에피택셜실리콘층(1102)상에 형성된 절연막(1103)(제2(f)도)(예를 들면 열산화에 의해 형성된 산화막)의 표면을, 표면이 산화되지 않은 실리콘기판(1110)에 접착시킨 구조(제2(g)도)가 표시되어 있다. 이 예에 있어서, 기타 공정은 제1도 내지 제1(f)도에 도시한 바와 같은 방식으로 행할 수 있다.The example shown to FIG. 2 (a)-2 (h) is demonstrated. In Figs. 2 (a) to 2 (h), the same reference numerals as those of the above-mentioned 1 (a) to 1 (f) denote corresponding or identical components. In the examples shown in FIGS. 1 (a) to 1 (f), insulating layers (SiO 2 layers) 103 and 104 were formed on respective surfaces of both substrates to be bonded, but insulation was performed on both surfaces. It is not necessary to necessarily form a layer (for example, SiO 2 ), but may be formed only on at least one surface. In this example, the insulating film 1104 (for example, an oxide film) formed on the silicon substrate 1110 is formed on the surface of the epitaxial silicon layer 1102 (second (c)) deposited on the porous silicon layer 200. Structure (second (d)) and an insulating film 1103 (second (f)) (for example, an oxide film formed by thermal oxidation) formed on the epitaxial silicon layer 1102 The structure (second (g) diagram) in which the surface is bonded to the silicon substrate 1110 whose surface is not oxidized is shown. In this example, other processes can be performed in the manner as shown in FIGS. 1 to 1 (f).

예 3:Example 3:

제3(a)도 내지 제3(h)도에 도시된 예에 대해 설명한다. 제3(a)도 내지 제3(h)도에 있어서 상기 제1(a)도 내지 제1(f)와 동일한 참조부호는 대응 또는 동일한 성분을 나타낸다. 이 예의 특징은 에피택셜실리콘막(200)이 형성된 기판(100)(제3(c)도 및 제3(f)도)에 접작시키고자 하는 기판(1210)(제3(d)도 및 제3(g)도)으로서 석영유리 또는 녹색유리 등의 유리재료를 사용한 점에 있다. 이 예에 있어서는, 유리기판(1210)에 에피택셜실리콘층(1102)(제3(c)도)을 접착시키는 모드(제3(d)도)와, 에피택셜실리콘층(1102)상에 형성된 절연막(1103)(예를 들면, 열산화에 의해 얻어진 산화막)을 유리기판(1210)에 접착시키는 모드(제3(f)도)가 표시되어 있다. 이 예에 있어서 기타 공정은 제1(a)도 내지 제1(f)도에 도시한 바와 같은 방식으로 행할 수 있다.The example shown in FIG. 3 (a)-FIG. 3 (h) is demonstrated. In Figs. 3 (a) to 3 (h), the same reference numerals as those of the above-mentioned 1 (a) to 1 (f) denote corresponding or identical components. The characteristic of this example is that the substrate 1210 (third (d) and third (d) to contact the substrate 100 (third (c) and third (f)) on which the epitaxial silicon film 200 is formed. 3 (g)) is used for glass materials, such as quartz glass or green glass. In this example, a mode (FIG. 3 (d)) for adhering the epitaxial silicon layer 1102 (FIG. 3 (c)) to the glass substrate 1210 is formed on the epitaxial silicon layer 1102. A mode (figure 3 (f)) in which the insulating film 1103 (for example, an oxide film obtained by thermal oxidation) is adhered to the glass substrate 1210 is shown. In this example, other processes can be performed in the manner as shown in FIGS. 1 (a) to 1 (f).

이하, 단결정실리콘기판의 양면에 확산층을 형성하는 일례에 대해 설명한다.Hereinafter, an example of forming the diffusion layers on both surfaces of the single crystal silicon substrate will be described.

예 4:Example 4:

이 예를 제4(a)도 내지 제4(f)도 및 제5(a)도 내지 제5(f)도를 참조하면서 설명한다.This example will be described with reference to FIGS. 4A to 4F and 5A to 5F.

이 예에서는, 우선, 단결정실리콘기판(100)의 제 1표면 및 그 이면쪽에 위치된 제 2표면상에 확산층(예를 들면 +P형층)(101)을 형성한다(제4(a)도).In this example, first, a diffusion layer (for example, a + P type layer) 101 is formed on the first surface of the single crystal silicon substrate 100 and the second surface located on the rear surface thereof (FIG. 4 (a)). .

다음에 , 한쪽상의 확산층(101)을 다공질화하여 다공질층(200)을 형성한다(제4(b)도). 다공질층(200)은, 확산층(101)의 전체영역을 다공질화함으로써 형성하거나, 제4(b)도에 도시한 바와 같이 다공질화시 확산층(101)을 유지시킨 채로 형성해도 된다. 이어서, 다공질층(200)상에 단결정반도체층(102)을 형성한다(제4(c)도). 단결정반도체층(102)은 실리콘으로 형성할 수 있고, 또 Ⅱ-Ⅵ족 또는 Ⅲ-Ⅴ족 등의 화합물반도체재료로 형성하는 것도 가능하다. 단결정반도체층(102)의 표면을 베이스기판(370)에 접착한다(제4(d)도). 베이스기판(300)은, 실리콘기판(110)상에 절연층(104)을 형성함으로써 구성해도 되고, 또는 투과성유리기판단체, 비투과성절연부재단체 또는 이들의 적층체로 구성해도 된다. 간단히 말하면, 베이스기판(300)은, 단지 표면에 절연재료를 형성한 기판일 필요가 있다. 이용되는 구체적인 접착수단으로서는, 양극접착, 가압, 열처리, 또는 이들의 조합을 들 수 있다. 이와 같이 해서 접착된 구조로부터 확산층(101), 실리콘기판(100) 및 다공질층(200)을 제거한다(제4(e)도). 여기에서의 제거에는, 연마 등의 기계적방법뿐만 아니라, 에칭 등의 화학적방법을 채용할 수 있다.Next, the diffusion layer 101 on one side is made porous to form the porous layer 200 (FIG. 4 (b)). The porous layer 200 may be formed by making the entire area of the diffusion layer 101 porous, or as shown in FIG. 4 (b), may be formed while maintaining the diffusion layer 101 during the porous process. Next, the single crystal semiconductor layer 102 is formed on the porous layer 200 (FIG. 4 (c)). The single crystal semiconductor layer 102 may be formed of silicon, or may be formed of a compound semiconductor material such as group II-VI or group III-V. The surface of the single crystal semiconductor layer 102 is adhered to the base substrate 370 (FIG. 4 (d)). The base substrate 300 may be formed by forming the insulating layer 104 on the silicon substrate 110, or may be composed of a transparent glass substrate, a non-transparent insulating member, or a laminate thereof. In short, the base substrate 300 need only be a substrate having an insulating material formed on its surface. As specific bonding means to be used, anodic bonding, pressurization, heat treatment, or a combination thereof may be mentioned. In this way, the diffusion layer 101, the silicon substrate 100, and the porous layer 200 are removed from the bonded structure (FIG. 4 (e)). The removal here can employ not only mechanical methods such as polishing but also chemical methods such as etching.

제5(a)도 내지 제5(f)도에 있어서, 상기 제4(a)도 내지 제4(f)와 동일한 참조부호는 대응 또는 동일한 성분을 나타내므로, 이들에 대한 상세한 설명은 생략한다. 제5(a)도 내지 제5(f)도에 도시한 예에 있어서는 제5(d)도에 있어서, 단결정반도체층(102)상에 절연층(103)을 형성하고, 이 절연층(103)을 베이스기판(300)에 접착시키고 있는 점이 제4(a)도 내지 제4(f)도에 도시한 예와 다르다. 이 예에서는, 베이스기판(300)으로서는, 실리콘기판단체 유리기판단체 또는 이러한 기판상에 막이나 기판을 적층시킨 구조를 사용할 수 있다.In FIGS. 5 (a) to 5 (f), the same reference numerals as those of the above 4 (a) to 4 (f) denote corresponding or identical components, and thus detailed description thereof will be omitted. . In the example shown in FIG. 5 (a) to FIG. 5 (f), in FIG. 5 (d), the insulating layer 103 is formed on the single crystal semiconductor layer 102, and this insulating layer 103 ) Is bonded to the base substrate 300, which is different from the example shown in FIGS. 4 (a) to 4 (f). In this example, the base substrate 300 may be a single glass substrate or a structure in which a film or a substrate is laminated on such a substrate.

또, 이 예에서는, 제1(a)도 내지 제1(f)도를 참조해서 설명한 바와 같은 방식으로 확산층을 형성할 수 있다. 또한, 이 예에서는, 실리콘기판의 한쪽면상에 확산층을 형성한 예에서 전술한 각종 공정을 채용하는 것도 가능하다.In this example, the diffusion layer can be formed in the same manner as described with reference to the first (a) to the first (f). In this example, it is also possible to employ the above-described various processes in the example in which the diffusion layer is formed on one surface of the silicon substrate.

기판의 양면에 확산층을 형성한 이 예에 의하면, 양극화성시의 접촉저항을 감소하는 외에, 확산층형성시의 휨을 저감할 수 있는 효과를 얻을 수 있다.According to this example in which the diffusion layers are formed on both surfaces of the substrate, the contact resistance at the time of anodization can be reduced, and the warpage at the time of formation of the diffusion layer can be reduced.

이하, 본 발명의 바람직한 실시예에 대해 상세히 설명한다. 그러나, 본 발명은 이들 실시예로 한정되는 것은 아니다.Hereinafter, preferred embodiments of the present invention will be described in detail. However, the present invention is not limited to these examples.

[제 1실시예][First Embodiment]

저항무지정의 단결정Si기판을 준비하고, 이 Si기판의 제 1표면상에 확산법을 이용하여 P+고농도층을 5㎛두께로 형성하였다.A single crystal Si substrate with no resistance was specified, and a P + high concentration layer was formed on the first surface of the Si substrate by a diffusion method with a thickness of 5 탆.

확산법에 의한 P+고농도층의 형성은 다음과 같이 해서 행하였다. 즉, Si기판의 주표면에 스핀코팅법을 이용해서 B2O3가 함유된 용액을 피복한 후, 140℃에서 어닐링하여 그 용액을 증발시켰다. 이와 같이 해서 얻어진 구조를, 확산로에 노심관의 온도를 1200℃로 유지하면서 6시간 동안 놓았다. 기판상에 소위 드라이브인을 시행하여 P+고농도층을 형성하였다.Formation of the P + high concentration layer by the diffusion method was performed as follows. That is, after coating a solution containing B 2 O 3 on the main surface of the Si substrate by spin coating, the solution was annealed at 140 ° C. to evaporate the solution. The structure thus obtained was placed in the diffusion furnace for 6 hours while maintaining the temperature of the core tube at 1200 ° C. So-called drive-in was performed on the substrate to form a P + high concentration layer.

P+고농도층이 형성된 Si기판을 HF용액중에 담그고, 제 1표면을 양극화성하여, 이 제 1표면상에 다공질층을 형성하였다. 양극화성의 조건은 이하와 같았다.The Si substrate on which the P + high concentration layer was formed was immersed in an HF solution, and the first surface was anodized to form a porous layer on the first surface. The conditions for polarization were as follows.

전류밀도: 7(mA·cm-2)Current density: 7 (mAcm -2 )

양극화성용액: HF:H2O:C2H5OH=1:1:1Anodic solution: HF: H 2 O: C 2 H 5 OH = 1: 1: 1

시간: 11(min)Time: 11 (min)

다공질Si의 두께: 12(㎛)Thickness of Porous Si: 12 (㎛)

다음에, 다공질층이 형성된 기판에 산소분위기중 400℃에서 1시간의 산화처리를 행하였다. 이 산화에 의해 다공질Si층의 기공의 내벽은 열산화막으로 피복되었다. 그후, 다공질Si층상에 CVD법을 이용해서 단결정Si층을 0.2㎛두께로 에피택셜성장시켰다. 성장조건을 이하와 같이 하였다.Next, the substrate on which the porous layer was formed was subjected to oxidation treatment for 1 hour at 400 ° C. in an oxygen atmosphere. By this oxidation, the inner wall of the pores of the porous Si layer was covered with a thermal oxide film. Thereafter, a single crystal Si layer was epitaxially grown to a thickness of 0.2 mu m on the porous Si layer by the CVD method. Growth conditions were as follows.

소스가스 : SiH2Cl2/H2 Source gas: SiH 2 Cl 2 / H 2

가스유량 : 0.5/180(ℓ/min)Gas flow rate: 0.5 / 180 (ℓ / min)

가스압력 : 80(Torr)Gas Pressure: 80 (Torr)

온도 : 950(℃)Temperature: 950 (℃)

성장속도 : 0.3(㎛/min)Growth rate: 0.3 (㎛ / min)

이어서, 이 에피택셜Si층의 표면에 열산화에 의해 50nm의 SiO2층을 형성하였다.Subsequently, a 50 nm SiO 2 layer was formed on the surface of this epitaxial Si layer by thermal oxidation.

상기 SiO2층의 표면과, 500nm의 SiO2층을 형성한 제 2의 Si기판의 표면을 정확하게 중합(즉, 중첩)하여 접촉시켜 얻은 구조를 900℃에서 2시간 동안 열처리하여 접착시켰다. 그 결과, 접착기판을 얻을 수 있었다.The SiO surface, polymerized exactly the surface of the Si substrate of a second form an SiO 2 layer of 500nm of the second layer (i.e., the overlap) by contacting bonded by heat treatment for 2 hours, the structure obtained in 900 ℃. As a result, an adhesive substrate was obtained.

다음에, 접착기판의 P+층이 형성되어 있는 표면에 연마를 실시해서 P+층 및 비다공질단결정Si영역을 제거하여, 다공질Si층을 전면에 노출시켰다.Next, the P + layer of the adhesive substrate was polished to remove the P + layer and the non-porous single crystal Si region, thereby exposing the porous Si layer to the entire surface.

다음에, 노출된 다공질Si층을 49%불화수소산과 30%과산화수소수와의 혼합액을 사용해서 선택에칭하였다. 이 때 단결정Si의 에칭은 일어나지 않아, 단결정Si층을 에칭스토퍼로서 사용하면서, 다공질Si층은 선택에칭에 의해 완전히 제거되었다.The exposed porous Si layer was then selectively etched using a mixture of 49% hydrofluoric acid and 30% hydrogen peroxide solution. At this time, etching of single crystal Si did not occur, and the porous Si layer was completely removed by selective etching while using the single crystal Si layer as an etching stopper.

비다공질Si단결정의 상기 에칭액에 대한 에칭속도는 극히 낮아, 이 속도의 다공질층의 선택에칭속도와의 비는 10의 5승이상에 달하여, 비다공질층에 시행되는 에칭량은 실용상 무시할 수 있을 정도로 적었다(수nm).The etching rate of the non-porous Si single crystal with respect to the etching solution is extremely low, and the ratio of the selective etching rate of the porous layer at this rate reaches a power of 10 or more, so that the amount of etching applied to the nonporous layer can be negligible in practical use. It was small enough (a few nm).

이러한 일련의 공정에 의해, Si산화막상에 0.2㎛두께의 단결정Si층이 형성되어, 소위 SOI기판을 얻을 수 있었다. 이 SOI기판의 단면을 투과전자현미경을 이용해서 관찰한 바, 단결정Si층에는 새로운 결정결함은 도입되지 않고, 양호한 결정구조가 유지되고 있는 것이 확인되었다.Through this series of steps, a 0.2 탆 thick single crystal Si layer was formed on the Si oxide film, so that a so-called SOI substrate was obtained. The cross section of this SOI substrate was observed using a transmission electron microscope, and it was confirmed that no new crystal defects were introduced into the single crystal Si layer, and a good crystal structure was maintained.

[제 2실시예]Second Embodiment

Si기판의 표면 및 이면상에 B2O3의 스핀코팅막을 퇴적하고 확산층을 형성하였다. SOI기판의 제작방법은 제 1실시예와 마찬가지로 행하였다. 이와 같이 해서 얻어진 SOI기판을 제 1실시예에서와 마찬가지로 관찰한 바, 단결정Si박막의 품질은 양호하였고, 결정결함은 극히 적은 것이 확인되었다.A spin coating film of B 2 O 3 was deposited on the front and back surfaces of the Si substrate to form a diffusion layer. The fabrication method of the SOI substrate was performed in the same manner as in the first embodiment. The SOI substrate thus obtained was observed in the same manner as in the first embodiment, and it was confirmed that the quality of the single crystal Si thin film was good and the crystal defects were extremely small.

[제 3실시예]Third Embodiment

B2O3에 유기바인더와 용매를 첨가하여 얻은 페이스트를 이용해서 Si기판상에 스핀코팅막을 형성하고, 이 Si기판 10매를 확산로에 배치하여 그위에 확산영역을 형성하였다. 기판의 매수를 제외하고, 제 1실시예의 SOI기판의 제작과 동일한 공정을 행하였다.Using a paste obtained by adding an organic binder and a solvent to B 2 O 3 , a spin coating film was formed on a Si substrate, and ten Si substrates were placed in a diffusion furnace to form a diffusion region thereon. Except for the number of substrates, the same process as the fabrication of the SOI substrate of the first embodiment was performed.

본 실시예에서는, 인접 실리콘기판상으로의 B2O3막의 기상확산에 의해 실리콘기판의 양면에 확산층을 형성하였다. 본 실시예에서 얻어진 SOI기판도 양호한 품질을 지님과 동시에 결정결함은 극히 적은 것이 확인되었다.In this embodiment, diffusion layers are formed on both sides of the silicon substrate by vapor phase diffusion of the B 2 O 3 film onto the adjacent silicon substrate. It was confirmed that the SOI substrate obtained in this example also had good quality and very few crystal defects.

[제 4실시예]Fourth Embodiment

저항무지정의 단결정Si기판을 준비하고, 이 Si기판의 제 1표면 및 이면상에 확산법을 이용하여 P+고농도층을 5㎛두께로 형성하였다.A single crystal Si substrate with no resistance was specified, and a P + high concentration layer was formed on the first and back surfaces of the Si substrate by a diffusion method with a thickness of 5 탆.

확산법에 의한 P+고농도층의 형성은 다음과 같이 해서 행하였다. 즉, Si기판을 노심관내에 놓고, BBr3를 함유한 액체확산원에 N2가스를 도입해서 버블링을 행하여, 기화한 재료를 캐리어가스(N2+O2)와 함께 노심관내에 도입하였다. 노심관의 온도를 1050℃에서 1시간 유지 함으로써 B2O3층을 형성한 후, 그 노심관의 온도를 1200℃로 유지하여, 기판상에 소위 드라이브인을 시행하여 P+고농도층을 형성하였다.Formation of the P + high concentration layer by the diffusion method was performed as follows. That is, the Si substrate was placed in the core tube, and N 2 gas was introduced into the liquid diffusion source containing BBr 3 to bubbling, and the vaporized material was introduced into the core tube together with the carrier gas (N 2 + O 2 ). . After maintaining the temperature of the core tube at 1050 ° C. for 1 hour to form a B 2 O 3 layer, the temperature of the core tube was maintained at 1200 ° C., so-called drive-in was performed on the substrate to form a P + high concentration layer. .

P+고농도층이 형성된 Si기판을 HF용액중에 담그고, 제 1표면을 양극화성하여, 이 제 1표면상에 다공질층을 형성하였다. 양극화성의 조건을 이하와 같이 하였다.The Si substrate on which the P + high concentration layer was formed was immersed in an HF solution, and the first surface was anodized to form a porous layer on the first surface. The conditions for polarization were as follows.

전류밀도 : 7(mA·cm-2)Current density: 7 (mAcm -2 )

양극화성용액 : HF:H2O:C2H5OH=1:1:1Anodic solution: HF: H 2 O: C 2 H 5 OH = 1: 1: 1

시간 : 11(min)Time: 11 (min)

다공질Si의 두께 : 12(㎛)Thickness of Porous Si: 12 (㎛)

다음에, 다공질층이 형성된 기판에 산소분위기중 400℃에서 1시간의 산화처리를 행하였다. 이 산화에 의해 다공질Si층의 기공의 내벽은 열산화막으로 피복되었다. 그후, 다공질Si층상에 CVD법을 이용해서 단결정Si층을 0.2㎛두께로 에피택셜성장시켰다. 성장조건을 이하와 같이 하였다.Next, the substrate on which the porous layer was formed was subjected to oxidation treatment for 1 hour at 400 ° C. in an oxygen atmosphere. By this oxidation, the inner wall of the pores of the porous Si layer was covered with a thermal oxide film. Thereafter, a single crystal Si layer was epitaxially grown to a thickness of 0.2 mu m on the porous Si layer by the CVD method. Growth conditions were as follows.

소스가스 : SiH2Cl2/H2 Source gas: SiH 2 Cl 2 / H 2

가스유량 : 0.5/180(ℓ/min)Gas flow rate: 0.5 / 180 (ℓ / min)

가스압력 : 80(Torr)Gas Pressure: 80 (Torr)

온도 : 950(℃)Temperature: 950 (℃)

성장속도 : 0.3(㎛/min)Growth rate: 0.3 (㎛ / min)

이어서 , 이 에피택셜Si층의 표면에 열산화에 의해 50nm의 SiO2층을 형성하였다.Subsequently, a 50 nm SiO 2 layer was formed on the surface of this epitaxial Si layer by thermal oxidation.

상기 SiO2층의 표면과, 500nm의 SiO2층을 형성한 제 2의 Si기판의 표면을 정확하게 중합(즉, 중첩)하여 접촉시켜 얻은 구조를 900℃, 2시간 동안 열처리하여 접착시켰다. 그 결과, 접착기판을 얻을 수 있었다.And a surface of the SiO 2 layer, by contacting the polymerization exactly the surface of the Si substrate of the second to form a SiO 2 layer of 500nm (i.e., overlap) was adhered by heating the structure obtained during the 900 ℃, 2 hours. As a result, an adhesive substrate was obtained.

다음에, 접착기판의 P+층이 형성되어 있는 표면에 연마를 실시해서 P+층 및 비다공질단결정Si영역을 제거하여, 다공질Si층을 전면에 노출시켰다.Next, the P + layer of the adhesive substrate was polished to remove the P + layer and the non-porous single crystal Si region, thereby exposing the porous Si layer to the entire surface.

다음에, 노출된 다공질Si층을 49%불화수소산과 30%과산화수소와의 혼합액을 이용해서 선택애칭하였다. 이 때 단결정Si의 에칭은 일어나지 않아, 단결정Si층을 에칭스토퍼로서 사용하면서, 다공질Si층은 선택에칭에 의해 완전히 제거되었다.Next, the exposed porous Si layer was selectively etched using a mixture of 49% hydrofluoric acid and 30% hydrogen peroxide. At this time, etching of single crystal Si did not occur, and the porous Si layer was completely removed by selective etching while using the single crystal Si layer as an etching stopper.

비다공질Si단결정의 상기 에칭액에 대한 에칭속도는 극히 낮아, 이 속도의 다공질층의 선택에칭속도와의 비는 10의 5승이상에 달하여, 비다공질층에 시행되는 에칭량은 실용상 무시할 수 있을 정도로 적었다(수nm).The etching rate of the non-porous Si single crystal with respect to the etching solution is extremely low, and the ratio of the selective etching rate of the porous layer at this rate reaches a power of 10 or more, so that the amount of etching applied to the nonporous layer can be negligible in practical use. It was as small as several nm.

이러한 일련의 공정에 의해, Si산화막상에 0.2㎛두께의 단결정Si층이 형성되어, 소위 SOI기판을 얻을 수 있었다. 이 SOI기판의 단면을 투과전자현미경을 이용해서 관찰한 바, 단결정Si층에는 새로운 결정결함은 도입되지 않고, 양호한 결정구조가 유지되고 있는 것이 확인되었다.Through this series of steps, a 0.2 탆 thick single crystal Si layer was formed on the Si oxide film, so that a so-called SOI substrate was obtained. The cross section of this SOI substrate was observed using a transmission electron microscope, and it was confirmed that no new crystal defects were introduced into the single crystal Si layer, and a good crystal structure was maintained.

본 실시예의 경우, P+층을 기판의 양면에 형성하였으므로, 다공질층의 형성시의 접촉저항을 감소시킬 수 있는 동시에, P+층형성에 수반되는 휨도 저감시킬 수 있었다. 그 결과, 극히 안정하게 기판을 접착시켜 SOI기판을 형성할 수 있었다.In this embodiment, since the P + layers were formed on both sides of the substrate, the contact resistance at the time of forming the porous layer was reduced, and the warpage accompanying the P + layer formation was also reduced. As a result, the SOI substrate was able to be formed by bonding the substrate with extremely stable.

[제 5실시예][Example 5]

본 실시예에서는, 하기 (i)∼(iii)에 열거한 변화된 조건을 제외하고, 제 4실시예와 마찬가지 방법으로 반도체기판의 제작공정을 행하였다.In this embodiment, except for the changed conditions listed in (i) to (iii) below, a semiconductor substrate was fabricated in the same manner as in the fourth embodiment.

(ⅰ) 양극화성조건을 이하와 같이 하였다.(Iii) The polarization conditions were as follows.

전류밀도 : 5(mA·cm-2)Current density: 5 (mAcm -2 )

양극화성용액 : HF:H2O:C2H5OH=1:1:1Anodic solution: HF: H 2 O: C 2 H 5 OH = 1: 1: 1

시간 : 12(min)Time: 12 (min)

다공질Si의 두께 : 10(㎛)Thickness of Porous Si: 10 (㎛)

(ⅱ) 다공질Si층상에 MOCVD(Metal Organic Chemical Vapor Deposition)법을 이용해서 이하의 성장조건에 의해 단결정GaAs층을 l㎛두께로 에피택셜성장시켰다.(Ii) A single crystal GaAs layer was epitaxially grown to l mu m thickness on the porous Si layer by the MOCVD (Metal Organic Chemical Vapor Deposition) method under the following growth conditions.

소스가스 : TMG/AsH3//H2 Source gas: TMG / AsH 3 // H 2

가스압력 : 80(Torr)Gas Pressure: 80 (Torr)

온도 : 700(℃)Temperature: 700 (℃)

(ⅲ) GaAs층의 표면과 SiO2층을 500nm형성한 다른 Si기판의 표면을 정확하게 중합하여 접촉시켜 얻는 구조를 700℃, 2시간 동안 열처리하여 접착시켰다.(Iii) A structure obtained by precisely polymerizing and contacting the surface of the GaAs layer and the surface of another Si substrate on which the SiO 2 layer was formed with 500 nm was bonded by heat treatment at 700 ° C. for 2 hours.

이와 같이 해서, Si산화막상에 1㎛두께의 단결정GaAs층을 형성한 기판을 얻을 수 있었다. 얻어진 기판의 단면을 투과전자현미경을 이용해서 관찰한 바, 단결정GaAs층에는 새로운 결정결함은 도입되지 않고, 양호한 결정구조가 유지되고 있는 것이 확인되었다.Thus, the board | substrate with which the single-crystal GaAs layer of 1 micrometer thickness was formed on the Si oxide film was obtained. When the cross section of the obtained substrate was observed using a transmission electron microscope, new crystal defects were not introduced into the single crystal GaAs layer, and it was confirmed that a good crystal structure was maintained.

본 실시예의 경우에도, P+층의 형성에 수반되는 휨을 감소시킬 수 있어, 극히 안정하게 SOI기판을 제작할 수 있었다.Also in this embodiment, the warpage accompanying the formation of the P + layer can be reduced, and the SOI substrate can be produced extremely stably.

[제 6실시예][Sixth Embodiment]

본 실시예에서는, 하기 (ⅰ) 및 (ⅱ)에 열거한 변화된 조건을 제외하고, 제4실시예와 마찬가지 방법으로 반도체기판의 제작공정을 행하였다.In this embodiment, except for the changed conditions listed in the following (i) and (ii), the semiconductor substrate was fabricated in the same manner as in the fourth embodiment.

(ⅰ) 양극화성조건을 이하와 같이 하였다.(Iii) The polarization conditions were as follows.

전류밀도 : 5(mA·cm-2)Current density: 5 (mAcm -2 )

양극화성용액 : HF:H2O:C2H5OH=1:1:1Anodic solution: HF: H 2 O: C 2 H 5 OH = 1: 1: 1

시간 : 12(min)Time: 12 (min)

다공질Si의 두께 : 10(㎛)Thickness of Porous Si: 10 (㎛)

(ⅱ) 다공질Si층상에 CVD법을 이용해서 이하의 성장조건에 의해 단결정Si층을 0.2㎛두께로 에피택셜성장시켰다.(Ii) A single crystal Si layer was epitaxially grown to a thickness of 0.2 탆 on the porous Si layer by the CVD method under the following growth conditions.

소스가스 : SiH2Cl2/H2 Source gas: SiH 2 Cl 2 / H 2

가스유량 : 0.25/230(ℓ/min)Gas flow rate: 0.25 / 230 (ℓ / min)

가스압력 : 760(Torr)Gas Pressure: 760 (Torr)

온도 : 1040(℃)Temperature: 1040 (℃)

성장속도 : 0.14(㎛/min)Growth rate: 0.14 (㎛ / min)

Si산화막상에 0.2㎛두께의 단결정Si층을 형성한 SOI기판을 얻었다.An SOI substrate having a 0.2 탆 thick single crystal Si layer formed on an Si oxide film was obtained.

이와 같이 해서 얻어진 기판의 단면을 투과전자현미경을 이용해서 관찰한 바, 단결정Si층에는 새로운 결정결함은 도입되지 않고, 양호한 결정구조가 유지되고 있는 것이 확인되었다.The cross section of the substrate thus obtained was observed using a transmission electron microscope, and it was confirmed that no new crystal defects were introduced into the single crystal Si layer, and a good crystal structure was maintained.

[제 7실시예][Seventh Embodiment]

하기 (ⅰ) 내지 (ⅳ)에 열거한 변화된 조건을 제외하고, 제 4실시예와 마찬가지 방법으로 반도체기판의 제작공정을 행하였다.A semiconductor substrate was fabricated in the same manner as in the fourth embodiment except for the changed conditions listed in (i) to (iv) below.

(ⅰ) 확산법에 의해 형성한 P+고농도층의 두께를 10㎛로 하였다.(Iii) The thickness of the P + high concentration layer formed by the diffusion method was 10 µm.

(ⅱ) 양극화성조건을 이하와 같이 하였다.(Ii) The polarization conditions were as follows.

전류밀도 : 5(mA·cm-2)Current density: 5 (mAcm -2 )

양극화성용액 : HF:H2O:C2H5OH=1:1:1Anodic solution: HF: H 2 O: C 2 H 5 OH = 1: 1: 1

시간 : 12(min)Time: 12 (min)

다공질Si의 두께 : 10(㎛)Thickness of Porous Si: 10 (㎛)

(ⅲ) 다공질Si층상에 CVD법을 이용해서 이하의 성장조건에 의해 단결정Si층을 0.2㎛두께로 에피택셜성장시켰다.(Iii) A single crystal Si layer was epitaxially grown to a thickness of 0.2 탆 on the porous Si layer by the CVD method under the following growth conditions.

소스가스 : SiH2Cl2/H2 Source gas: SiH 2 Cl 2 / H 2

가스유량 : 0.4/230(ℓ/min)Gas flow rate: 0.4 / 230 (ℓ / min)

가스압력 : 80(Torr)Gas Pressure: 80 (Torr)

온도 : 900(℃)Temperature: 900 (℃)

성장속도 : 0.13(㎛/min)Growth rate: 0.13 (㎛ / min)

(ⅳ)에피택셜Si층의 표면에 열산화에 의해 50nm의 SiO2층을 형성한 후, 해당 SiO2층표면과 별도로 준비한 석영기판의 표면을 중합하여, 박막화와 열처리(최고 온도 400℃)를 교호로 행하여 이들 양 기판을 접착하였다.(Iii) A 50 nm SiO 2 layer is formed on the surface of the epitaxial Si layer by thermal oxidation, and then the surface of the quartz substrate prepared separately from the surface of the SiO 2 layer is polymerized to form a thin film and heat treatment (maximum temperature 400 ° C.). Alternately, these substrates were bonded together.

이와 같이 해서, Si산화막상에 0.2㎛의 단결정Si층을 형성한 반도체기판을 얻을 수 있었다.In this manner, a semiconductor substrate having a 0.2 탆 single crystal Si layer formed on the Si oxide film was obtained.

얻어진 기판의 단면을 투과전자현미경을 이용해서 관찰한 바, 단결정Si층에는 새로운 결정결함은 도입되지 않고, 양호한 결정구조가 유지되고 있는 것이 확인되었다.When the cross section of the obtained substrate was observed using a transmission electron microscope, new crystal defects were not introduced into the single crystal Si layer, and it was confirmed that a good crystal structure was maintained.

[제 8실시예][Eighth Embodiment]

GaAs층의 표면과 별도로 준비한 석영기판의 표면을 중합시켜 박막화와 열처리(최고온도 400℃)를 교호로 행하여 양 기판을 접착시킨 것을 제외하고, 제 5실시예와 마찬가지 방식으로 반도체기판의 제작공정을 행하였다. 본 실시예에서는 제 7실시예와 마찬가지로, 우수한 결정성의 반도체 층을 형성한 기판을 얻을 수 있었다.The process of fabricating the semiconductor substrate was carried out in the same manner as in the fifth embodiment except that the surface of the quartz substrate prepared separately from the surface of the GaAs layer was polymerized to alternately thin and heat-treat (400 ° C.) to bond the two substrates together. It was done. In this embodiment, as in the seventh embodiment, a substrate on which a semiconductor layer having excellent crystallinity was formed was obtained.

[제 9실시예][Example 9]

본 실시예에서는, 하기 (ⅰ) 및 (ⅱ)에 열거한 변화된 조건을 제외하고, 제 4실시예와 마찬가지 방법으로 반도체기판의 제작공정을 행하였다.In this embodiment, except for the changed conditions listed in the following (i) and (ii), the semiconductor substrate was fabricated in the same manner as in the fourth embodiment.

(ⅰ) 양극화성조건을 이하와 같이 하였다.(Iii) The polarization conditions were as follows.

전류밀도 : 5(mA·cm-2)Current density: 5 (mAcm -2 )

양극화성용액 : HF:H2O:C2H5OH=1:1:1Anodic solution: HF: H 2 O: C 2 H 5 OH = 1: 1: 1

시간 : 12(min)Time: 12 (min)

다공질Si의 두께 : 10(㎛)Thickness of Porous Si: 10 (㎛)

(ⅱ) 에피택셜Si층의 표면에 열산화에 의해 50nm의 SiO2층을 형성한 후, 해당 SiO2층표면과 별도로 준비한 석영기판의 표면을 중합하여, 박막화와 열처리(최고 온도 400℃)를 교호로 행하여 이들 양기판을 접착하였다.(Ii) A 50 nm SiO 2 layer is formed on the surface of the epitaxial Si layer by thermal oxidation, and then the surface of the quartz substrate prepared separately from the surface of the SiO 2 layer is polymerized to form a thin film and heat treatment (maximum temperature 400 ° C.). Alternately, these two substrates were bonded together.

이와 같이 해서, Si산화막상에 0.2㎛의 단결정Si층을 형성한 반도체기판을 얻을 수 있었다.In this manner, a semiconductor substrate having a 0.2 탆 single crystal Si layer formed on the Si oxide film was obtained.

얻어진 기판의 단면을 투과전자현미경을 이용해서 관찰한 바, 단결정Si층에는 새로운 결정결함은 도입되지 않고, 양호한 결정구조가 유지되고 있는 것이 확인되었다.When the cross section of the obtained substrate was observed using a transmission electron microscope, new crystal defects were not introduced into the single crystal Si layer, and it was confirmed that a good crystal structure was maintained.

[제 10실시예][Example 10]

하기 (ⅰ) 내지 (ⅲ)에 열겨한 변화된 조건을 제외하고 제 4실시예와 마찬가지 방법으로 반도체기판의 제작공정을 행하였다.A semiconductor substrate was fabricated in the same manner as in the fourth embodiment except for the changed conditions opened in the following (i) to (iv).

(ⅰ) 재생단결정Si기판을 이용하였다.(Iii) A regenerated single crystal Si substrate was used.

(ⅱ) 확산법에 의해 형성한 P+고농도층의 두께를 10㎛로 하였다.(Ii) The thickness of the P + high concentration layer formed by the diffusion method was 10 µm.

(ⅲ) 다공질Si층상에 CVD법을 이용해서 이하의 조건에 의해 단결정Si층을 0.2㎛의 두께로 에피택셜성장시켰다.(Iii) A single crystal Si layer was epitaxially grown to a thickness of 0.2 mu m on the porous Si layer by the CVD method under the following conditions.

소스가스 : SiH2Cl2/H2 Source gas: SiH 2 Cl 2 / H 2

가스유량 : 0.25/230(ℓ/min)Gas flow rate: 0.25 / 230 (ℓ / min)

가스압력 : 760(Torr)Gas Pressure: 760 (Torr)

온도 : 1040(℃)Temperature: 1040 (℃)

성장속도 : 0.14(㎛/min)Growth rate: 0.14 (㎛ / min)

본 실시예에서는, 제 4실시예와 마찬가지로, 우수한 결정성의 반도체층을 형성한 기판을 얻을 수 있었다.In this embodiment, similarly to the fourth embodiment, a substrate on which an excellent crystalline semiconductor layer was formed was obtained.

[제 11실시예][Eleventh embodiment]

재생단결정Si기판을 이용해서, 이것에 P+고농도층을 형성한 것을 제외하고, 제 5실시예와 마찬가지 방법으로 반도체기판의 제작공정을 행하였다. 본 실시예에서는 제 5실시예와 마찬가지로, 우수한 결정성의 반도체 층을 형성한 기판을 얻을 수 있었다.A semiconductor substrate was fabricated in the same manner as in the fifth embodiment except that a P + high concentration layer was formed thereon using a regenerated single crystal Si substrate. In this embodiment, as in the fifth embodiment, a substrate on which an excellent crystalline semiconductor layer was formed was obtained.

[제 12실시예][Twelfth Example]

재생단결정Si기판을 이용해서, 이것에 P+고농도층을 형성한 것을 제외하고, 제 6실시예와 마찬가지 방법으로 반도체기판의 제작공정을 행하였다. 본 실시예에서는 제 6실시예와 마찬가지로, 우수한 결정성의 반도체층을 형성한 기판을 얻을 수 있었다.A semiconductor substrate was manufactured in the same manner as in the sixth embodiment except that a P + high concentration layer was formed thereon using a regenerated single crystal Si substrate. In this embodiment, as in the sixth embodiment, a substrate on which an excellent crystalline semiconductor layer was formed was obtained.

[제 13실시예][Thirteenth Embodiment]

재생단결정Si기판을 이용해서, 이것에 P+고농도층을 형성한 것을 제외하고, 제 9실시예와 마찬가지 방법으로 반도체기판의 제작공정을 행하였다. 본 실시예에서는 제 9실시예와 마찬가지로, 우수한 결정성의 반도체층을 형성한 기판을 얻을 수 있었다.A semiconductor substrate was fabricated in the same manner as in the ninth embodiment except that a P + high concentration layer was formed thereon using a regenerated single crystal Si substrate. In this embodiment, as in the ninth embodiment, a substrate on which an excellent crystalline semiconductor layer was formed was obtained.

[제 14실시예][Example 14]

재생단결정Si기판을 이용해서, 이것에 P+고농도층을 형성한 것을 제외하고, 제 8실시예와 마찬가지 방법으로 반도체기판의 제작공정을 행하였다. 본 실시예에서는 제 8실시예와 마찬가지로, 우수한 결정성의 반도체층을 형성한 기판을 얻을 수 있었다.A semiconductor substrate was fabricated in the same manner as in the eighth embodiment except that a P + high concentration layer was formed thereon using a regenerated single crystal Si substrate. In this embodiment, similarly to the eighth embodiment, a substrate on which an excellent crystalline semiconductor layer was formed was obtained.

[제 15실시예][Example 15]

다공질Si층상에 CVD법에 의해 단결정Si층을 형성하는 조건을 이하와 같이 하였다.The conditions for forming a single crystal Si layer on the porous Si layer by CVD were as follows.

소스가스 : SiH2Cl2/H2 Source gas: SiH 2 Cl 2 / H 2

가스유량 : 0.25/230(ℓ/min)Gas flow rate: 0.25 / 230 (ℓ / min)

가스압력 : 760(Torr)Gas Pressure: 760 (Torr)

온도 : 1040(℃)Temperature: 1040 (℃)

성장속도 : 0.14(㎛/min)Growth rate: 0.14 (㎛ / min)

이들 조건을 제외하고, 제 13실시예와 마찬가지 방법으로 반도체기판의 제작공정을 행하였다. 본 실시예에서는, 제13실시예와 마찬가지로, 우수한 결정성의 반도체층을 형성한 기판을 얻을 수 있었다.Except for these conditions, the semiconductor substrate was fabricated in the same manner as in the thirteenth embodiment. In this embodiment, as in the thirteenth embodiment, a substrate on which a semiconductor layer having excellent crystallinity was formed was obtained.

[제 16실시예][Example 16]

에피택셜Si층의 표면상에 SiO2층을 형성하는 대신에, 에피택셜Si층을 SiO2층이 형성된 다른 Si기판에 접착시킨 것을 제외하고, 제 4실시예와 마찬가지 방법으로 SOI기판의 제작공정을 행하였다. 본 실시예에서도 우수한 결정성의 SOI기판을 얻을 수 있다.Instead of forming an SiO 2 layer on the surface of the epitaxial Si layer, a process for producing an SOI substrate in the same manner as in the fourth embodiment, except that the epitaxial Si layer was bonded to another Si substrate on which the SiO 2 layer was formed. Was performed. In this embodiment as well, an excellent crystalline SOI substrate can be obtained.

[제 17실시예][Example 17]

에피택셜Si층을 열산화하여 형성한 SiO2층이 형성되지 않은 다른 Si기판에 접착한 것을 제외하고, 제 4실시예와 마찬가지 방법으로 SOI기판의 제작공정을 행하였다. 본 실시예에서도 우수한 결정성의 SOI기판을 얻을 수 있었다.The SOI substrate was fabricated in the same manner as in the fourth embodiment except that the epitaxial Si layer was thermally oxidized to another Si substrate on which no SiO 2 layer was formed. Also in this example, an excellent crystalline SOI substrate was obtained.

[제 18실시예][Example 18]

(1) 우선, 제 1실시예에 도시한 방법을 이용해서 실리콘웨이퍼에 P+확산층을 형성하였다.(1) First, a P + diffusion layer was formed on a silicon wafer using the method shown in the first embodiment.

(2) 49%HF 및 에틸알콜을 2:1로 혼합한 용액중에, 상기 실리콘웨이퍼를 양극으로 하고, 상기 실리콘웨이퍼와 대향해서 직경 5인치의 백금원반을 음극으로 하였다. 실리콘웨이퍼의 뒷면은 피복하여 상기 용액을 통한 백금으로의 통전을 방지하는 한편, 실리콘웨이퍼의 측면 및 단부면도 도금하여, 표면의 전체는 상기 용액을 통해 백금과 통전되었다. 실리콘웨이퍼와 백금사이에 10mA/㎠의 전류밀도로 9분간 전류를 인가하여 실리콘웨이퍼를 양극화성해서 12㎛두께의 다공질실리콘층을 표면층상에 형성하였다. 다공질층이 형성된 웨이퍼를 용액으로부터 꺼내어 다공률 측정을 행한 바, 다공률은 약 20%였다.(2) In a solution in which 49% HF and ethyl alcohol were mixed at 2: 1, the silicon wafer was used as an anode, and a platinum disk having a diameter of 5 inches was used as the cathode, facing the silicon wafer. The back side of the silicon wafer was coated to prevent energization of platinum through the solution, while the side and end faces of the silicon wafer were also plated, so that the entire surface was energized with platinum through the solution. An electric current was applied for 9 minutes at a current density of 10 mA / cm 2 between the silicon wafer and platinum to anodicize the silicon wafer to form a porous silicon layer having a thickness of 12 μm on the surface layer. The porosity was about 20% when the wafer on which the porous layer was formed was taken out of the solution and the porosity was measured.

(3) 이어서, 다공질실리콘층이 형성된 웨이퍼를 산소분위기중에서 400℃로 1시간동안 산화처리하였다. 이러한 산화처리동안 단지 약 50Å이하의 산화막이 형성되었으므로, 실리콘산화막은 다공질실리콘층의 표면 및 기공의 측벽에만 형성되었고 내부에는 단결정실리콘영역이 유지되었다.(3) Subsequently, the wafer on which the porous silicon layer was formed was oxidized for 1 hour at 400 ° C. in an oxygen atmosphere. Since only about 50 GPa of oxide films were formed during this oxidation treatment, the silicon oxide film was formed only on the surface of the porous silicon layer and the sidewalls of the pores, and the single crystal silicon region was maintained inside.

(4) 상기 웨이퍼를 30초간 묽은 1.25%HF수용액에 담그고, 수세하여, 다공질 표면에 형성된 초박형의 실리콘산화막을 제거하였다.(4) The wafer was immersed in dilute 1.25% HF aqueous solution for 30 seconds and washed with water to remove the ultra-thin silicon oxide film formed on the porous surface.

(5) 상기 웨이퍼를 CYD성장로에 놓고, 아래와 같은 조건하에서 계속해서 열처리하였다.(5) The wafer was placed in a CYD growth furnace, and subsequently heat treated under the following conditions.

(a)온도 : 1120℃(a) Temperature: 1120 ℃

압력 : 80TorrPressure: 80Torr

가스 : H2, 230(ℓ/min)Gas: H2, 230 (ℓ / min)

시간 : 7.5분Time: 7.5 minutes

(b) 온도 : 900℃(b) Temperature: 900 ℃

압력 : 80TorrPressure: 80Torr

가스 : H2/SiH2Cl2;230(ℓ/min)Gas: H 2 / SiH 2 Cl 2 ; 230 (ℓ / min)

상기 처리에 의해, 약 0.29㎛의 단결정실리콘층을 형성하였다.By the above treatment, a single crystal silicon layer of about 0.29 mu m was formed.

(6) 또 상기 웨이퍼를 산소 및 수소의 혼합분위기중에 노출시켜 단결정실리콘층을 산화처리해서 200nm두께의 실리콘산화막을 형성하였다.(6) The wafer was exposed in a mixed atmosphere of oxygen and hydrogen to oxidize the single crystal silicon layer to form a 200 nm thick silicon oxide film.

(7) 상기 웨이퍼 및 제 2의 Si웨이퍼를 통상의 반도체제작프로세스에서 사용하는 약품용액으로 세정하고, 이들 웨이퍼를 최종약품세정의 묽은 HF용액에 담그고 나서 순수로 헹구고 건조하였다. 그후, 이들 양웨이퍼의 표면을 서서히 중합하고 접촉시켜 접착하였다. 그후, 접착된 웨이퍼를 5분간 1180℃에서 열처리하였다.(7) The wafer and the second Si wafer were washed with a chemical solution used in a conventional semiconductor manufacturing process. The wafers were immersed in dilute HF solution of the final chemical cleaning, and then rinsed with pure water and dried. Thereafter, the surfaces of these wafers were slowly polymerized and contacted to bond. The bonded wafer was then heat treated at 1180 ° C. for 5 minutes.

(8) 다음에, 다공질실리콘층이 형성된 웨이퍼의 뒷면을 연마하여 기판의 전체면에 다공질실리콘층을 노출시켰다. 그후, 웨이퍼를 약 2시간동안 HF 및 H2O2의 용액에 담가서 다공질실리콘을 에칭에 의해 제거하고, 그에 의해 실리콘산화막을 개재해서 제 2의 Si웨이퍼(기판)상에 에피택셜실리콘층을 약 0.2㎛형성하였다.(8) Next, the back side of the wafer on which the porous silicon layer was formed was polished to expose the porous silicon layer on the entire surface of the substrate. Thereafter, the wafer was immersed in a solution of HF and H 2 O 2 for about 2 hours to remove the porous silicon by etching, thereby forming an epitaxial silicon layer on the second Si wafer (substrate) via a silicon oxide film. 0.2 micrometer was formed.

(9) 이 기판을 100%수소분위기에서 4시간 동안 1100℃에서 열처리하였다.(9) The substrate was heat treated at 1100 ° C. for 4 hours in a 100% hydrogen atmosphere.

(10) 에피택셜실리콘층의 전체표면을 노마르스키 차동간섭현미경으로 관찰한 바, 결정결함이 극히 적은 SOI기판을 얻을 수 있음을 확인하였다.(10) The entire surface of the epitaxial silicon layer was observed with a Nomarsky differential interference microscope, and it was confirmed that an SOI substrate having extremely low crystal defects could be obtained.

[제 19실시예][Example 19]

제 1실시예와 마찬가지로, 단결정Si기판의 제 1표면상에 스핀코팅막을 형성하고, 이어서 베이킹하였다. 그후, 제 4실시예와 마찬가지로, 해당 웨이퍼를 노심관에 놓고, 기판의 뒷면에 확산층을 형성하였다. 이어서, 제 4실시예와 마찬가지의 공정을 실시하여 SOI기판을 제작하였다. 얻어진 SOI구조의 결정성은 앙호하였다.As in the first embodiment, a spin coat film was formed on the first surface of the single crystal Si substrate and then baked. Thereafter, similarly to the fourth embodiment, the wafer was placed in the core tube and a diffusion layer was formed on the back side of the substrate. Subsequently, the same process as in Example 4 was carried out to produce an SOI substrate. The crystallinity of the obtained SOI structure was good.

본 발명에 있어서는 도전형을 제어할 수 있는 원소를 확산법에 의해 확산시켜 확산영역을 형성하고, 이 영역에 다공질층을 형성하므로, 저항률이 엄격하게 제어된 실리콘기판을 사용하지 않은 경우에도 실리콘기판을 균일하게 다공질화할 수 있다. 즉, 비교적 저렴한 저항무지정의 실리콘기판을 이용할 수 있다.In the present invention, a diffusion region is formed by diffusing an element that can control the conductivity type by a diffusion method, and a porous layer is formed in this region, so that even when a silicon substrate having a strictly controlled resistivity is not used, a silicon substrate is used. It can be made porous uniformly. That is, a relatively low resistance silicon substrate can be used.

또, 기판의 양면에 확산층을 형성하는 모드에 의하면, 확산층의 형성중에 발생하는 휨을 저감시킬 수 있다. 그 결과, 충분한 접착공정을 행할 수 있어, 접착된 기판이 박리할 가능성은 실질적으로 저감된다. 따라서, 얻어진 실리콘기판의 수율이 향상하여 기판의 제작비용을 낮출 수 있다. 또한 양극화성에 의해 다공질층을 형성할 경우 발생되는 접촉저항도 저감할 수 있다.In addition, according to the mode of forming the diffusion layers on both surfaces of the substrate, the warpage generated during the formation of the diffusion layer can be reduced. As a result, a sufficient bonding process can be performed, and the possibility that the bonded substrate is peeled off is substantially reduced. Therefore, the yield of the obtained silicon substrate can be improved and the manufacturing cost of a board | substrate can be reduced. In addition, the contact resistance generated when the porous layer is formed by anodization can be reduced.

Claims (102)

도전형을 제어할 수 있는 원소를 실리콘기판에 확산시키는 확산법을 이용하여 확산영역을 형성하는 스텝과; 상기 확산영역에 다공질층을 형성하는 스텝과; 상기 다공질층위에 비다공질단결정층을 형성하는 스텝과; 상기 비다공질단결정층의 접착되는 표면위 또는 베이스기판의 접착되는 표면위중 어느 한쪽에 절연층을 형성되고, 상기 비다공질단결정층을 상기 베이스기판에 접착하는 스텝과; 상기 다공질층을 제거하는 스텝으로 이루어진 것을 특징으로 하는 반도체기판의 제작방법.Forming a diffusion region by using a diffusion method in which an element capable of controlling a conductivity type is diffused onto a silicon substrate; Forming a porous layer in said diffusion region; Forming a non-porous single crystal layer on the porous layer; Forming an insulating layer on either the adhered surface of the non-porous single crystal layer or the adhered surface of the base substrate, and adhering the non-porous single crystal layer to the base substrate; A method for manufacturing a semiconductor substrate, comprising the step of removing the porous layer. 제1항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는, 실리콘의 도전성 N형을 제어할 수 있는 원소인 것을 특징으로 하는 반도체기판의 제작방법.The method for manufacturing a semiconductor substrate according to claim 1, wherein the element capable of controlling the conductivity type is an element capable of controlling conductivity N type of silicon. 제2항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는, P, As 및 Sb로 이루어진 군으로부터 선택되는 것을 특징으로 하는 반도체기판의 제작방법.The method of manufacturing a semiconductor substrate according to claim 2, wherein the element capable of controlling the conductivity type is selected from the group consisting of P, As, and Sb. 제1항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 실리콘의 도전성 P형을 제어할 수 있는 원소인 것을 특징으로 하는 반도체기판의 제작방법.The method of manufacturing a semiconductor substrate according to claim 1, wherein the element capable of controlling the conductive type is an element capable of controlling a conductive P type of silicon. 제4항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 B인 것을 특징으로 하는 반도체기판의 제작방법.The method of manufacturing a semiconductor substrate according to claim 4, wherein the element capable of controlling the conductivity type is B. 6. 제1항에 있어서, 상기 확산법은 실리콘기판에 상기 원소를 열확산시키는 처리인 것을 특징으로 하는 반도체기판의 제작방법.The method of manufacturing a semiconductor substrate according to claim 1, wherein the diffusion method is a process of thermally diffusing the element onto a silicon substrate. 제5항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 기체를 소스로 해서 공급되는 것을 특징으로 하는 반도체기판의 제작방법.6. The method of manufacturing a semiconductor substrate according to claim 5, wherein the element capable of controlling the conductivity type is supplied from a gas source. 제7항에 있어서, 상기 기체는 B2H6인 것을 특징으로 하는 반도체기판의 제작방법.The method of claim 7, wherein the base is B 2 H 6 . 제5항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 액체를 소스로 해서 공급되는 것을 특징으로 하는 반도체기판의 제작방법.The method of manufacturing a semiconductor substrate according to claim 5, wherein the element capable of controlling the conductivity type is supplied by using a liquid as a source. 제9항에 있어서, 상기 액체는 BBr3인 것을 특징으로 하는 반도체기판의 제작방법.The method of claim 9, wherein the liquid is BBr 3 . 제5항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 고체를 소스로 해서 공급되는 것을 특징으로 하는 반도체기판의 제작방법.6. The method of manufacturing a semiconductor substrate according to claim 5, wherein the element capable of controlling the conductivity type is supplied from a solid source. 제11항에 있어서, 상기 고체는 B2O3인 것을 특징으로 하는 반도체기판의 제작방법.The method of claim 11, wherein the solid is B 2 O 3 . 제5항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 상기 실리콘기판위에 형성된 고체물질로부터 공급되는 것을 특징으로 하는 반도체기판의 제작방법.The method of claim 5, wherein the element capable of controlling the conductivity type is supplied from a solid material formed on the silicon substrate. 제13항에 있어서, 상기 고체물질은 CVD막, BSG 및 스핀코팅막으로부터 선택되는 것을 특징으로 하는 반도체기판의 제작방법.The method of claim 13, wherein the solid material is selected from a CVD film, a BSG, and a spin coating film. 제1항에 있어서, 상기 도전형을 제어할 수 있고, 상기 확산층내에 함유된 상기 원소의 농도는 5.0×1016/㎤ 내지 5.0×1020/㎤의 범위내에 있도록 조정되는 것을 특징으로 하는 반도체기판의 제작방법.The semiconductor substrate according to claim 1, wherein the conductivity type can be controlled, and the concentration of the element contained in the diffusion layer is adjusted to be in a range of 5.0 × 10 16 / cm 3 to 5.0 × 10 20 / cm 3. How to make. 제15항에 있어서, 상기 도전형을 제어할 수 있고, 상기 확산층내에 함유된 상기 원소의 농도는 1.0×1017/㎤ 내지 2.0×1020/㎤의 범위내에 있도록 조정되는 것을 특징으로 하는 반도체기판의 제작방법.The semiconductor substrate according to claim 15, wherein the conductivity type can be controlled, and the concentration of the element contained in the diffusion layer is adjusted to be in a range of 1.0 × 10 17 / cm 3 to 2.0 × 10 20 / cm 3. How to make. 제16항에 있어서, 상기 도전형을 제어할 수 있고, 상기 확산층내에 함유된 상기 원소의 상기 농도는 5.0×1017/㎤ 내지 1.0×1020/㎤의 범위내에 있도록 조정되는 것을 특징으로 하는 반도체기판의 제작방법.17. The semiconductor according to claim 16, wherein the conductivity type can be controlled and the concentration of the element contained in the diffusion layer is adjusted to be in the range of 5.0 x 10 17 / cm 3 to 1.0 x 10 20 / cm 3. Method of manufacturing a substrate. 제1항에 있어서, 상기 확산층의 두께는 500Å이상인 것을 특징으로 하는 반도체기판의 제작방법.The method of manufacturing a semiconductor substrate according to claim 1, wherein the diffusion layer has a thickness of 500 GPa or more. 제1항에 있어서, 상기 다공질층의 다공률은 50%이하로 제어되는 것을 특징으로 하는 반도체기판의 제작방법.The method of claim 1, wherein the porosity of the porous layer is controlled to 50% or less. 제19항에 있어서, 상기 다공질층의 상기 다공률은 1% 내지 40%의 범위내에 있도록 제어되는 것을 특징으로 하는 반도체기판의 제작방법.20. The method of claim 19, wherein the porosity of the porous layer is controlled to be in the range of 1% to 40%. 제20항에 있어서, 상기 다공질층의 상기 다공률은 5% 내지 30%의 범위내에 있도록 제어되는 것을 특징으로 하는 반도체기판의 제작방법.21. The method of claim 20, wherein the porosity of the porous layer is controlled to be in the range of 5% to 30%. 제1항에 있어서, 상기 비다공질단결정층은 단결정Si층인 것을 특징으로 하는 반도체기판의 제작방법.2. The method of claim 1, wherein the non-porous single crystal layer is a single crystal Si layer. 제1항에 있어서, 상기 비다공질단결층은 단결정화합물반도체층인 것을 특징으로 하는 반도체기판의 제작방법.The method of claim 1, wherein the non-porous unitary layer is a single crystal compound semiconductor layer. 제22항에 있어서, 상기 절연층은 열산화막, 퇴적SiO2막 및 퇴적Si3N4막으로 이루어진 군으로부터 선택된 것을 특징으로 하는 반도체기판의 제작방법.23. The method of claim 22, wherein the insulating layer is selected from the group consisting of a thermal oxide film, a deposited SiO 2 film and a deposited Si 3 N 4 film. 제24항에 있어서, 상기 절연층은 상기 비다공질단결정층쪽에 형성되는 것을 특징으로 하는 반도체기판의 제작방법.25. The method of claim 24, wherein the insulating layer is formed on the nonporous single crystal layer. 제24항에 있어서, 상기 절연층은, 상기 단결정실리콘층 표면의 열산화에 의해 형성되는 것을 특징으로 하는 반도체기판의 제작방법.25. The method of claim 24, wherein the insulating layer is formed by thermal oxidation of a surface of the single crystal silicon layer. 제1항에 있어서, 상기 베이스기판은 단결정실리콘기판인 것을 특징으로 하는 반도체기판의 제작방법.The method of claim 1, wherein the base substrate is a single crystal silicon substrate. 제27항에 있어서, 상기 베이스기판의 접착되는 면상에 산화층을 형성하는 것을 특징으로 하는 반도체기판의 제작방법.28. The method of claim 27, wherein an oxide layer is formed on the adhered surface of the base substrate. 제27항에 있어서, 상기 베이스기판의 접착되는 상기 면은 단결정실리콘으로 형성된 것을 특징으로 하는 반도체기판의 제작방법.28. The method of claim 27, wherein the surface of the base substrate to be bonded is formed of single crystal silicon. 제1항에 있어서, 상기 베이스기판은 유리로 이루어진 것을 특징으로 하는 반도체기판의 제작방법.The method of claim 1, wherein the base substrate is made of glass. 제24항에 있어서, 상기 절연층은 상기 베이스기판쪽에 형성되는 것을 특징으로 하는 반도체기판의 제작방법.25. The method of claim 24, wherein the insulating layer is formed on the base substrate side. 제31항에 있어서, 상기 절연층은 단결정실리콘기판의 열산화에 의해 형성되는 것을 특징으로 하는 반도체기판의 제작방법.32. The method of claim 31, wherein the insulating layer is formed by thermal oxidation of a single crystal silicon substrate. 제31항에 있어서, 상기 절연층은 유리기판을 구성하는 것을 특징으로 하는 반도체기판의 제작방법.32. The method of claim 31, wherein the insulating layer constitutes a glass substrate. 제31항에 있어서, 상기 비다공질단결정층상에 상기 절연층을 형성함이 없이 접착을 행하는 것을 특징으로 하는 반도체기판의 제작방법.32. The manufacturing method of a semiconductor substrate according to claim 31, wherein adhesion is carried out without forming said insulating layer on said nonporous single crystal layer. 제22항에 있어서, 상기 다공질층의 기공의 내벽을 산화한 후 상기 비다공질실리콘층을 에피택셜성장에 의해 형성하는 것을 특징으로 하는 반도체기판의 제작방법.23. The method of claim 22, wherein after oxidizing the inner wall of the pores of the porous layer, the non-porous silicon layer is formed by epitaxial growth. 제35항에 있어서, 수소분위기중에서 상기 다공질층상에 열처리를 행한 후 상기 비다공질실리콘층을 에피택셜성장에 의해 형성하는 것을 특징으로 하는 반도체기판의 제작방법.36. The method of claim 35, wherein the non-porous silicon layer is formed by epitaxial growth after heat treatment on the porous layer in a hydrogen atmosphere. 제1항에 있어서, 상기 다공질층은, 불화수소산, 알콜이나 과산화수소수중 적어도 어느 한쪽과 불화수소산과의 혼합액, 완충 불화수소산, 또는 알콜이나 과산화수소수중 적어도 어느 한쪽과 완충 불화수소산과의 혼합액을 사용하여 제거되는 것을 특징으로 하는 반도체기판의 제작방법.2. The porous layer according to claim 1, wherein the porous layer is prepared by using a mixed liquid of at least one of hydrofluoric acid, alcohol or hydrogen peroxide and buffered hydrofluoric acid, or a mixed liquid of at least one of alcohol or hydrogen peroxide and buffered hydrofluoric acid. Method of manufacturing a semiconductor substrate, characterized in that removed. 제1항에 있어서, 상기 다공질층이 제거된 후 수소분위기중에서 열처리를 행하는 것을 특징으로 하는 반도체기판의 제작방법.The method of manufacturing a semiconductor substrate according to claim 1, wherein after the porous layer is removed, heat treatment is performed in a hydrogen atmosphere. 실리콘기판의 제 1표면 및 이 제 1표면의 이면쪽의 제 2표면에, 도전형을 제어할 수 있는 원소를 확산시키는 확산법을 이용하여 확산영역을 형성하는 스텝과; 상기 제 1표면위에 형성된 확산영역내에 다공질층을 형성하는 스텝과; 상기 다공질층위에 비다공질단결정층을 형성하는 스텝과; 상기 비다공질단결정층의 접착되는 표면위 또는 베이스기판의 접착되는 표면위중 어느 한쪽에 절연층이 형성되고, 상기 비다공질단결정층과 베이스기판을 접착하는 스텝과; 상기 다공질층을 제거하는 스텝으로 이루어진 것을 특징으로 하는 반도체기판의 제작방법.Forming a diffusion region on the first surface of the silicon substrate and on the second surface on the rear side of the first surface by using a diffusion method for diffusing an element capable of controlling the conductivity type; Forming a porous layer in a diffusion region formed on the first surface; Forming a non-porous single crystal layer on the porous layer; Forming an insulating layer on either the adhered surface of the non-porous single crystal layer or the adhered surface of the base substrate, and adhering the non-porous single crystal layer and the base substrate; A method for manufacturing a semiconductor substrate, comprising the step of removing the porous layer. 제39항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는, 실리콘의 도전성 N형을 제어할 수 있는 원소인 것을 특징으로 하는 반도체기판의 제작방법.40. The method of claim 39, wherein the element capable of controlling the conductivity type is an element capable of controlling conductivity N type of silicon. 제40항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 P, As 및 Sb로 이루어진 군으로부터 선택되는 것을 특징으로 하는 반도체기판의 제작방법.41. The method of claim 40, wherein the element capable of controlling the conductivity type is selected from the group consisting of P, As, and Sb. 제39항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 실리콘의 도전성 P형을 제어할 수 있는 원소인 것을 특징으로 하는 반도체기판의 제작방법.40. The method of claim 39, wherein the element capable of controlling the conductive type is an element capable of controlling a conductive P type of silicon. 제42항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 B인 것을 특징으로 하는 반도체기판의 제작방법.43. The method of claim 42, wherein the element capable of controlling the conductivity type is B. 제39항에 있어서, 상기 확산법은 실리콘기판에 상기 원소를 열확산시키는 처리인 것을 특징으로 하는 반도체기판의 제작방법.40. The manufacturing method of a semiconductor substrate according to claim 39, wherein said diffusion method is a process of thermally diffusing said element onto a silicon substrate. 제43항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 기체를 소스로 해서 공급되는 것을 특징으로 하는 반도체기판의 제작방법.44. The method of claim 43, wherein the element capable of controlling the conductivity type is supplied by using gas as a source. 제45항에 있어서, 상기 기체는 B2H6인 것을 특징으로 하는 반도체기판의 제작방법.46. The method of claim 45, wherein the base is B 2 H 6 . 제43항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 액체를 소스로 해서 공급되는 것을 특징으로 하는 반도체기판의 제작방법.44. The method of claim 43, wherein the element capable of controlling the conductivity type is supplied by using a liquid as a source. 제47항에 있어서, 상기 액체는 BBr3인 것을 특징으로 하는 반도체기판의 제작방법.48. The method of claim 47, wherein the liquid is BBr 3 . 제43항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 고체를 소스로 해서 공급되는 것을 특징으로 하는 반도체기판의 제작방법.The manufacturing method of a semiconductor substrate according to claim 43, wherein said element capable of controlling said conductivity type is supplied from a solid source. 제49항에 있어서, 상기 고체는 B2O3인 것을 특징으로 하는 반도체기판의 제작방법.50. The method of claim 49, wherein the solid is B 2 O 3 . 제43항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 상기 실리콘기판위에 형성된 고체물질로부터 공급되는 것을 특징으로 하는 반도체기판의 제작방법.44. The method of claim 43, wherein the element capable of controlling the conductivity type is supplied from a solid material formed on the silicon substrate. 제51항에 있어서, 상기 고체물질은 CVD막, BSG 및 스핀코팅막으로부터 선택되는 것을 특징으로 하는 반도체기판의 제작방법.52. The method of claim 51, wherein the solid material is selected from a CVD film, a BSG, and a spin coating film. 제39항에 있어서, 상기 도전형을 제어할 수 있고, 상기 확산층내에 함유된 상기 원소의 농도는 5.0×106/㎤ 내지 5.0×1020/㎤의 범위내에 있도록 조정되는 것을 특징으로 하는 반도체기판의 제작방법.40. The semiconductor substrate according to claim 39, wherein the conductivity type can be controlled, and the concentration of the element contained in the diffusion layer is adjusted to be in the range of 5.0 x 10 6 / cm 3 to 5.0 x 10 20 / cm 3. How to make. 제53항에 있어서, 상기 도전형을 제어할 수 있고, 상기 확산층내에 함유된 상기 원소의 농도는 1.0×1017/㎤ 내지 2.0×1020/㎤의 범위내에 있도록 조정되는 것을 특징으로 하는 반도체기판의 제작방법.54. The semiconductor substrate according to claim 53, wherein the conductivity type can be controlled, and the concentration of the element contained in the diffusion layer is adjusted to be in the range of 1.0x10 17 / cm 3 to 2.0x10 20 / cm 3. How to make. 제54항에 있어서, 상기 도전형을 제어할 수 있고, 상기 확산층내에 함유된 상기 원소의 농도는 5.0×1017/㎤ 내지 1.0×1020/㎤의 범위내에 있도록 조정되는 것을 특징으로 하는 반도체기판의 제작방법.55. The semiconductor substrate according to claim 54, wherein the conductivity type can be controlled and the concentration of the element contained in the diffusion layer is adjusted to be in the range of 5.0 x 10 17 / cm 3 to 1.0 x 10 20 / cm 3. How to make. 제39항에 있어서, 상기 확산층의 두께는 500Å이상인 것을 특징으로 하는 반도체기판의 제작방법.40. The method of claim 39, wherein the diffusion layer has a thickness of at least 500 GPa. 제39항에 있어서, 상기 다공질층의 다공률은 50%이하로 제어되는 것을 특징으로 하는 반도체기판의 제작방법.40. The method of claim 39, wherein the porosity of the porous layer is controlled to 50% or less. 제57항에 있어서, 상기 다공질층의 상기 다공률은 1% 내지 40%의 범위내에 있도록 제어되는 것을 특징으로 하는 반도체기판의 제작방법.59. The method of claim 57, wherein the porosity of the porous layer is controlled to be in the range of 1% to 40%. 제58항에 있어서, 상기 다공질층의 상기 다공률은 5% 내지 30%의 범위내에 있도록 제어되는 것을 특징으로 하는 반도체기판의 제작방법.59. The method of claim 58, wherein the porosity of the porous layer is controlled to be in the range of 5% to 30%. 제39항에 있어서, 상기 비다공질단결층은 단결정Si층인 것을 특징으로 하는 반도체기판의 제작방법.40. The method of claim 39, wherein the nonporous unitary layer is a single crystal Si layer. 제39항에 있어서, 상기 비다공질단결정층은 단결정화합물반도체층인 것을 특징으로 하는 반도체기판의 제작방법.40. The method of claim 39, wherein the nonporous single crystal layer is a single crystal compound semiconductor layer. 제60항에 있어서, 상기 절연층은 열산화막, 퇴적SiO2막 및 퇴적Si3N4막으로 이루어진 군으로부터 선택된 것을 특징으로 하는 반도체기판의 제작방법.61. The method of claim 60, wherein the insulating layer is selected from the group consisting of a thermal oxide film, a deposited SiO 2 film and a deposited Si 3 N 4 film. 제62항에 있어서, 상기 절연층은 상기 비다공질단결정층쪽에 형성되는 것을 특징으로 하는 반도체기판의 제작방법.63. The method of claim 62, wherein the insulating layer is formed on the nonporous single crystal layer. 제62항에 있어서, 상기 절연층은, 상기 단결정실리콘층 표면의 열산화에 의해 형성되는 것을 특징으로 하는 반도체기판의 제작방법.63. The method of claim 62, wherein the insulating layer is formed by thermal oxidation of a surface of the single crystal silicon layer. 제39항에 있어서, 상기 베이스기판은 단결정실리콘기판인 것을 특징으로 하는 반도체기판의 제작방법.40. The method of claim 39, wherein the base substrate is a single crystal silicon substrate. 제65항에 있어서, 상기 베이스기판의 접착되는 면상에 산화층을 형성하는 것을 특징으로 하는 반도체기판의 제작방법.67. The method of claim 65, wherein an oxide layer is formed on the adhered surface of the base substrate. 제65항에 있어서, 상기 베이스기판의 접착되는 상기 면은 단결정실리콘으로 형성된 것을 특징으로 하는 반도체기판의 제작방법.67. The method of claim 65, wherein the surface of the base substrate to be bonded is formed of single crystal silicon. 제39항에 있어서, 상기 베이스기판은 유리로 이루어진 것을 특징으로 하는 반도체기판의 제작방법.40. The method of claim 39, wherein the base substrate is made of glass. 제62항에 있어서, 상기 절연층은 상기 베이스기판쪽에 형성되는 것을 특징으로 하는 반도체기판의 제작방법.63. The method of claim 62, wherein the insulating layer is formed on the base substrate side. 제69항에 있어서, 상기 절연층은 단결정실리콘기판의 열산화에 의해 형성되는 것을 특징으로 하는 반도체기판의 제작방법.70. The method of claim 69, wherein the insulating layer is formed by thermal oxidation of a single crystal silicon substrate. 제69항에 있어서, 상기 절연층은 유리기판을 구성하는 특징으로 하는 반도체기판의 제작방법.70. The method of claim 69, wherein the insulating layer constitutes a glass substrate. 제69항에 있어서, 상기 비다공질단결정층상에 상기 절연층을 형성함이 없이 접착을 행하는 것을 특징으로 하는 반도체기판의 제작방법.70. The manufacturing method of a semiconductor substrate according to claim 69, wherein adhesion is carried out without forming said insulating layer on said nonporous single crystal layer. 제60항에 있어서, 상기 다공질층의 기공의 내벽을 산화한 후 상기 비다공질실리콘층을 에피택셜성장에 의해 형성하는 것을 특징으로 하는 반도체기판의 제작방법.61. The method of claim 60, wherein the nonporous silicon layer is formed by epitaxial growth after oxidizing the inner wall of the pores of the porous layer. 제73항에 있어서, 수소분위기중에서 상기 다공질층상에 열처리를 행한 후 상기 비다공질실리콘층을 에피택셜성장에 의해 형성하는 것을 상기 특징으로 하는 반도체기판의 제작방법.74. The method of claim 73, wherein the non-porous silicon layer is formed by epitaxial growth after heat treatment on the porous layer in a hydrogen atmosphere. 제39항에 있어서, 상기 다공질층은, 불화수소산, 알콜이나 과산화수소수중 적어도 어느 한쪽과 불화수소산과의 혼합액, 완충 불화수소산, 또는 알콜이나 과산화수소수중 적어도 어느 한쪽과 완충 불화수소산과의 혼합액을 사용하여 제거되는 것을 특징으로 하는 반도체기판의 제작방법.40. The porous layer according to claim 39, wherein the porous layer is prepared by using a mixed solution of at least one of hydrofluoric acid, alcohol or hydrogen peroxide solution, buffered hydrofluoric acid, or a mixture of at least one of alcohol or hydrogen peroxide solution and buffered hydrofluoric acid. Method of manufacturing a semiconductor substrate, characterized in that removed. 제39항에 있어서, 상기 다공질층이 제거된 후 수소분위기중에서 열처리를 행하는 것을 특징으로 하는 반도체기판의 제작방법.40. The method of manufacturing a semiconductor substrate according to claim 39, wherein after the porous layer is removed, heat treatment is performed in a hydrogen atmosphere. 제39항에 있어서, 상기 제 1표면상에 고체물질이 각각 형성되어 있는 복수의 실리콘기판을 노내에 배치하고 가열하여, 상기 복수의 기판의 각각의 상기 제 1표면 및 상기 제 2표면위에 상기 확산영역을 형성하는 것을 특징으로 하는 반도체기판의 제작방법.40. The method of claim 39, wherein a plurality of silicon substrates each having a solid material formed thereon on the first surface is disposed in a furnace and heated to diffuse the diffusion over each of the first and second surfaces of the plurality of substrates. A method of manufacturing a semiconductor substrate, wherein the region is formed. 도전형을 제어할 수 있는 원소를 실리콘기판에 확산시키는 확산법을 이용하여 확산영역을 형성하는 스텝과; 상기 확산영역에 다공질층을 형성하는 스텝과; 상기 다공질층위에 비다공질층을 형성하는 스텝과; 상기 비다공질단결정층의 접착되는 표면위 또는 베이스기판의 접착되는 표면위중 어느 한쪽에 절연층을 형성되고, 상기 비다공질단결정층을 베이스기판에 접착하는 스텝과; 상기 다공질층을 제거하는 스텝으로 이루어진 반도체기판의 제작방법에 의해 얻어진 것을 특징으로 하는 반도체기판.Forming a diffusion region by using a diffusion method in which an element capable of controlling a conductivity type is diffused onto a silicon substrate; Forming a porous layer in said diffusion region; Forming a non-porous layer on the porous layer; Forming an insulating layer on either the adhered surface of the non-porous single crystal layer or the adhered surface of the base substrate, and adhering the non-porous single crystal layer to the base substrate; A semiconductor substrate obtained by a method of manufacturing a semiconductor substrate comprising the step of removing the porous layer. 실리콘기판의 제 1표면 및 이 제 1표면의 이면쪽의 제 2표면에, 도전형을 제어할 수 있는 원소를 확산시키는 확산법을 이용하여 확산영역을 형성하는 스텝과; 상기 제 1표면위에 형성된 상기 확산영역내에 다공질층을 형성하는 스텝과; 상기 다공질층위에 비다공질단결정층을 형성하는 스텝과; 상기 비다공질단결정층의 접착되는 표면위 또는 상기 베이스기판의 접착되는 표면위중 어느 한쪽에 절연층이 형성되고, 상기 비다공질단결정층과 베이스기판을 접착하는 스텝과; 상기 다공질층을 제거하는 스텝으로 이루어진 것을 반도체기판의 제작방법에 의해 얻어진 것을 특징으로 하는 반도체기판.Forming a diffusion region on the first surface of the silicon substrate and on the second surface on the rear side of the first surface by using a diffusion method for diffusing an element capable of controlling the conductivity type; Forming a porous layer in said diffusion region formed on said first surface; Forming a non-porous single crystal layer on the porous layer; An insulating layer is formed on either the adhered surface of the non-porous single crystal layer or the adhered surface of the base substrate, and bonding the non-porous single crystal layer and the base substrate; A semiconductor substrate comprising the step of removing the porous layer obtained by a method of manufacturing a semiconductor substrate. 도전형을 제어할 수 있는 원소와 일체화한 P+층을 실리콘기판의 표면위에 형성하는 스텝과; 상기 P+층내에 다공질층을 형성하는 스텝과; 상기 다공질층위에 비다공질단결정층을 형성하는 스텝과; 상기 비다공질단결정층의 접착되는 표면위 또는 베이스기판의 접착되는 표면위중 어느 한쪽에 절연층을 형성되고, 상기 비다공질단결정층을 베이스기판에 접착하는 스텝과; 상기 다공질층을 제거하는 스텝으로 이루어진 반도체기판의 제작방법에 의해 얻어진 것을 특징으로 하는 반도체기판.Forming a P + layer integrated with the element capable of controlling the conductivity type on the surface of the silicon substrate; Forming a porous layer in said P + layer; Forming a non-porous single crystal layer on the porous layer; Forming an insulating layer on either the adhered surface of the non-porous single crystal layer or the adhered surface of the base substrate, and adhering the non-porous single crystal layer to the base substrate; A semiconductor substrate obtained by a method of manufacturing a semiconductor substrate comprising the step of removing the porous layer. 제80항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 B인 것을 특징으로 하는 반도체기판.81. The semiconductor substrate according to claim 80, wherein said element capable of controlling said conductivity type is B. 제80항에 있어서, 상기 도전형을 제어할 수 있는 상기 원소는 가스를 스스로 해서 공급되는 것을 특징으로 하는 반도체기판.81. The semiconductor substrate according to claim 80, wherein said element capable of controlling said conductivity type is supplied with a gas by itself. 제82항에 있어서, 상기 가스는 B2H6인 것을 특징으로 하는 반도체기판.85. The semiconductor substrate of claim 82 wherein the gas is B 2 H 6 . 제80항에 있어서, 상기 원소의 농도는 5.0×1016/㎤ 내지 5.0×1020/㎤의 범위내에 있도록 조정되는 것을 특징으로 하는 반도체기판.The method of claim 80, wherein the concentration of the element is 5.0 × 10 16 / ㎤ to 5.0 × 10 20 / ㎤ semiconductor substrate characterized in that the adjustment to be within the range of. 제84항에 있어서, 상기 원소의 상기 농도는 1.0×1017/㎤ 내지 2.0×1020/㎤의 범위내에 있도록 조정되는 것을 특징으로 하는 반도체기판.85. The semiconductor substrate according to claim 84, wherein said concentration of said element is adjusted to be in the range of 1.0 x 10 17 / cm 3 to 2.0 x 10 20 / cm 3. 제85항에 있어서, 상기 원소의 상기 농도는 5.0×1017/㎤ 내지 1.0×1020/㎤의 범위내에 있도록 조정되는 것을 특징으로 하는 반도체기판.86. The semiconductor substrate according to claim 85, wherein said concentration of said element is adjusted to be in the range of 5.0 x 10 17 / cm 3 to 1.0 x 10 20 / cm 3. 제80항에 있어서, 상기 P+층의 두께는 500Å이상인 것을 특징으로 하는 반도체기판.81. The semiconductor substrate of claim 80 wherein the thickness of said P + layer is at least 500 microns. 제80항에 있어서, 상기 다공질층의 다공률은, 50%이하로 제어되는 것을 특징으로 하는 반도체기판.81. The semiconductor substrate according to claim 80, wherein a porosity of the porous layer is controlled to 50% or less. 제88항에 있어서, 상기 다공질층의 상기 다공률은, 1% 내지 40%의 범위내에 있도록 제어되는 것을 특징으로 하는 반도체기판.89. The semiconductor substrate according to claim 88, wherein said porosity of said porous layer is controlled to be in the range of 1% to 40%. 제89항에 있어서, 상기 다공질층의 상기 다공률은, 5% 내지 30%의 범위내에 있도록 제어되는 것을 특징으로 하는 반도체기판.90. The semiconductor substrate according to claim 89, wherein said porosity of said porous layer is controlled to be in the range of 5% to 30%. 제80항에 있어서, 상기 비다공질단결정층은 단결정Si층인 것을 특징으로 하는 반도체기판.81. The semiconductor substrate of claim 80, wherein the nonporous single crystal layer is a single crystal Si layer. 제80항에 있어서, 상기 비다공질단결정층은 단결정화합물반도체층인 것을 특징으로 하는 반도체기판.81. The semiconductor substrate according to claim 80, wherein the nonporous single crystal layer is a single crystal compound semiconductor layer. 제80항에 있어서, 상기 절연층은 열산화막, 퇴적SiO2막 및 퇴적Si3N4막으로 구성된 군으로부터 선택되는 것을 특징으로 하는 반도체기판.81. The semiconductor substrate according to claim 80, wherein said insulating layer is selected from the group consisting of a thermal oxide film, a deposited SiO 2 film and a deposited Si 3 N 4 film. 제93항에 있어서, 상기 절연층은 상기 비다공질단결정층쪽에 형성되는 것을 특징으로 하는 반도체기판.95. The semiconductor substrate according to claim 93, wherein said insulating layer is formed on said nonporous single crystal layer. 제93항에 있어서, 상기 절연층은 상기 단결정실리콘층의 표면의 열산화에 의해 형성되는 것을 특징으로 하는 반도체기판.95. The semiconductor substrate according to claim 93, wherein said insulating layer is formed by thermal oxidation of the surface of said single crystal silicon layer. 제80항에 있어서, 상기 베이스기판은 단결정실리콘기판인 것을 특징으로 하는 반도체기판.81. The semiconductor substrate of claim 80, wherein the base substrate is a single crystal silicon substrate. 제96항에 있어서, 상기 베이스기판의 접착되는 상기 표면은 단결정실리콘으로 형성된 것을 특징으로 하는 반도체기판.98. The semiconductor substrate of claim 96, wherein the surface to be bonded to the base substrate is formed of single crystal silicon. 제80항에 있어서, 상기 베이스기판은 유리로 된 것을 특징으로 하는 반도체기판.81. The semiconductor substrate according to claim 80, wherein said base substrate is made of glass. 제91항에 있어서, 상기 다공질층의 기공의 내벽을 산화한 후, 상기 비다공질실리콘층을 에피택셜성장에 의해 형성하는 것을 특징으로 하는 반도체기판.92. The semiconductor substrate according to claim 91, wherein after oxidizing the inner wall of the pores of the porous layer, the non-porous silicon layer is formed by epitaxial growth. 제99항에 있어서, 수소분위기중에서 상기 다공질층상에 열처리를 행한 후, 상기 비다공질실리콘층을 에피택셜성장에 의해 형성하는 것을 특징으로 하는 반도체기판.100. The semiconductor substrate according to claim 99, wherein said nonporous silicon layer is formed by epitaxial growth after heat treatment on said porous layer in a hydrogen atmosphere. 제80항에 있어서, 상기 다공질층은, 불화수소산, 알콜이나 과산화수소수중 적어도 어느 한쪽과 불화수소산과의 혼합액, 완충 불화수소산, 또는 알콜이나 과산화수소수중 적어도 어느 한쪽과 완충 불화수소산과의 혼합액을 사용하여 제거되는 것을 특징으로 하는 반도체기판.82. The porous layer according to claim 80, wherein the porous layer is formed by using a mixture of at least one of hydrofluoric acid, alcohol, or hydrogen peroxide and buffered hydrofluoric acid, or a mixture of at least one of alcohol or hydrogen peroxide and buffered hydrofluoric acid. A semiconductor substrate, characterized in that removed. 제80항에 있어서, 상기 다공질층이 제거된 후, 수소분위기 중에서 열처리를 행하는 것을 특징으로 하는 반도체기판.81. The semiconductor substrate according to claim 80, wherein after the porous layer is removed, heat treatment is performed in a hydrogen atmosphere.
KR1019960032304A 1995-08-02 1996-08-02 Semiconductor substrate and fabrication method for the same KR100246902B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP95-197545 1995-08-02
JP19754595 1995-08-02

Publications (2)

Publication Number Publication Date
KR970013012A KR970013012A (en) 1997-03-29
KR100246902B1 true KR100246902B1 (en) 2000-03-15

Family

ID=16376269

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019960032304A KR100246902B1 (en) 1995-08-02 1996-08-02 Semiconductor substrate and fabrication method for the same

Country Status (8)

Country Link
US (1) US6121112A (en)
EP (1) EP0757377B1 (en)
KR (1) KR100246902B1 (en)
CN (1) CN1082720C (en)
CA (1) CA2182442C (en)
DE (1) DE69627252T2 (en)
SG (1) SG60012A1 (en)
TW (1) TW330306B (en)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3293736B2 (en) 1996-02-28 2002-06-17 キヤノン株式会社 Semiconductor substrate manufacturing method and bonded substrate
US7148119B1 (en) * 1994-03-10 2006-12-12 Canon Kabushiki Kaisha Process for production of semiconductor substrate
SG55413A1 (en) * 1996-11-15 1998-12-21 Method Of Manufacturing Semico Method of manufacturing semiconductor article
CA2225131C (en) * 1996-12-18 2002-01-01 Canon Kabushiki Kaisha Process for producing semiconductor article
CA2232796C (en) * 1997-03-26 2002-01-22 Canon Kabushiki Kaisha Thin film forming process
CA2233127C (en) * 1997-03-27 2004-07-06 Canon Kabushiki Kaisha Method and apparatus for separating composite member using fluid
EP0996967B1 (en) 1997-06-30 2008-11-19 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. Method for producing layered structures on a semiconductor substrate, semiconductor substrate and semiconductor components produced according to said method
DE19730975A1 (en) * 1997-06-30 1999-01-07 Max Planck Gesellschaft Porous material especially single crystal silicon layer production
US6534380B1 (en) * 1997-07-18 2003-03-18 Denso Corporation Semiconductor substrate and method of manufacturing the same
SG87916A1 (en) 1997-12-26 2002-04-16 Canon Kk Sample separating apparatus and method, and substrate manufacturing method
EP0926709A3 (en) * 1997-12-26 2000-08-30 Canon Kabushiki Kaisha Method of manufacturing an SOI structure
US6427748B1 (en) * 1998-07-27 2002-08-06 Canon Kabushiki Kaisha Sample processing apparatus and method
JP2000223682A (en) * 1999-02-02 2000-08-11 Canon Inc Processing method for basic body and production of semiconductor substrate
US6417069B1 (en) * 1999-03-25 2002-07-09 Canon Kabushiki Kaisha Substrate processing method and manufacturing method, and anodizing apparatus
US6375738B1 (en) 1999-03-26 2002-04-23 Canon Kabushiki Kaisha Process of producing semiconductor article
GB9929521D0 (en) * 1999-12-15 2000-02-09 Secr Defence Bonded products and methods of fabrication therefor
TWI242796B (en) * 2002-09-04 2005-11-01 Canon Kk Substrate and manufacturing method therefor
JP2004103600A (en) * 2002-09-04 2004-04-02 Canon Inc Substrate and its manufacturing method
JP2004103855A (en) * 2002-09-10 2004-04-02 Canon Inc Substrate and its manufacturing method
JP2004103946A (en) * 2002-09-11 2004-04-02 Canon Inc Substrate and its manufacturing method
US7538010B2 (en) * 2003-07-24 2009-05-26 S.O.I.Tec Silicon On Insulator Technologies Method of fabricating an epitaxially grown layer
FR2857983B1 (en) * 2003-07-24 2005-09-02 Soitec Silicon On Insulator PROCESS FOR PRODUCING AN EPITAXIC LAYER
US20050082526A1 (en) * 2003-10-15 2005-04-21 International Business Machines Corporation Techniques for layer transfer processing
DE102004060363B4 (en) * 2004-12-15 2010-12-16 Austriamicrosystems Ag Semiconductor substrate with pn junction and method of manufacture
JP4649198B2 (en) * 2004-12-20 2011-03-09 新光電気工業株式会社 Wiring board manufacturing method
US20060189023A1 (en) * 2005-02-23 2006-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Three dimensional structure formed by using an adhesive silicon wafer process
US7244630B2 (en) * 2005-04-05 2007-07-17 Philips Lumileds Lighting Company, Llc A1InGaP LED having reduced temperature dependence
KR100697693B1 (en) * 2005-06-24 2007-03-20 삼성전자주식회사 PMOS transistor and method of manufacturing the PMOS transistor, and stacked semiconductor device having the PMOS transistor and method of manufacturing the stacked semiconductor device
US7813028B2 (en) * 2006-12-04 2010-10-12 Teledyne Licensing, Llc Manufacturing method for stress compensated X-Y gimbaled MEMS mirror array
US20080277778A1 (en) * 2007-05-10 2008-11-13 Furman Bruce K Layer Transfer Process and Functionally Enhanced Integrated Circuits Products Thereby
JP6028280B2 (en) 2009-11-18 2016-11-16 ソイテックSoitec Method for manufacturing a semiconductor structure or semiconductor device
FR2953328B1 (en) * 2009-12-01 2012-03-30 S O I Tec Silicon On Insulator Tech HETEROSTRUCTURE FOR ELECTRONIC POWER COMPONENTS, OPTOELECTRONIC OR PHOTOVOLTAIC COMPONENTS
DE102011050136A1 (en) * 2010-09-03 2012-03-08 Schott Solar Ag Process for the wet-chemical etching of a silicon layer
CN104749808B (en) * 2015-03-20 2017-08-25 电子科技大学 A kind of liquid crystal light valve and preparation method thereof
CN107088793B (en) * 2017-06-12 2019-02-19 中国电子科技集团公司第二十六研究所 A kind of SAW device single-sided polishing substrate piece preparation method

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5021338A (en) * 1973-06-29 1975-03-06
US4016017A (en) * 1975-11-28 1977-04-05 International Business Machines Corporation Integrated circuit isolation structure and method for producing the isolation structure
JPS63184371A (en) * 1987-01-27 1988-07-29 Nikon Corp Manufacture of photodiode
CA1321121C (en) * 1987-03-27 1993-08-10 Hiroyuki Tokunaga Process for producing compound semiconductor and semiconductor device using compound semiconductor obtained by same
US5290712A (en) * 1989-03-31 1994-03-01 Canon Kabushiki Kaisha Process for forming crystalline semiconductor film
US5278093A (en) * 1989-09-23 1994-01-11 Canon Kabushiki Kaisha Method for forming semiconductor thin film
JP2695488B2 (en) * 1989-10-09 1997-12-24 キヤノン株式会社 Crystal growth method
DE69133359T2 (en) * 1990-08-03 2004-12-16 Canon K.K. Process for the production of an SOI substrate
JP2608351B2 (en) * 1990-08-03 1997-05-07 キヤノン株式会社 Semiconductor member and method of manufacturing semiconductor member
US5403771A (en) * 1990-12-26 1995-04-04 Canon Kabushiki Kaisha Process for producing a solar cell by means of epitaxial growth process
EP1347505A3 (en) * 1991-02-15 2004-10-20 Canon Kabushiki Kaisha Method of preparing semiconductor member using an etching solution
CA2069038C (en) * 1991-05-22 1997-08-12 Kiyofumi Sakaguchi Method for preparing semiconductor member
TW211621B (en) * 1991-07-31 1993-08-21 Canon Kk
EP1251556B1 (en) * 1992-01-30 2010-03-24 Canon Kabushiki Kaisha Process for producing semiconductor substrate
JP3214631B2 (en) * 1992-01-31 2001-10-02 キヤノン株式会社 Semiconductor substrate and method of manufacturing the same
JP3261685B2 (en) * 1992-01-31 2002-03-04 キヤノン株式会社 Semiconductor element substrate and method of manufacturing the same
JP3237888B2 (en) * 1992-01-31 2001-12-10 キヤノン株式会社 Semiconductor substrate and method of manufacturing the same
JP3352118B2 (en) * 1992-08-25 2002-12-03 キヤノン株式会社 Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
CN1082720C (en) 2002-04-10
DE69627252T2 (en) 2004-01-29
KR970013012A (en) 1997-03-29
EP0757377B1 (en) 2003-04-09
US6121112A (en) 2000-09-19
CA2182442A1 (en) 1997-02-03
CN1152187A (en) 1997-06-18
CA2182442C (en) 2000-10-24
EP0757377A2 (en) 1997-02-05
SG60012A1 (en) 1999-02-22
EP0757377A3 (en) 1998-01-07
DE69627252D1 (en) 2003-05-15
TW330306B (en) 1998-04-21

Similar Documents

Publication Publication Date Title
KR100246902B1 (en) Semiconductor substrate and fabrication method for the same
KR960006687B1 (en) Manufacturing method of semiconductor substrate
EP0747935B1 (en) Process for preparing an SOI-member
EP0554795B1 (en) Semiconductor device substrate and process for preparing the same
US6100165A (en) Method of manufacturing semiconductor article
US5453394A (en) Process for preparing semiconductor substrate by bringing first and second substrates in contact
CA2075020C (en) Method for preparing semiconductor member
JP3214631B2 (en) Semiconductor substrate and method of manufacturing the same
KR100236689B1 (en) Fabrication process of soi substrate
US5427055A (en) Method for controlling roughness on surface of monocrystal
JP2994837B2 (en) Semiconductor substrate flattening method, semiconductor substrate manufacturing method, and semiconductor substrate
JP2901031B2 (en) Semiconductor substrate and method of manufacturing the same
JP2910001B2 (en) Semiconductor substrate and method of manufacturing the same
JP3297600B2 (en) Manufacturing method of semiconductor substrate
JPH04346418A (en) Manufacture of semiconductor substrate
JP3157030B2 (en) Semiconductor substrate and manufacturing method thereof
JP3237889B2 (en) Semiconductor substrate and method of manufacturing the same
JPH05217994A (en) Manufacture of semiconductor substrate
JP3293766B2 (en) Semiconductor member manufacturing method
JP3112100B2 (en) Manufacturing method of semiconductor substrate
JP2000150839A (en) Production of semiconductor substrate
JP3112101B2 (en) Manufacturing method of semiconductor substrate
JP3098811B2 (en) Insulated gate field effect transistor and semiconductor device using the same
JPH04349621A (en) Manufacture of semiconductor substrate
JP3080196B2 (en) Semiconductor substrate and method of manufacturing the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121121

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20131126

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee