JPWO2021086691A5 - - Google Patents

Download PDF

Info

Publication number
JPWO2021086691A5
JPWO2021086691A5 JP2022524094A JP2022524094A JPWO2021086691A5 JP WO2021086691 A5 JPWO2021086691 A5 JP WO2021086691A5 JP 2022524094 A JP2022524094 A JP 2022524094A JP 2022524094 A JP2022524094 A JP 2022524094A JP WO2021086691 A5 JPWO2021086691 A5 JP WO2021086691A5
Authority
JP
Japan
Prior art keywords
cleaning assembly
cleaning
pillars
arm
processing tool
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022524094A
Other languages
Japanese (ja)
Other versions
JP2023501132A (en
Publication date
Application filed filed Critical
Priority claimed from PCT/US2020/056534 external-priority patent/WO2021086691A1/en
Publication of JP2023501132A publication Critical patent/JP2023501132A/en
Publication of JPWO2021086691A5 publication Critical patent/JPWO2021086691A5/ja
Pending legal-status Critical Current

Links

Description

一実施形態では、シャワーヘッド108は、プラズマチャンバ105を開かずに中温で洗浄される。シャワーヘッド108の中温の例は、摂氏200度または摂氏約200度の温度であり、例えば、摂氏200度の±10%以内である。シャワーヘッドが中温にあるとき、台座110Aもまた、摂氏300度または摂氏約300度などの中温にある。例示すると、台座110Aは、温度が摂氏300度の±10%以内であるとき、摂氏約300度の温度を有する。プラズマチャンバ105が閉じられ、それによりプラズマチャンバ105のセクション105Aと105Bとの間にギャップがない場合、プラズマチャンバ105内に真空が存在し、プラズマチャンバ105内に外気が存在しない。また、プラズマチャンバ105は、中温で真空下にある。 In one embodiment, the showerhead 108 is cleaned at moderate temperatures without opening the plasma chamber 105. An example of a medium temperature for the showerhead 108 is a temperature of or about 200 degrees Celsius, such as within ±10% of 200 degrees Celsius. When the showerhead is at a medium temperature, the pedestal 110A is also at a medium temperature, such as at or about 300 degrees Celsius. To illustrate, pedestal 110A has a temperature of about 300 degrees Celsius when the temperature is within ±10% of 300 degrees Celsius. When plasma chamber 105 is closed so that there is no gap between sections 105A and 105B of plasma chamber 105, a vacuum exists within plasma chamber 105 and no outside air exists within plasma chamber 105. Further, the plasma chamber 105 is at a medium temperature and under vacuum.

洗浄アセンブリ220がアーム414の回転セクション408の頂面416Aに載置されると、突起412Aは、凹部414A内に位置するか、その中で延びるか、その中に延びるか、凹部414Aと嵌合するか、凹部414Aに対して機械的に接続するか、または凹部414Aと機械的に接続するなどして適合する。同様に、突起412Bは、凹部414Bに適合し、突起412Cは、凹部414Cに適合する。突起412Aが凹部414Aに適合し、突起412Bが凹部414Bに適合し、突起412Cが凹部414Cに適合すると、洗浄アセンブリ220は、回転セクション408に対する洗浄アセンブリ220の移動または実質的な移動を回避するために、回転セクション408の頂部に安定して載置される。例えば、回転セクション408の頂部に安定して配置されている洗浄アセンブリ220の角運動はないか、または最小限である。最小角運動の例は、回転セクション408に対して1~2度の角回転など、数度の回転があるものである。 When the cleaning assembly 220 is placed on the top surface 416A of the rotating section 408 of the arm 414, the protrusion 412A is located within, extends within, or engages the recess 414A. or mechanically connected to the recess 414A, or mechanically connected to the recess 414A. Similarly, protrusion 412B fits into recess 414B, and protrusion 412C fits into recess 414C. When protrusion 412A fits into recess 414A, protrusion 412B fits into recess 414B, and protrusion 412C fits into recess 414C, cleaning assembly 220 is configured to avoid movement or substantial movement of cleaning assembly 220 relative to rotating section 408. is stably mounted on top of rotating section 408. For example, there is no or minimal angular movement of cleaning assembly 220 that is stably positioned on top of rotating section 408. An example of a minimum angular movement is one that has several degrees of rotation, such as 1 to 2 degrees of angular rotation relative to rotating section 408.

図9Bは、スピンドル212の移動に伴うスパイダフォーク908Aおよび908Bの垂直方向の移動を例示するシステム950の一実施形態の図である。システム950は、シャワーヘッド108、洗浄装置802、キャリアリング112、台座110A、スピンドル212、ならびにスパイダフォーク908Aおよび908Bを含む。 FIG. 9B is a diagram of one embodiment of a system 950 illustrating vertical movement of spider forks 908A and 908B as spindle 212 moves. System 950 includes showerhead 108, cleaning device 802, carrier ring 112, pedestal 110A, spindle 212, and spider forks 908A and 908B.

図12Bは、洗浄層1206のピラーのセットの一実施形態の側面図である。洗浄層456(図11A)の代わりに、洗浄層1206が使用される。例えば、洗浄層1206は、プレスプレート806(図11B)に取り付けられる。洗浄層1206は、交互の高さであるピラーを含み、2つのより短いピラーの後に1つの高いピラーが続く。例えば、洗浄層1206のピラー1208Aおよび1208Bは、洗浄層1206の別のピラー1208Cよりも短い。ピラー1208Bは、ピラー1208Aに隣接しており、2つのピラー1208Aと1208Bとの間に他のピラーは存在しない。また、ピラー1208Cは、ピラー1208Bに隣接しており、2つのピラー1208Bと1208Cとの間に他のピラーは存在しない。別の例として、洗浄層1206のピラー1208Dおよび1208Eは、洗浄層1206の別のピラー1208Fよりも短い。ピラー1208Dは、ピラー1208Cに隣接しており、2つのピラー1208Cと1208Dとの間に他のピラーは存在しない。同様に、ピラー1208Eは、ピラー1208Dに隣接しており、2つのピラー1208Dと1208Eの間に他のピラーは存在せず、ピラー1208Fは、ピラー1208Eに隣接しており、2つのピラー1208Eと1208Fの間に他のピラーは存在しない。洗浄層1206のピラーのセットは、洗浄層1206の平坦層1102から延びる。 FIG. 12B is a side view of one embodiment of a set of pillars of cleaning layer 1206. Instead of cleaning layer 456 (FIG. 11A), cleaning layer 1206 is used. For example, cleaning layer 1206 is attached to press plate 806 (FIG. 11B). The cleaning layer 1206 includes pillars of alternating heights, two shorter pillars followed by one taller pillar. For example, pillars 1208A and 1208B of cleaning layer 1206 are shorter than another pillar 1208C of cleaning layer 1206. Pillar 1208B is adjacent to pillar 1208A, and there are no other pillars between the two pillars 1208A and 1208B. Further, pillar 1208C is adjacent to pillar 1208B, and no other pillar exists between the two pillars 1208B and 1208C. As another example, pillars 1208D and 1208E of cleaning layer 1206 are shorter than another pillar 1208F of cleaning layer 1206. Pillar 1208D is adjacent to pillar 1208C, and there are no other pillars between the two pillars 1208C and 1208D. Similarly, pillar 1208E is adjacent to pillar 1208D and there are no other pillars between the two pillars 1208D and 1208E, and pillar 1208F is adjacent to pillar 1208E and there are no other pillars between the two pillars 1208E and 1208F. There are no other pillars in between. A set of pillars of cleaning layer 1206 extend from planar layer 1102 of cleaning layer 1206 .

図12Bに示される交互の高さのパターンは、y方向に繰り返される。例えば、図12Bに示されるピラーのセットと同じパターンを有する複数のピラーのセットは、洗浄層1206の平坦層1102からy軸に沿って延びる。 The pattern of alternating heights shown in FIG. 12B is repeated in the y direction. For example, a plurality of sets of pillars having the same pattern as the set of pillars shown in FIG. 12B extend along the y-axis from the planar layer 1102 of the cleaning layer 1206 .

図13Cは、洗浄層1320がピラーセクションおよび非ピラーセクションに分配されることを例示する洗浄層1320の一実施形態の上面図である。洗浄層1320は、ピラーセクション1322Aおよび別のピラーセクション1322Bを含む。洗浄層1320は、非ピラーセクション1324をさらに含む。非ピラーセクション1324は、ピラーセクション1322Aとピラーセクション1322Bとの間にあり、ピラーセクション1322Bからピラーセクション1322Aを分離する。 FIG. 13C is a top view of one embodiment of a cleaning layer 1320 illustrating that the cleaning layer 1320 is distributed into pillar sections and non-pillar sections. Cleaning layer 1320 includes a pillar section 1322A and another pillar section 1322B. Cleaning layer 1320 further includes non-pillar sections 1324. A non-pillar section 1324 is between pillar section 1322A and pillar section 1322B and separates pillar section 1322A from pillar section 1322B.

図15Cは、洗浄アセンブリ220(図2)の動きの一実施形態のグラフ1506である。グラフ1506は、洗浄アセンブリ220がz軸に沿って進行した垂直距離と、洗浄アセンブリ220が進行した水平湾曲距離をプロットしている。グラフ1506は、グラフ1506において、洗浄アセンブリ220が高さH2(図6B)などの距離D2にあるとき、弧に沿って洗浄アセンブリ1056の前後の動きがあることを除いて、グラフ1502(図15A)と同じである。 FIG. 15C is a graph 1506 of one embodiment of the movement of cleaning assembly 220 (FIG. 2). Graph 1506 plots the vertical distance traveled by cleaning assembly 220 along the z-axis and the horizontal curved distance traveled by cleaning assembly 220. Graph 1506 is similar to graph 1502 (FIG. 15A) except that in graph 1506, when cleaning assembly 220 is at a distance D2, such as height H2 (FIG. 6B), there is back and forth movement of cleaning assembly 1056 along an arc. ) is the same as

洗浄アセンブリ220の前後の動きは、スピンドル212(図2)の前後の動きによって達成される。例えば、軸216に対するスピンドル212の回転中、スピンドル212は、第1の期間tp1の間に時計回り方向に移動し、第2の期間tp2の間に反時計回り方向に移動し、時計回りおよび反時計回りの動きを繰り返す。期間tp2は、期間tp1に連続して続く。時計回りおよび反時計回りの動きは、洗浄アセンブリ220が場所hd1とhd2との間の高さH2にある期間中継続する。 Back and forth movement of cleaning assembly 220 is accomplished by back and forth movement of spindle 212 (FIG. 2). For example, during rotation of the spindle 212 with respect to the axis 216, the spindle 212 moves in a clockwise direction during a first period tp1, moves in a counterclockwise direction during a second period tp2, clockwise and counterclockwise. Repeat clockwise movement. Period tp2 continues continuously from period tp1. The clockwise and counterclockwise movements continue during the period when cleaning assembly 220 is at height H2 between locations hd1 and hd2.

前後の動きの間、洗浄アセンブリ220は、シャワーヘッド108の底面604C(図6A)と接触しているか、または底面604Cに近接している。接触すると、洗浄アセンブリ220は、x軸に沿って底面604に対してスライドし、底面604Cから粒子を除去および/または引き付ける。粒子は、静電力によって引き付けられる。 During the back and forth movement, the cleaning assembly 220 is in contact with or proximate the bottom surface 604C (FIG. 6A) of the showerhead 108. Upon contact, cleaning assembly 220 slides along the x-axis relative to bottom surface 604, removing and/or attracting particles from bottom surface 604C. Particles are attracted by electrostatic forces.

図17Bは、洗浄アセンブリ802などの複数の洗浄アセンブリを保管するためのFOUP1750の一実施形態の上面図である。FOUP1750は、ポッド204Aまたはポッド204B(図2)の例である。FOUP1750は、支持延長部1610A~1610Dなどの支持延長部の代わりに、FOUP1750がタイン1752Aおよびタイン1752Bを含むことを除いて、FOUP1600(図16A)と同じ構造を有する。タインは、本明細書ではプロングと呼ばれることもある。タイン1752Aは、後壁1650Eと接続されるかまたは一体であり、後壁1650EからFOUP1700内の空間に延びて洗浄アセンブリ802の左エッジを支持する。同様に、タイン1752Bは、後壁1650Eと接続されるかまたは一体であり、タイン1752BからFOUP1750内の空間に延びて洗浄アセンブリ802の右エッジを支持する。タイン1752Aは、タイ1752Bとy方向に位置合わせされ、洗浄アセンブリ802の左および右エッジを支持する。 FIG. 17B is a top view of one embodiment of a FOUP 1750 for storing multiple cleaning assemblies, such as cleaning assembly 802. FOUP 1750 is an example of pod 204A or pod 204B (FIG. 2). FOUP 1750 has the same structure as FOUP 1600 (FIG. 16A), except that instead of support extensions such as support extensions 1610A-1610D, FOUP 1750 includes tines 1752A and 1752B. Tines are sometimes referred to herein as prongs. Tines 1752A are connected to or integral with back wall 1650E and extend from back wall 1650E into the space within FOUP 1700 to support the left edge of cleaning assembly 802. Similarly, tines 1752B are connected or integral with back wall 1650E and extend from tine 1752B into the space within FOUP 1750 to support the right edge of cleaning assembly 802. Tines 1752A are aligned in the y direction with tines 1752B and support the left and right edges of cleaning assembly 802.

各ローラ2052Aおよび2052Bは、バー1806の周りに巻き付けられ、ローラの内面とバー1806との間にギャップを形成し、バー1806に対するローラの回転を容易にする。各ローラ2052Aおよび2052Bの内面とバー1806との間のギャップは、ギャップがローラ1804(図18A)の内面1805とバー1806との間に形成されるのと同じ方式で形成される。同様に、各ローラ2052Cおよび2052Dは、バー2006の周りに巻き付けられ、ローラの内面とバー2006との間にギャップを形成し、バー2006に対するローラの回転を容易にする。 Each roller 2052A and 2052B is wrapped around bar 1806, creating a gap between the inner surface of the roller and bar 1806 to facilitate rotation of the roller relative to bar 1806. A gap between the inner surface of each roller 2052A and 2052B and bar 1806 is formed in the same manner that a gap is formed between inner surface 1805 of roller 1804 (FIG. 18A) and bar 1806. Similarly, each roller 2052C and 2052D is wrapped around bar 2006 , creating a gap between the inner surface of the roller and bar 2006 to facilitate rotation of the roller relative to bar 2006 .

図24は、1つではなく2つのローラ2302および2404が使用される洗浄アセンブリ2402を例示するシステム2400の一実施形態の上面図である。システム2400は、洗浄アセンブリ2402、スピンドル212、およびアーム404(図4A)を含む。洗浄アセンブリ2402は、洗浄アセンブリ2402がローラ2052A~2052D(図20B)の代わりにローラ2302およびローラ2404を含むことを除いて、洗浄アセンブリ2050(図20B)と構造が同じである。例えば、洗浄アセンブリ2402は、ハウジング1820、ならびにローラ2302および2404を有する。別の例として、ローラ2302は、ローラ2052Aおよび2052Bの代わりにバー1806の周りに巻き付けられ、ローラ2404は、ローラ2052Cおよび2052Dの代わりにバー2006の周りに巻き付けられる。 FIG. 24 is a top view of one embodiment of a system 2400 illustrating a cleaning assembly 2402 where two rollers 2302 and 2404 are used instead of one. System 2400 includes cleaning assembly 2402, spindle 212, and arm 404 (FIG. 4A). Cleaning assembly 2402 is similar in construction to cleaning assembly 2050 (FIG. 20B), except that cleaning assembly 2402 includes rollers 2302 and 2404 instead of rollers 2052A-2052D (FIG. 20B). For example, cleaning assembly 2402 has a housing 1820 and rollers 2302 and 2404 . As another example, roller 2302 is wrapped around bar 1806 instead of rollers 2052A and 2052B, and roller 2404 is wrapped around bar 2006 instead of rollers 2052C and 2052D.

ローラ2404は、ローラ2302と同じ構造を有する。例えば、ローラ2404は、外径OD4よりも小さい外径OD3を有するようにスピンドル212に向かって先細になっている。また、外径OD3とOD4の比は、半径R1とR2(図23)との間の比と同じである。 Roller 2404 has the same structure as roller 2302. For example, roller 2404 tapers toward spindle 212 to have an outer diameter OD3 that is less than outer diameter OD4. Also, the ratio between the outer diameters OD3 and OD4 is the same as the ratio between the radii R1 and R2 (FIG. 23).

図25Bは、減圧位置にある洗浄アセンブリ2500の一実施形態の側面図である。洗浄アセンブリ2500は、ばね2508Aおよびピン2510Aを含む。ばね2508Aの例は、ステンレス波ばねなどの金属波ばねである。ばね2508Aは、パッド2502によってシャワーヘッド108(図1)に加えられる力を制御する。ばね2508Aは、ピン2510Aの周りに巻き付く。例えば、ピン2510は、z軸に沿って、またはばね2508Aの長さに沿ってばね2508Aを通過するので、ピン2510の長さはばね2508Aの長さによって囲まれる。 FIG. 25B is a side view of one embodiment of cleaning assembly 2500 in a reduced pressure position. Cleaning assembly 2500 includes spring 2508A and pin 2510A. An example of spring 2508A is a metal wave spring such as a stainless steel wave spring. Spring 2508A controls the force applied by pad 2502 to showerhead 108 (FIG. 1). Spring 2508A wraps around pin 2510A. For example, pin 2510A passes through spring 2508A along the z-axis or along the length of spring 2508A, so the length of pin 2510A is bounded by the length of spring 2508A.

図25Cは、圧縮位置にある洗浄アセンブリ2500の一実施形態の側面図である。プレスプレート2504およびパッド2502は、支持プレート2506に対してz軸に沿って圧縮位置にある。例えば、プレスプレート2504およびパッド2502は、プレスプレート2504およびパッド2502が減圧位置にあるz軸に沿った距離と比較して、支持プレート2506の頂面2506Aに近いz軸に沿った距離にある。例示すると、減圧位置でのプレスプレート2504と支持プレート2506との間のz軸に沿った距離は、0.12インチ~0.13インチ(0.3048センチメートル~0.3302センチメートル)の範囲である。さらに例示すると、減圧位置でのプレスプレート2504と支持プレート2506との間の距離は、0.125インチ(0.3175センチメートル)である。 FIG. 25C is a side view of one embodiment of cleaning assembly 2500 in a compressed position. Press plate 2504 and pad 2502 are in a compressed position along the z-axis relative to support plate 2506. For example, press plate 2504 and pad 2502 are at a distance along the z-axis closer to the top surface 2506A of support plate 2506 compared to the distance along the z-axis at which press plate 2504 and pad 2502 are in the vacuum position. To illustrate, the distance along the z-axis between press plate 2504 and support plate 2506 in the vacuum position ranges from 0.12 inches to 0.13 inches (0.3048 centimeters to 0.3302 centimeters). It is. To further illustrate, the distance between press plate 2504 and support plate 2506 in the vacuum position is 0.125 inches (0.3175 centimeters).

前述の実施形態は、明確な理解のために多少詳しく説明されているが、一定の変更および修正を添付の特許請求の範囲の範囲内で実践できることは明らかであろう。したがって、本実施形態は、限定ではなく例示と見なされるべきであり、実施形態は本明細書に述べられる詳細に限定されるべきではなく、添付の特許請求の範囲および均等物の範囲内で修正されてもよい。例えば、本開示は以下の形態として実現できる。
[形態1]
洗浄アセンブリであって、
底側および上側を有する支持セクションであって、前記底側は、プラズマ処理ツールのアームに接続し、前記アームは、真空下で前記プラズマ処理ツール内の前記洗浄アセンブリの移動を操作するように構成される支持セクションと、
圧縮インターフェースを介して前記支持セクションの前記上側に結合されたプレスプレートと、
前記プレスプレートの上に配置された洗浄層であって、前記洗浄層は、複数のピラーを含む洗浄層と
を備え、
前記アームは、前記洗浄層を前記プラズマ処理ツールの内側の表面上に移動させ、前記表面上に配置された微粒子を前記表面から離れて前記洗浄層上に移行させるように構成される、
洗浄アセンブリ。
[形態2]
形態1に記載の洗浄アセンブリであって、
前記圧縮インターフェースは、前記アームが前記洗浄層を前記表面上に移動させるときに前記表面に対するクッションを提供する複数のばねを含む、洗浄アセンブリ。
[形態3]
形態1に記載の洗浄アセンブリであって、
前記支持セクションの前記底側は、前記アームが前記洗浄アセンブリを移動させるときに、前記アームへの前記接続を可能にする複数の突起を含む、洗浄アセンブリ。
[形態4]
形態1に記載の洗浄アセンブリであって、
前記プレスプレートと前記洗浄層の間に配置され、前記アームが前記洗浄層を前記プラズマ処理ツールの内側の前記表面上に押し付けるときに、追加の圧縮吸収度を提供するクッション層
をさらに備える、洗浄アセンブリ。
[形態5]
形態1に記載の洗浄アセンブリであって、
前記洗浄層は、前記複数のピラーを画定するように製作されたポリイミド材料から形成される、洗浄アセンブリ。
[形態6]
形態1に記載の洗浄アセンブリであって、
前記複数のピラーは、前記複数のピラーの上部接触面および下部非接触面を含む微細構造パターンで配置される、洗浄アセンブリ。
[形態7]
形態6に記載の洗浄アセンブリであって、
前記アームによる前記移動は、前記上部接触面を前記表面と近接または接触させて前記微粒子を前記表面から前記複数のピラーの1つまたは複数の上に引き付けさせ、前記微粒子の一部は、前記下部非接触面に向かって移行する、洗浄アセンブリ。
[形態8]
形態6に記載の洗浄アセンブリであって、
前記アームによる前記移動は、前記上部接触面を前記表面と周期的に接触させて前記微粒子を前記表面から前記複数のピラーの1つまたは複数の上に引き付けさせ、前記微粒子の一部は、前記下部非接触面に向かって移行する、洗浄アセンブリ。
[形態9]
形態6に記載の洗浄アセンブリであって、
前記アームによる前記移動は、前記上部接触面を前記表面と接触させて前記表面に沿って水平接触でスライドさせ、前記接触および前記表面に沿った水平接触の前記スライドを繰り返させ、前記微粒子を前記表面から前記複数のピラーの1つまたは複数の上に引き付けさせる、洗浄アセンブリ。
[形態10]
形態6に記載の洗浄アセンブリであって、
前記アームによる前記移動は、前記上部接触面を前記表面と接触させて前記表面に沿って前後に動きながら水平接触でスライドさせ、前記微粒子を前記表面から前記複数のピラーの1つまたは複数の上に除去させる、洗浄アセンブリ。
[形態11]
形態1に記載の洗浄アセンブリであって、
前記プラズマ処理ツールは、前記洗浄アセンブリおよび1つまたは複数の追加の洗浄アセンブリを保持するために使用されるポッドとインターフェースするためのロードロックとインターフェースされ、
前記洗浄アセンブリは、前記表面を洗浄するために前記プラズマ処理ツールに持ち込まれ、前記洗浄が実施された後に前記処理ツールから取り出されるように構成され、前記処理ツールへの前記持ち込みおよび前記処理ツールからの取り出しは、前記真空から前記プラズマ処理ツールを降ろすことなく行われる、洗浄アセンブリ。
[形態12]
形態1に記載の洗浄アセンブリであって、
前記アームは、前記プラズマ処理ツール内に配置されたスピンドルアセンブリに接続された複数のアームのうちの1つであり、前記スピンドルアセンブリは、処理ステーションのセットの間に配向される、洗浄アセンブリ。
[形態13]
形態1に記載の洗浄アセンブリであって、
前記表面は、前記プラズマ処理ツール内の処理ステーションのシャワーヘッドのものである、洗浄アセンブリ。
[形態14]
形態1に記載の洗浄アセンブリであって、
前記洗浄アセンブリは、消耗部品である、洗浄アセンブリ。
[形態15]
形態1に記載の洗浄アセンブリであって、
前記複数のピラーの各々は、ドームトップピラー、またはキノコ形ピラー、または円錐形ピラー、またはフラットトップピラー、またはリセッシブトップピラー、または多面トップピラー、またはスロット付きトップピラー、または突起トップピラー、またはそれらの組み合わせである、洗浄アセンブリ。
[形態16]
プラズマ処理ツール内の表面を洗浄するための方法であって、
前記プラズマ処理ツールのアーム上で洗浄アセンブリを受け取ることであって、前記洗浄アセンブリは、
底側および上側を有する支持セクションであって、前記底側は、前記プラズマ処理ツールの前記アームに接続する支持セクション、
圧縮インターフェースを介して前記支持セクションの前記上側に結合されたプレスプレート、および
前記プレスプレートの上に配置された洗浄層であって、前記洗浄層は、複数のピラーを含む洗浄層
を含むことと、
上方方向に前記アームを移動させて前記洗浄層を前記プラズマ処理ツールの内側の前記表面上に移動させ、前記洗浄層を前記表面に近接させることと
を含む、方法。
[形態17]
形態16に記載の方法であって、
前記上方方向に前記アームを前記移動させることは、前記複数のピラーを前記表面と近接または接触させ、それにより前記表面下の微粒子が前記表面から前記複数のピラーの1つまたは複数の上に引き付けられ、前記微粒子の一部は、前記洗浄層の非接触面に向かって移行する、方法。
[形態18]
形態16に記載の方法であって、
前記上方方向に前記アームを前記移動させることは、前記複数のピラーを前記表面と周期的に接触させて微粒子を前記表面から前記複数のピラーの1つまたは複数の上に引き付けさせ、前記微粒子の一部は、前記下部非接触面に向かって移行する、方法。
[形態19]
形態16に記載の方法であって、
前記表面は、前記プラズマ処理ツールのシャワーヘッドのものである、方法。
[形態20]
形態16に記載の方法であって、
前記アームを回転させ、前記プラズマ処理ツールのスピンドルに対して弧に沿って前記洗浄アセンブリを移動させることをさらに含む、方法。
Although the embodiments described above have been described in some detail for clarity of understanding, it will be obvious that certain changes and modifications may be practiced within the scope of the appended claims. Accordingly, the present embodiments are to be regarded as illustrative rather than limiting, and embodiments are not to be limited to the details set forth herein, but with modification within the scope of the appended claims and equivalents. may be done. For example, the present disclosure can be implemented as the following form.
[Form 1]
A cleaning assembly, the cleaning assembly comprising:
a support section having a bottom side and a top side, the bottom side connecting to an arm of a plasma processing tool, the arm configured to manipulate movement of the cleaning assembly within the plasma processing tool under vacuum; a supporting section that is
a press plate coupled to the upper side of the support section via a compression interface;
a cleaning layer disposed on the press plate, the cleaning layer including a plurality of pillars;
Equipped with
the arm is configured to move the cleaning layer onto an interior surface of the plasma processing tool and transfer particulates disposed on the surface away from the surface and onto the cleaning layer;
cleaning assembly.
[Form 2]
The cleaning assembly according to aspect 1, comprising:
The compression interface includes a plurality of springs that provide cushioning against the surface as the arm moves the cleaning layer over the surface.
[Form 3]
The cleaning assembly according to aspect 1, comprising:
The bottom side of the support section includes a plurality of protrusions to enable the connection to the arm as the arm moves the cleaning assembly.
[Form 4]
The cleaning assembly according to aspect 1, comprising:
a cushion layer disposed between the press plate and the cleaning layer to provide additional compressive absorption when the arm presses the cleaning layer onto the surface inside the plasma processing tool;
A cleaning assembly further comprising:
[Form 5]
The cleaning assembly according to aspect 1, comprising:
The cleaning layer is formed from a polyimide material fabricated to define the plurality of pillars.
[Form 6]
The cleaning assembly according to aspect 1, comprising:
The cleaning assembly wherein the plurality of pillars are arranged in a microstructured pattern that includes upper contact surfaces and lower non-contact surfaces of the plurality of pillars.
[Form 7]
The cleaning assembly according to aspect 6, comprising:
The movement by the arm brings the upper contact surface into proximity or contact with the surface to attract the particulates from the surface onto one or more of the plurality of pillars, and some of the particulates are attracted to the lower part. Cleaning assembly transitioning towards non-contact surfaces.
[Form 8]
The cleaning assembly according to aspect 6, comprising:
The movement by the arm causes the upper contact surface to periodically contact the surface to attract the particulates from the surface onto one or more of the plurality of pillars, some of the particulates being Cleaning assembly transitioning towards the bottom non-contact surface.
[Form 9]
The cleaning assembly according to aspect 6, comprising:
The movement by the arm causes the upper contact surface to contact the surface and slide along the surface in horizontal contact, repeating the contact and the sliding in horizontal contact along the surface to cause the particulate to A cleaning assembly drawn from a surface onto one or more of the plurality of pillars.
[Form 10]
The cleaning assembly according to aspect 6, comprising:
The movement by the arm causes the upper contact surface to contact the surface and slide in horizontal contact while moving back and forth along the surface to move the particulate from the surface onto one or more of the plurality of pillars. the cleaning assembly.
[Form 11]
The cleaning assembly according to aspect 1, comprising:
the plasma processing tool is interfaced with a load lock for interfacing with a pod used to hold the cleaning assembly and one or more additional cleaning assemblies;
The cleaning assembly is configured to be brought into the plasma processing tool to clean the surface and removed from the processing tool after the cleaning has been performed, and the cleaning assembly is configured to be brought into and out of the processing tool. The removal of the cleaning assembly is performed without removing the plasma processing tool from the vacuum.
[Form 12]
The cleaning assembly according to aspect 1, comprising:
The cleaning assembly, wherein the arm is one of a plurality of arms connected to a spindle assembly disposed within the plasma processing tool, the spindle assembly being oriented between sets of processing stations.
[Form 13]
The cleaning assembly according to aspect 1, comprising:
The cleaning assembly, wherein the surface is of a showerhead of a processing station within the plasma processing tool.
[Form 14]
The cleaning assembly according to aspect 1, comprising:
The cleaning assembly is a consumable part.
[Form 15]
The cleaning assembly according to aspect 1, comprising:
Each of the plurality of pillars is a dome top pillar, or a mushroom-shaped pillar, or a conical pillar, or a flat top pillar, or a recessive top pillar, or a faceted top pillar, or a slotted top pillar, or a protruding top pillar, or A cleaning assembly is a combination of these.
[Form 16]
A method for cleaning surfaces within a plasma processing tool, the method comprising:
receiving a cleaning assembly on an arm of the plasma processing tool, the cleaning assembly comprising:
a support section having a bottom side and a top side, the bottom side connecting to the arm of the plasma processing tool;
a press plate coupled to the upper side of the support section via a compression interface;
a cleaning layer disposed on the press plate, the cleaning layer including a plurality of pillars;
including;
moving the arm in an upward direction to move the cleaning layer onto the surface inside the plasma processing tool, bringing the cleaning layer closer to the surface;
including methods.
[Form 17]
The method according to Form 16,
The moving the arm in the upward direction brings the plurality of pillars into close proximity to or contact with the surface, thereby attracting subsurface particles from the surface onto one or more of the plurality of pillars. and a portion of the particulates migrate toward a non-contact surface of the cleaning layer.
[Form 18]
The method according to Form 16,
The moving the arm in the upward direction periodically brings the plurality of pillars into contact with the surface to attract particulates from the surface onto one or more of the plurality of pillars, and attracts particulates from the surface onto one or more of the plurality of pillars. a portion of which migrates toward said lower non-contact surface.
[Form 19]
The method according to Form 16,
The method wherein the surface is of a showerhead of the plasma processing tool.
[Form 20]
The method according to Form 16,
The method further comprising rotating the arm to move the cleaning assembly along an arc relative to a spindle of the plasma processing tool.

Claims (20)

洗浄アセンブリであって、
底側および上側を有する支持セクションであって、前記底側は、プラズマ処理ツールのアームに接続し、前記アームは、真空下で前記プラズマ処理ツール内の前記洗浄アセンブリの移動を操作するように構成される支持セクションと、
圧縮インターフェースを介して前記支持セクションの前記上側に結合されたプレスプレートと、
前記プレスプレートの上に配置された洗浄層であって、前記洗浄層は、複数のピラーを含む洗浄層と
を備え、
前記アームは、前記洗浄層を前記プラズマ処理ツールの内側の表面上に移動させ、前記表面上に配置された微粒子を前記表面から離れて前記洗浄層上に移行させるように構成される、
洗浄アセンブリ。
A cleaning assembly, the cleaning assembly comprising:
a support section having a bottom side and a top side, the bottom side connecting to an arm of a plasma processing tool, the arm configured to manipulate movement of the cleaning assembly within the plasma processing tool under vacuum; a supporting section that is
a press plate coupled to the upper side of the support section via a compression interface;
a cleaning layer disposed on the press plate, the cleaning layer including a plurality of pillars;
the arm is configured to move the cleaning layer onto an interior surface of the plasma processing tool and transfer particulates disposed on the surface away from the surface and onto the cleaning layer;
cleaning assembly.
請求項1に記載の洗浄アセンブリであって、
前記圧縮インターフェースは、前記アームが前記洗浄層を前記表面上に移動させるときに前記表面に対するクッションを提供する複数のばねを含む、洗浄アセンブリ。
A cleaning assembly according to claim 1, comprising:
The compression interface includes a plurality of springs that provide cushioning against the surface as the arm moves the cleaning layer over the surface.
請求項1に記載の洗浄アセンブリであって、
前記支持セクションの前記底側は、前記アームが前記洗浄アセンブリを移動させるときに、前記アームへの前記接続を可能にする複数の突起を含む、洗浄アセンブリ。
A cleaning assembly according to claim 1, comprising:
The bottom side of the support section includes a plurality of protrusions to enable the connection to the arm as the arm moves the cleaning assembly.
請求項1に記載の洗浄アセンブリであって、
前記プレスプレートと前記洗浄層の間に配置され、前記アームが前記洗浄層を前記プラズマ処理ツールの内側の前記表面上に押し付けるときに、追加の圧縮吸収度を提供するクッション層
をさらに備える、洗浄アセンブリ。
A cleaning assembly according to claim 1, comprising:
the cleaning further comprising: a cushion layer disposed between the press plate and the cleaning layer to provide additional compressive absorption when the arm presses the cleaning layer onto the surface inside the plasma processing tool; assembly.
請求項1に記載の洗浄アセンブリであって、
前記洗浄層は、前記複数のピラーを画定するように製作されたポリイミド材料から形成される、洗浄アセンブリ。
A cleaning assembly according to claim 1, comprising:
The cleaning layer is formed from a polyimide material fabricated to define the plurality of pillars.
請求項1に記載の洗浄アセンブリであって、
前記複数のピラーは、前記複数のピラーの上部接触面および下部非接触面を含む微細構造パターンで配置される、洗浄アセンブリ。
A cleaning assembly according to claim 1, comprising:
The cleaning assembly wherein the plurality of pillars are arranged in a microstructured pattern that includes upper contact surfaces and lower non-contact surfaces of the plurality of pillars.
請求項6に記載の洗浄アセンブリであって、
前記アームによる前記移動は、前記上部接触面を前記表面と近接または接触させて前記微粒子を前記表面から前記複数のピラーの1つまたは複数の上に引き付けさせ、前記微粒子の一部は、前記下部非接触面に向かって移行する、洗浄アセンブリ。
7. A cleaning assembly according to claim 6, comprising:
The movement by the arm brings the upper contact surface into proximity or contact with the surface to attract the particulates from the surface onto one or more of the plurality of pillars, and some of the particulates are attracted to the lower part. Cleaning assembly transitioning towards non-contact surfaces.
請求項6に記載の洗浄アセンブリであって、
前記アームによる前記移動は、前記上部接触面を前記表面と周期的に接触させて前記微粒子を前記表面から前記複数のピラーの1つまたは複数の上に引き付けさせ、前記微粒子の一部は、前記下部非接触面に向かって移行する、洗浄アセンブリ。
7. A cleaning assembly according to claim 6, comprising:
The movement by the arm causes the upper contact surface to periodically contact the surface to attract the particulates from the surface onto one or more of the plurality of pillars, some of the particulates being Cleaning assembly transitioning towards the bottom non-contact surface.
請求項6に記載の洗浄アセンブリであって、
前記アームによる前記移動は、前記上部接触面を前記表面と接触させて前記表面に沿って水平接触でスライドさせ、前記接触および前記表面に沿った水平接触の前記スライドを繰り返させ、前記微粒子を前記表面から前記複数のピラーの1つまたは複数の上に引き付けさせる、洗浄アセンブリ。
7. A cleaning assembly according to claim 6, comprising:
The movement by the arm causes the upper contact surface to contact the surface and slide along the surface in horizontal contact, repeating the contact and the sliding in horizontal contact along the surface to cause the particulate to A cleaning assembly drawn from a surface onto one or more of the plurality of pillars.
請求項6に記載の洗浄アセンブリであって、
前記アームによる前記移動は、前記上部接触面を前記表面と接触させて前記表面に沿って前後に動きながら水平接触でスライドさせ、前記微粒子を前記表面から前記複数のピラーの1つまたは複数の上に除去させる、洗浄アセンブリ。
7. A cleaning assembly according to claim 6, comprising:
The movement by the arm causes the upper contact surface to contact the surface and slide in horizontal contact while moving back and forth along the surface to move the particulate from the surface onto one or more of the plurality of pillars. the cleaning assembly.
請求項1に記載の洗浄アセンブリであって、
前記プラズマ処理ツールは、前記洗浄アセンブリおよび1つまたは複数の追加の洗浄アセンブリを保持するために使用されるポッドとインターフェースするためのロードロックとインターフェースされ、
前記洗浄アセンブリは、前記表面を洗浄するために前記プラズマ処理ツールに持ち込まれ、前記洗浄が実施された後に前記処理ツールから取り出されるように構成され、前記処理ツールへの前記持ち込みおよび前記処理ツールからの取り出しは、前記真空から前記プラズマ処理ツールを降ろすことなく行われる、洗浄アセンブリ。
A cleaning assembly according to claim 1, comprising:
the plasma processing tool is interfaced with a load lock for interfacing with a pod used to hold the cleaning assembly and one or more additional cleaning assemblies;
The cleaning assembly is configured to be brought into the plasma processing tool to clean the surface and removed from the processing tool after the cleaning has been performed, and the cleaning assembly is configured to be brought into and out of the processing tool. The removal of the cleaning assembly is performed without removing the plasma processing tool from the vacuum.
請求項1に記載の洗浄アセンブリであって、
前記アームは、前記プラズマ処理ツール内に配置されたスピンドルアセンブリに接続された複数のアームのうちの1つであり、前記スピンドルアセンブリは、処理ステーションのセットの間に配向される、洗浄アセンブリ。
A cleaning assembly according to claim 1, comprising:
The cleaning assembly, wherein the arm is one of a plurality of arms connected to a spindle assembly disposed within the plasma processing tool, the spindle assembly being oriented between sets of processing stations.
請求項1に記載の洗浄アセンブリであって、
前記表面は、前記プラズマ処理ツール内の処理ステーションのシャワーヘッドのものである、洗浄アセンブリ。
A cleaning assembly according to claim 1, comprising:
The cleaning assembly, wherein the surface is of a showerhead of a processing station within the plasma processing tool.
請求項1に記載の洗浄アセンブリであって、
前記洗浄アセンブリは、消耗部品である、洗浄アセンブリ。
A cleaning assembly according to claim 1, comprising:
The cleaning assembly is a consumable part.
請求項1に記載の洗浄アセンブリであって、
前記複数のピラーの各々は、ドームトップピラー、またはキノコ形ピラー、または円錐形ピラー、またはフラットトップピラー、またはリセッシブトップピラー、または多面トップピラー、またはスロット付きトップピラー、または突起トップピラー、またはそれらの組み合わせである、洗浄アセンブリ。
A cleaning assembly according to claim 1, comprising:
Each of the plurality of pillars is a dome top pillar, or a mushroom-shaped pillar, or a conical pillar, or a flat top pillar, or a recessive top pillar, or a faceted top pillar, or a slotted top pillar, or a protruding top pillar, or A cleaning assembly is a combination of these.
プラズマ処理ツール内の表面を洗浄するための方法であって、
前記プラズマ処理ツールのアーム上で洗浄アセンブリを受け取ることであって、前記洗浄アセンブリは、
底側および上側を有する支持セクションであって、前記底側は、前記プラズマ処理ツールの前記アームに接続する支持セクション、
圧縮インターフェースを介して前記支持セクションの前記上側に結合されたプレスプレート、および
前記プレスプレートの上に配置された洗浄層であって、前記洗浄層は、複数のピラーを含む洗浄層
を含むことと、
上方方向に前記アームを移動させて前記洗浄層を前記プラズマ処理ツールの内側の前記表面上に移動させ、前記洗浄層を前記表面に近接させることと
を含む、方法。
A method for cleaning surfaces within a plasma processing tool, the method comprising:
receiving a cleaning assembly on an arm of the plasma processing tool, the cleaning assembly comprising:
a support section having a bottom side and a top side, the bottom side connecting to the arm of the plasma processing tool;
a press plate coupled to the upper side of the support section via a compression interface; and a cleaning layer disposed over the press plate, the cleaning layer including a plurality of pillars. ,
moving the arm in an upward direction to move the cleaning layer onto the surface inside the plasma processing tool, bringing the cleaning layer into proximity with the surface.
請求項16に記載の方法であって、
前記上方方向に前記アームを前記移動させることは、前記複数のピラーを前記表面と近接または接触させ、それにより前記表面下の微粒子が前記表面から前記複数のピラーの1つまたは複数の上に引き付けられ、前記微粒子の一部は、前記洗浄層の非接触面に向かって移行する、方法。
17. The method according to claim 16,
The moving the arm in the upward direction brings the plurality of pillars into close proximity to or contact with the surface, thereby attracting subsurface particles from the surface onto one or more of the plurality of pillars. and a portion of the particulates migrate toward a non-contact surface of the cleaning layer.
請求項16に記載の方法であって、
前記上方方向に前記アームを前記移動させることは、前記複数のピラーを前記表面と周期的に接触させて微粒子を前記表面から前記複数のピラーの1つまたは複数の上に引き付けさせ、前記微粒子の一部は、前記洗浄層の非接触面に向かって移行する、方法。
17. The method according to claim 16,
The moving the arm in the upward direction periodically brings the plurality of pillars into contact with the surface to attract particulates from the surface onto one or more of the plurality of pillars, and attracts particulates from the surface onto one or more of the plurality of pillars. A portion of the cleaning layer migrates towards a non-contact surface .
請求項16に記載の方法であって、
前記表面は、前記プラズマ処理ツールのシャワーヘッドのものである、方法。
17. The method according to claim 16,
The method wherein the surface is of a showerhead of the plasma processing tool.
請求項16に記載の方法であって、
前記アームを回転させ、前記プラズマ処理ツールのスピンドルに対して弧に沿って前記洗浄アセンブリを移動させることをさらに含む、方法。
17. The method according to claim 16,
The method further comprising rotating the arm to move the cleaning assembly along an arc relative to a spindle of the plasma processing tool.
JP2022524094A 2019-11-01 2020-10-20 Systems and methods for cleaning showerheads Pending JP2023501132A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962929328P 2019-11-01 2019-11-01
US62/929,328 2019-11-01
PCT/US2020/056534 WO2021086691A1 (en) 2019-11-01 2020-10-20 Systems and methods for cleaning a showerhead

Publications (2)

Publication Number Publication Date
JP2023501132A JP2023501132A (en) 2023-01-18
JPWO2021086691A5 true JPWO2021086691A5 (en) 2023-10-30

Family

ID=75715562

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022524094A Pending JP2023501132A (en) 2019-11-01 2020-10-20 Systems and methods for cleaning showerheads

Country Status (6)

Country Link
US (1) US20220367159A1 (en)
JP (1) JP2023501132A (en)
KR (1) KR20220093181A (en)
CN (1) CN114630924A (en)
TW (1) TW202132610A (en)
WO (1) WO2021086691A1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11972935B2 (en) * 2021-08-27 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for processing a semiconductor substrate
KR102654366B1 (en) 2024-03-06 2024-04-03 주식회사 디에프텍 Showerhead cleaning method used in the semiconductor manufacturing process

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR0171491B1 (en) * 1994-09-20 1999-03-30 이시다 아키라 Rotary substrate washing apparatus
JP4121269B2 (en) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 Plasma CVD apparatus and method for performing self-cleaning
KR101332474B1 (en) * 2006-08-11 2013-11-25 닛토덴코 가부시키가이샤 Cleaning member, delivery member with cleaning function, and method of cleaning substrate processing apparatus
JP4509981B2 (en) * 2006-08-11 2010-07-21 日東電工株式会社 Cleaning member, conveying member with cleaning function, and cleaning method for substrate processing apparatus
JP5038259B2 (en) * 2008-08-26 2012-10-03 株式会社日立ハイテクノロジーズ Cleaning device and cleaning method
US20110117728A1 (en) * 2009-08-27 2011-05-19 Applied Materials, Inc. Method of decontamination of process chamber after in-situ chamber clean
US20160233115A1 (en) * 2010-02-01 2016-08-11 Hermes-Epitek Corporation Cleaning apparatus for semiconductor equipment
CN102251228B (en) * 2011-03-25 2015-12-16 中微半导体设备(上海)有限公司 The method of clean air e Foerderanlage, the method for growing film and reaction unit
CN102181844B (en) * 2011-04-07 2015-04-22 中微半导体设备(上海)有限公司 Cleaning device and method, and film growth reactor and method
DE102015107315A1 (en) * 2014-07-02 2016-01-07 Aixtron Se Method and device for cleaning a gas inlet element
TWI600479B (en) * 2016-08-26 2017-10-01 北京七星華創電子股份有限公司 Ultrasonic and megasonic cleaning device
JP6775450B2 (en) * 2017-03-21 2020-10-28 東京エレクトロン株式会社 Stage cleaning method and stage cleaning parts, and inspection system
US10651065B2 (en) * 2017-12-06 2020-05-12 Lam Research Corporation Auto-calibration to a station of a process module that spins a wafer

Similar Documents

Publication Publication Date Title
JP7166858B2 (en) In-situ equipment for semiconductor process modules
CN1845863B (en) Ball transfer unit and ball table
US5845662A (en) Device for treatment of wafer-shaped articles, especially silicon wafers
US8142111B2 (en) Support platform of non-contact transfer apparatus
KR100567496B1 (en) Carriers for thin disc shaped goods
RU2309882C2 (en) Pressure member and transporting system for flat articles
KR20150055550A (en) Polishing cleaning mechanism, substrate processing apparatus, and substrate processing method
WO2013000420A1 (en) Wafer-clamping device using spring clips
TWI636518B (en) Substrate processing apparatus and a processed substrate manufacturing method
US7635241B2 (en) Support platform of non-contact transfer apparatus
CN105966916B (en) Substrate manipulator for conveying
JPWO2021086691A5 (en)
JP6136084B2 (en) Purge device and purge method
US6643893B2 (en) Apparatus for cleaning semiconductor wafers in a vacuum environment
JP2018117018A (en) Substrate processing apparatus, substrate processing method, and storage medium
US9004564B2 (en) Wafer handling apparatus
JP2003124297A (en) Wafer lift mechanism
TWI797289B (en) Pin lifting device with coupling for receiving and releasing a supporting pin
KR100853378B1 (en) Touch cleaning apparatus for large area substrate
US20220367159A1 (en) Systems and methods for cleaning a showerhead
CN112331609B (en) Heating base in semiconductor process equipment and semiconductor process equipment
CN105313227B (en) The end material separation method and end material separator of brittle material substrate
CN105374709A (en) Engagement device, engagement system and engagement method
CN111326474B (en) Reaction chamber and semiconductor processing equipment
JPH03204483A (en) Non-slide vacuum gate valve