JPS60103632A - Method of separating performance problems of cmos lsi and vlsi having internal delay testing function - Google Patents

Method of separating performance problems of cmos lsi and vlsi having internal delay testing function

Info

Publication number
JPS60103632A
JPS60103632A JP58209244A JP20924483A JPS60103632A JP S60103632 A JPS60103632 A JP S60103632A JP 58209244 A JP58209244 A JP 58209244A JP 20924483 A JP20924483 A JP 20924483A JP S60103632 A JPS60103632 A JP S60103632A
Authority
JP
Japan
Prior art keywords
chip
signal
vlsi
circuit
ring oscillator
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP58209244A
Other languages
Japanese (ja)
Other versions
JPH0799756B2 (en
Inventor
ジヨン・ジエイ・ザシオ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SUTOORITSUJI TEKUNOROJII PAATO
SUTOORITSUJI TEKUNOROJII PAATONAAZU
Original Assignee
SUTOORITSUJI TEKUNOROJII PAATO
SUTOORITSUJI TEKUNOROJII PAATONAAZU
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SUTOORITSUJI TEKUNOROJII PAATO, SUTOORITSUJI TEKUNOROJII PAATONAAZU filed Critical SUTOORITSUJI TEKUNOROJII PAATO
Priority to JP58209244A priority Critical patent/JPH0799756B2/en
Publication of JPS60103632A publication Critical patent/JPS60103632A/en
Publication of JPH0799756B2 publication Critical patent/JPH0799756B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。
(57) [Summary] This bulletin contains application data before electronic filing, so abstract data is not recorded.

Description

【発明の詳細な説明】 本発明は大規模集積(LSI)回路チップ及び超大規模
集積(VLSI)回路チップに関係し、特に、コンプリ
メンタリ金属酸化物半導体(CMO8)論理回路を用い
るLSI及びVLSI回路チップに関係する。
DETAILED DESCRIPTION OF THE INVENTION The present invention relates to large scale integrated (LSI) circuit chips and very large scale integrated (VLSI) circuit chips, and more particularly to LSI and VLSI circuit chips using complementary metal oxide semiconductor (CMO8) logic circuits. related to.

更に特別には、本発明は特定の組の複合試験回路を含む
CMO8LSI及びVLS I回路チップに関係し、該
複合試験回路は、チップを機能的に試験するために用い
られる他に、集積回路パッケージにおける実装以前にチ
ップ上の回路の電気的遅延を試験するために用いられる
More particularly, the present invention relates to CMO8LSI and VLSI circuit chips that include a particular set of composite test circuits, which, in addition to being used to functionally test the chips, also test integrated circuit packages. It is used to test the electrical delay of circuits on a chip before implementation.

集積回路チップはウェハ上に形成される。ウェハは純粋
なシリコンの薄片で、LSI及びVLSI回路に対して
はその直径は通常≠インチで、該ウェハ上にチップのア
レイが作られる。ウェハはチップ間の未使用のチャネル
に沿ってスクライブされ、チップがウェハから切断され
る。これらのチップは次に試験のために集積回路パッケ
ージに実装され、試験に合格すると使用される。
Integrated circuit chips are formed on wafers. The wafer is a thin piece of pure silicon, typically ≠ inches in diameter for LSI and VLSI circuits, on which an array of chips is fabricated. The wafer is scribed along the unused channels between the chips and the chips are cut from the wafer. These chips are then mounted into integrated circuit packages for testing and, if successful, used.

ウェハ上で適切に動作するチップのパーセンテージ、す
なわち歩留りは非常に低いことが多い。
The percentage of properly working chips on a wafer, or yield, is often very low.

LSI及びVLSIの製法においては、製造工程が複雑
なため歩留りは10係程度である。チップの実装はかな
りの製造コストを要するので、欠陥チップの実装を行わ
ないようにウェハから切り離される前に十分にチップを
試験することが望ましい。
In the manufacturing method of LSI and VLSI, the manufacturing process is complicated, so the yield is about 10%. Since chip packaging requires significant manufacturing costs, it is desirable to thoroughly test the chips before they are separated from the wafer to avoid packaging defective chips.

集積回路を十分に試験するために必要な試験には通常は
、次の3種類が考えられる。すなわち、(1)全ての回
路が要求に従って動作するかを示す機能試験、(2)チ
ップの入出力回路が正しい電気的特性を有することを示
すパラメトリック試験、及び(3)回路が必要な速度で
動作するかを示す遅延試験。
There are typically three types of tests required to adequately test an integrated circuit: (1) functional tests to show that all circuits operate as required; (2) parametric tests to show that the chip's input/output circuits have the correct electrical characteristics; and (3) tests to ensure that the circuits are running at the required speed. Delay test to show if it works.

本発明はCMO8LSI又はVLS Iチップがなおウ
ェハの7部である時第3の試験を簡単に行う方法を与え
る。
The present invention provides a simple way to perform the third test when the CMO8LSI or VLSI chips are still part 7 of the wafer.

CMO8LSI又はVLSIチッゾチップ試験は以下に
記載の理由のためにこれまで可能ではなかった。
CMO8LSI or VLSI Chizzo chip testing has not been possible until now for the reasons described below.

しかしながら、遅延試験が非LSI又は非VLSI集積
回路技術に関係する場合その発展をレビューすることは
本発明の利点を理解する上に有用である。
However, a review of the development of delay testing as it relates to non-LSI or non-VLSI integrated circuit technology is useful in understanding the advantages of the present invention.

試験チップがウェハの7部である時、これはチップの入
出力(工/10)ノクツドに信号を与え、それから信号
を読取る方法を必要とする。この要求を満たすために多
くのプローブ機構が開発されている。プローブは機械的
なアームであり、導電性であり、I10パッドと電気的
に接触する微細点をその/端に有し、他端はテスタの電
子回路に配線される。被試チップのI沖z#ッドの数と
同じ数のプロープヲ有スるプローブシステムが製造され
ている。プローブの接触端部は、チップがプローブの下
に配置される時テスタからの電気信号がゾローブ点を下
げ、I10ハツトと接触させるようにIlo・9ツドと
同じパターンで配列される。
When the test chip is part 7 of a wafer, this requires a way to apply a signal to the input/output (factor/10) node of the chip and read the signal from it. Many probe mechanisms have been developed to meet this need. The probe is a mechanical arm that is electrically conductive and has a micropoint at its/end that makes electrical contact with the I10 pad, and the other end is wired to the tester's electronics. Probe systems have been manufactured that have the same number of probes as there are I-off heads of the chip under test. The contact end of the probe is arranged in the same pattern as the Ilo-9 so that when the tip is placed under the probe, the electrical signal from the tester lowers the Zolobe point and brings it into contact with the Ilo-9 hat.

全てのIlo ノfッドとの接触がなされ、試験パター
ンが入力パッドに印加され得る時、クロック信号が必要
に応じて、テスタにより生成され、適当な入力パッドに
送られる。チップ上の回路の入力信号に対する応答が次
に出カッ母ッドに接続されたプローブを通してテスタに
より読取られ得る。テスタはチップから読取られた出カ
バターンを入カバターンに基づいて期待されるパターン
に比較し、(夕) チップが正しく機能しているか否かを決定することが出
来る。従って、プローブシステムはなおウェハの7部で
ある試験チップの機能試験要件を満足する。
When contact has been made with all Ilo nodes and test patterns can be applied to the input pads, clock signals are generated by the tester and sent to the appropriate input pads, as required. The response of the circuit on the chip to the input signal can then be read by the tester through a probe connected to the output pad. The tester can compare the output pattern read from the chip to the expected pattern based on the input pattern and determine whether the chip is functioning properly. Therefore, the probe system still satisfies the functional testing requirements of the test chip, which is part 7 of the wafer.

プローブシステムは又特定の試験チップを用いることに
より遅延測定にも利用される。これらの試験チップは所
望の機能チップのアレイ内の特定の位置に配置され、そ
れによりそうでない場合は付、Ill的な機能チップの
ために用いられ得るウェハ上の上部空間を利用する。試
験チップは小数のI10パッドを有し、他のチップの機
能試験のために用いられるプローブ機構とは異なるプロ
ーブ機構を用いて遅延試験が行われる。試験チップ上の
I沖ノfッドの数が小さいために、遅延テスタ上のプロ
ーブアームは非常に小形に出来る。従ってプローブアー
ムのインダクタンスは遅延に験の結果に悪影響を与えな
い。各試験チップは潜在的に使用可能の機能チップを置
き代えるので、小数の試験チップのみが各ウェハ上で用
いられる。
Probe systems are also used for delay measurements by using specific test chips. These test chips are placed at specific locations within the array of desired functional chips, thereby utilizing headspace on the wafer that could otherwise be used for additional functional chips. The test chip has a small number of I10 pads, and delay testing is performed using a probe mechanism that is different from the probe mechanism used for functional testing of other chips. Due to the small number of I-off nodes on the test chip, the probe arm on the delay tester can be made very small. Therefore, the inductance of the probe arm does not adversely affect the results of the delay experiment. Since each test chip replaces a potentially available functional chip, only a small number of test chips are used on each wafer.

試験チップを遅延試験した結果(この結果は2よf l
 ) チ程度変動する)を用いて無傷のウェハを取除くことが
出来る。しかしながら、遅延試験の結果がウェハを除か
なくても、機能試験に合格した全ての機能チップは別々
に実装された後なお遅延試験されなければならない、以
下に説明するように、集積回路技術が進展するにつれ、
これらの試験チップの使用は実際的ではなくなって来た
Result of delay test on test chip (this result is 2 f l
) can be used to remove intact wafers. However, even if the delay test results do not exclude the wafer, all functional chips that pass the functional test must still be delayed tested after being separately implemented, as explained below. As it progresses,
The use of these test chips has become impractical.

7970年代初期にはウェハの直径は通常2インチで、
チップ上のライン幅(最小寸法)は通常7μであった。
In the early 7970s, the wafer diameter was typically 2 inches;
The line width (minimum dimension) on the chip was typically 7μ.

マスク(ウェハ製造工程の各ステップには異なるマスク
が必要である。)の大きさは通常は/:/であり、これ
は密着工程を用いてウェハ上のレジストを露出させるた
めに用いられた。元の設計は手動又は自動化した方法を
用いて行われ、ioo:i〜soo:iのように実際の
太きさよりはるかに大きかった。これは写真縮小法によ
り通常10:/の大きさのレチクルに縮小された。この
レチクルは、次にこれをマスク上にその・々ターンを露
出すると/:/の大きさに縮小するステップアンドレビ
ートカメラにそう人された。試験チップパターンが与え
られるベキマスク位置は飛ばされた。チップパターンの
全てがマスク上に露出された時、試験チップレチクルが
ステップアンドレヒートカメラにそう人され、カメラは
ブランク位置で試験チップパターンを露光した。
The size of the mask (a different mask is required for each step of the wafer fabrication process) is typically /:/, which was used to expose the resist on the wafer using a contact process. The original design was done using manual or automated methods and was much larger than the actual thickness, such as ioo:i to soo:i. This was reduced to a reticle with a typical size of 10:/ by a photo reduction method. This reticle was then applied to a step-and-beat camera that would reduce the size of /:/ by exposing its turns on a mask. The power mask position where the test chip pattern is given was skipped. When all of the chip pattern was exposed on the mask, the test chip reticle was placed into a step-and-heat camera, which exposed the test chip pattern in a blank position.

/り70年代の中頃まではウェハは通常3インチの直径
を有し、チップ上の線幅は通常≠ミクロンであった。電
子ビーム方式により試験チップと共に/:/の大きさの
マスクが作られ、/:/投影調整方式によりウェハ上に
露光された。
Until the mid-70's, wafers typically had a diameter of 3 inches and line widths on the chips were typically ≠ microns. A mask with a size of /:/ was made together with the test chip using an electron beam method, and was exposed onto a wafer using a projection adjustment method.

/りKO年まではウェハの直径は通常弘インチで、チッ
プ上の線幅は通常Jミクロンであった。
Until 2010, the diameter of the wafer was typically 1.5 inches, and the line width on the chip was typically J microns.

投影調整方式は要求精度をもはや満足させなかった。用
いられる寸法が小さいため、レチクルは電子ビーム方式
を用いて10:/の大きさで作られた。マスクは用いら
れず、むしろレチクルがダイレクトステップオンウェハ
(DSW )方式を利用してウェハレジスト上に露出さ
れた。DSW方式は露出に際しての公差が非常に微妙な
ためチップレチクルを試験チップレチクルで置き代える
ことば許容されなかった。従ってVLS I技術が出現
するまでは試験チップはもはや実際には用いられなかっ
た。
The projection adjustment method no longer satisfied the required accuracy. Due to the small dimensions used, the reticle was made using an electron beam method with a size of 10:/. No mask was used; rather, the reticle was exposed onto the wafer resist using a direct step-on-wafer (DSW) approach. Since the DSW method has extremely delicate tolerances during exposure, it is not possible to replace the chip reticle with a test chip reticle. Test chips were therefore no longer in practical use until the advent of VLSI technology.

LSI及びVLS Iにおける正常チップに対する遅延
試験は主として次の2つの理由からこれまで実際には用
いられなかった。すなわち、(1)回路の遅延時間が減
少した。(2)LSI及びVLSI技術が進展するにつ
れてIlo ノfッドの数が増大した。
Delay tests for normal chips in LSI and VLSI have not been practically used until now mainly for the following two reasons. That is, (1) the delay time of the circuit has been reduced. (2) As LSI and VLSI technology advances, the number of Ilo nodes has increased.

回路の遅延時間の減少は、入力パルスの印加と出力パル
スの検出との間の時間が小さくなることを意味し、従っ
て測定結果が意味のあるものならばその時間測定はより
正確でなければならない。
A reduction in circuit delay time means that the time between application of the input pulse and detection of the output pulse is smaller, and therefore the time measurements must be more accurate if the measurement results are to be meaningful. .

チップの回路密度やIlo izオツド数が増してもチ
ップの大きさは同じ割合では増加しなかった。実際には
、チップ上のI10パッドの数が増加するにつれて、パ
ッドはより小さく、より密接しなければならなかった。
As the circuit density and number of chips increased, the size of the chip did not increase at the same rate. In fact, as the number of I10 pads on a chip increased, the pads had to become smaller and closer together.

テスタに配線されるグローブアームの端面ば接触端面よ
り太きい。従って、チップの各辺に沿うグローブアーム
の列は「扇」を形成し、ゾローブ端面では狭く、テスタ
に配線される端面では広く(9) なっている。各辺に沿ってIlo zeウッドびグロー
ブアームを有するチップは通常は正方形なので、■/Q
teッドの数が増加するにつれ、≠っの「扇」はグロー
ブアームのテスタ端部でより広くなるためにプローブア
ームの長さは増加しなければならない。このプローブ長
の増加により遅延試験に用いられる試験回路て意味のあ
るインダクタンスが付加される。
The end face of the glove arm wired to the tester is thicker than the contact end face. Thus, the rows of globe arms along each side of the chip form a "fan" that is narrower at the Zolob end face and wider (9) at the end face wired to the tester. Chips with Ilo ze wood and globe arms along each side are usually square, so ■/Q
As the number of teds increases, the length of the probe arm must increase because the "fan" becomes wider at the tester end of the globe arm. This increase in probe length adds significant inductance to test circuits used in delay tests.

上記のように、LSI及びVLSI技術に固有の回路遅
延時間の減少は遅延試験を行う時より正確な測定を必要
とする。これは、生成され、測定される信号の立上がり
及び立下がり時間は測定される遅延時間に比べて小さく
なければならないことを意味する。更に、入力信号スイ
ッチング点に対する出力信号のスイッチング点はより正
確に測定されなければならない。しかしながら、より長
いプローブのインダクタンスは遅延試験に用いられる信
号を歪ませるか、速い立上がり又は立下がり時間を長く
する。従って、例え遅延時間を測定出来ても、最初の入
力回路と最後の出力回路のスイッチ(10) ングの時間は満足な結果を与える程十分正確圧は決定さ
れ得ない。従って、LSI及びVLSIチッゾチップお
ウェハの7部である間に、機能的に試験されるが、なお
、チップが集積回路パッケーゾに実装された後正確な遅
延試験が実施されなければならない。
As discussed above, the reduction in circuit delay time inherent in LSI and VLSI technology requires more accurate measurements when performing delay testing. This means that the rise and fall times of the generated and measured signals must be small compared to the measured delay times. Furthermore, the switching point of the output signal relative to the input signal switching point must be measured more accurately. However, longer probe inductance distorts the signal used for delay testing or increases fast rise or fall times. Therefore, even if the delay time can be measured, the switching times (10) of the first input circuit and the last output circuit cannot be determined accurately enough to give satisfactory results. Therefore, although LSI and VLSI chips are functionally tested while still on the wafer, accurate delay testing must still be performed after the chips are mounted in an integrated circuit package.

本発明は、CMO8LSI及びVLS Iチップがなお
ウェハの7部である時のそれらの遅延試験の方法に関す
る問題を解決しようとするものである。これにより、遅
延要件を満足し得ないチップの実装の問題が回避される
。本発明は以下に説明するCMO8技術のλつの利点を
利用して前記の問題点を解決しようとするものである。
The present invention seeks to solve the problem of how to delay test CMO8LSI and VLSI chips when they are still part 7 of a wafer. This avoids the problem of chip implementations that cannot meet delay requirements. The present invention attempts to solve the above-mentioned problems by utilizing the following advantages of the CMO8 technology.

CMO8LSI及びVLS I回路技術は製造工程の変
動には十分な許容量を有するが、工程の欠陥には本来十
分な対しよを与えることが出来ない。幾何学的な形状が
微細なために、線の分解能が悪かったり、レノスト内に
ピンホールを有するマスクなどの欠陥は通常大きな損傷
をもたらす。すなわち、欠陥を伴って製造された回路は
その機能を果さず、チップがなおウェハの7部である段
階で機能試験により検出される。ドーピングレベル、温
度、拡散時間などの工程の変動は、通常、機能はするが
満足な結果を得るには遅過ぎる回路をもたらす。
Although CMO8LSI and VLSI circuit technologies have sufficient tolerance for manufacturing process variations, they inherently cannot provide sufficient tolerance for process defects. Due to the fine geometry, defects such as poor line resolution or masks with pinholes in the lenost usually result in significant damage. That is, circuits manufactured with defects do not perform their function and are detected by functional testing while the chip is still part of the wafer. Process variations in doping levels, temperatures, diffusion times, etc. typically result in circuits that function but are too slow to achieve satisfactory results.

定常状態試験である機能試験は工程変動により惹起され
る遅延欠陥を通常は検出しない。
Functional testing, which is a steady-state test, typically does not detect delayed defects caused by process variations.

CMO8LSI及びVLSI回路技術の他の特性として
は、工程変動が回路の遅延特性を劣化させる場合はウェ
ハ上の単一チップ全体も劣化し、全ての回路がほぼ同様
に劣化されることが考えられる。従って、CMO8T、
SI又はVLS Iチップがなおウェハの7部である時
にそれに対して単一の意味のある遅延測定がなされ得る
場合、そのチップ上の全回路の遅延に関する信頼度の高
い決定がなされ得る。本発明はかかる遅延試験を可能な
らしめるものである。
Another characteristic of CMO8LSI and VLSI circuit technology is that if process variations degrade the delay characteristics of a circuit, the entire single chip on the wafer will also degrade, and all circuits will degrade in approximately the same way. Therefore, CMO8T,
If a single meaningful delay measurement can be made for an SI or VLS I chip while it is still part 7 of the wafer, a reliable determination of the delay of all circuits on that chip can be made. The present invention makes such delayed testing possible.

本発明の現在の目的はチップの周辺にシフトレジスタか
らなる必須試験回路を有するCMO8LSI又はVLS
I集積回路を用いることにより達成される。
The current object of the present invention is to develop a CMO8LSI or VLSI which has an essential test circuit consisting of a shift register on the periphery of the chip.
This is achieved by using I integrated circuits.

シフトレジスタはチップのI10パッドのそれぞれに物
理的に対応する段、又は記憶場所を有する。
The shift register has stages, or storage locations, that physically correspond to each of the I10 pads of the chip.

シフトレジスタは、普通は、チップを機能的に試験する
テスタにより用いられる。この試験方法は72g/年/
、2月27日付特許出願書第33.2.と6乙に記載さ
れている。
Shift registers are commonly used by testers to functionally test chips. This test method is 72g/year/
, Patent Application No. 33.2, dated February 27th. It is stated in 6.

テスタからシフトレジスタに信号を/回反転させてゲー
トする付加回路が用いられる。シフトレジスタの全ての
段は開放され、従って信号はシフトレジスタを通過し、
出力に現われる。シフトレジスタをこのように用いる時
、これはリング発振器と呼ばれる。リング発振器の各段
は信号をノ重に反転させ、従って、前記の付加回路の単
一反転のために、出力に現われる信号はテスタが初めに
送出したものを反転させたものになる。付加回路はこの
出力信号を、検出用テスタ、及び再びリング発振器を循
環するようにインバータにダートする。リング発振器の
全ての段を通る信号の経過時間がチップ上の回路の遅延
時間の測度を与える。
Additional circuitry is used to invert and gate the signal from the tester to the shift register/times. All stages of the shift register are open, so the signal passes through the shift register,
Appears in the output. When a shift register is used in this way, it is called a ring oscillator. Each stage of the ring oscillator inverts the signal multiple times, so that because of the single inversion of the additional circuitry described above, the signal appearing at the output is an inversion of the one originally sent out by the tester. Additional circuitry darts this output signal into an inverter to circulate through the detection tester and again through the ring oscillator. The elapsed time of a signal through all stages of the ring oscillator provides a measure of the delay time of the circuit on the chip.

以下に本発明の詳細な説明する。記載は本発明の一般原
理を説明することを目的とするが、本発(/3) 明を限定するものではない。本発明は添付した特許請求
事項により最良に定められる。
The present invention will be explained in detail below. The description is intended to explain the general principles of the invention, but is not intended to limit the invention. The invention is best defined by the appended claims.

第1図かられかるように、本発明はI10ドライバ/乙
により一連のI10パッドに接続された内部回路7.2
を含む集積回路70の7部で与えられる。
As can be seen from FIG.
7 parts of an integrated circuit 70 including:

本実施例の集積回路はCMO8LSIを用い1.2J−
,4個の■沖ノぐラド/4’を有する。DI、A、B、
C,D、E及びDoとラベルされた7個のI/Q i4
 、yド/lI−がテスタの入出力制御及びクロック制
御回路/gに接続される。各・ぐラド/つ(上記の試験
・フッド及び接地と電源結線に用いられる・fラドを例
外として)に接続された段を有するシフトレジスタ、2
0がチップ10の周囲に形成される。シフトレジスタは
/9f/9部2月、27日付特許出願書第33みn4号
に記載されたようにチップを機能的に試験するために通
常は用いられる。しかしながら、本発明においてはシフ
トレジスタは遅延時間を測定する時のリング発振器とし
て用いられる。これらの動作は全て入出力制御及びクロ
ック制御回路/ざにより制御される。
The integrated circuit of this example uses CMO8LSI and has a 1.2J-
, has 4 ■Okinogurado/4'. DI, A, B,
7 I/Q i4 labeled C, D, E and Do
, y/lI- are connected to the input/output control and clock control circuit/g of the tester. a shift register with a stage connected to each rad / one (with the exception of the f rad used for the test hood and ground and power connections mentioned above);
0 is formed around the chip 10. Shift registers are commonly used to functionally test chips as described in patent application Ser. However, in the present invention, the shift register is used as a ring oscillator when measuring delay time. All these operations are controlled by the input/output control and clock control circuits.

(/≠) 第2図及び3図は各i9 ノド用のシフトレジスタ段及
び110回路をそれぞれ示す。第2図はシフトレジスタ
段で、それが入力パッドに関係した時の状況を示し、又
、第3図はシフトレジスタ段が出力パッドに関係する時
の構成を示す。各シフトレジスタ段は≠個のインバータ
グ≠−!0と6個の転送ケゝ−トT/〜T乙を有する。
(/≠) Figures 2 and 3 show the shift register stages and 110 circuits for each i9 node, respectively. FIG. 2 shows the shift register stage as it relates to the input pad, and FIG. 3 shows the configuration as the shift register stage relates to the output pad. Each shift register stage has ≠ invert tags ≠−! It has 0 and 6 transfer ports T/~TB.

本実施例においてはシフトレジスタはリング発振器とし
て用いられる。本実施例においては、転送ケゝ−トT/
、T3及びTJ−は制御信号によってオン如さ゛れる。
In this embodiment, the shift register is used as a ring oscillator. In this embodiment, the transfer gate T/
, T3 and TJ- are turned on by a control signal.

これにより、信号がリング発振器の第1段に入力され最
終段の出力に転送されることが可能になる。各段は2個
のインバータを有し、従ってリング発振器の出力は入力
と同じ極性である。信号はリング発振器の各段で5回の
回路遅延を受ける。すなわち、3個の転送ダートと2個
のインバータのそれぞれで回路遅延を受ける。
This allows a signal to be input to the first stage of the ring oscillator and transferred to the output of the final stage. Each stage has two inverters, so the output of the ring oscillator has the same polarity as the input. The signal undergoes five circuit delays at each stage of the ring oscillator. That is, each of the three transfer darts and two inverters suffers a circuit delay.

第≠図が示すように、クロック信号A 、 B 、 C
As shown in the figure, the clock signals A, B, C
.

D及びEの入力パッドはそれぞれ入力信号及びそれに相
補的な出力信号を保護し、それらをバッファする入力緩
衝装置ツノに接続される。第5図は入力緩衝装置の7つ
の回路を示す。入力の保護は抵抗器l4及びダイオード
jg及びjりにより与えられる。相補信号はインバータ
j、2及び、5′≠により与えられる。図は信号Aに用
いられる入力緩衝装置を示す。論理信号として真に高位
の他の!信号は同等の回路を用いる。論理信号として真
に低位の2信号り及びEK用いられる入力緩衝装置は出
力信号の極性が反転されることを除いて同等である。第
4図はNANDゲート乙0−71及びインバータ10−
10乙からなるクロック復号化回路を示す。この回路は
入力緩衝装置A−Eからの相補的クロック信号を復号し
、信号AE、BE、CF。
The D and E input pads are each connected to an input buffer horn that protects and buffers the input signal and its complementary output signal. FIG. 5 shows seven circuits of the input buffer. Input protection is provided by resistor l4 and diodes jg and j. Complementary signals are provided by inverters j, 2 and 5'≠. The figure shows the input buffer used for signal A. Other truly high-order logic signals! An equivalent circuit is used for the signal. The input buffers used with the two true low signals and EK as the logic signals are equivalent except that the polarity of the output signal is reversed. Figure 4 shows NAND gate Otsu 0-71 and inverter 10-
A clock decoding circuit consisting of 10 circuits is shown. This circuit decodes complementary clock signals from input buffers AE and outputs signals AE, BE, CF.

DE、R及びそれらの補数を生成する。Generate DE, R and their complements.

以下の説明では電圧レベルが低い時に真である論理信号
を示すためにアステリスク、*、を用いる。例えば、信
号Rは高い時は真であり、信号R*は低い時て真である
。用いられるアステリスクは回路図の信号名上のパーと
同等の意味を有する。
In the following description, an asterisk, *, is used to indicate a logic signal that is true when the voltage level is low. For example, signal R is true when high, and signal R* is true when low. The asterisk used has the same meaning as the par on the signal name in the circuit diagram.

多くの信号名は個々の信号名の組合わせなので、例えば
、信号AEは!信号A及びEの論理積(AND)の結果
であるので、あいまいさを避けるためにアステリスクと
共にかっこ、0.が用いられることがある。従って、(
AE ) ”はA及びEが共に低い時に真である信号A
Eを意味し、一方(A、 ) AEはAが低くEが高い
時に真である信号を意味する。
Many signal names are combinations of individual signal names, so for example, signal AE! Since it is the result of the AND of signals A and E, it is marked in parentheses with an asterisk to avoid ambiguity. is sometimes used. Therefore, (
AE)” is a signal A that is true when both A and E are low.
E, while (A, ) AE means a signal that is true when A is low and E is high.

第7図が示すように、出力緩衝装置//乙はインバータ
//ll−及びAND−OR−INVERTゲート//
ノにより駆動される。ケ”−)//、2はDOI及びD
OEのいずれかを入力として選択する。DOIは内部回
路/2の7部として作られたチップ10の内部シフトレ
ジスタからのデータ出力信号である。DOEは外部シフ
トレジスタ20からのデータ出力信号である。転送ゲー
トT3及びT+と2つのインバータ70g及び/10は
外部シフトレジスタの最終段のスレーブラッチである。
As shown in FIG. 7, the output buffer //B is the inverter //ll- and the AND-OR-INVERT gate //
It is driven by ke”-)//, 2 is DOI and D
Select one of the OEs as input. DOI is the data output signal from the internal shift register of chip 10 made as part 7 of internal circuit/2. DOE is the data output signal from external shift register 20. Transfer gates T3 and T+ and two inverters 70g and /10 are the final stage slave latch of the external shift register.

本実施例では、これはリング発振器の出力である。Eが
真の時はDOEが出力緩衝装置のために選択され、Eが
偽の時はDOIが選択される。AND−OR−INVE
RTゲート//、2の出力、SO(シフトアウト)、は
インバー(/7) り//≠により反転され、出力緩衝装置及び入力選択回
路に進む。AND−OR−INVERT クー ) /
 20 ハ(DI)”又はSOのいずれかを選択するた
めに用いられる。(DI)* はテスタがらのデータ入
力信号であり、SOは出力選択回路からのデータ出力信
号である。2個の転送ケ”−) T /及びT2とイン
バータ/2.:2及び/λ弘は外部シフトレジスタの初
段のマスクラッチである。
In this example, this is the output of the ring oscillator. When E is true, DOE is selected for the output buffer; when E is false, DOI is selected. AND-OR-INVE
The output of RT gate //,2, SO (shift out), is inverted by invert(/7) ri//≠ and goes to the output buffer and input selection circuit. AND-OR-INVERT) /
20 is used to select either ``(DI)'' or SO. (DI)* is the data input signal from the tester, and SO is the data output signal from the output selection circuit. K"-) T/and T2 and inverter/2. :2 and /λhiro are first-stage mask latches of the external shift register.

信号Rが真の時はSOが入力として選択され、Rが偽の
時は(DI)”が選択される。第4図に示される3人力
NANDゲート7g及びインバータグOは信号Rの生成
のため用いられる。RはA、B及びCが真の時は常に真
である。
When the signal R is true, SO is selected as an input, and when R is false, (DI) is selected. The three-man NAND gate 7g and the inverter tag O shown in FIG. R is true whenever A, B, and C are true.

第g図にタイミング図を示す。時刻t/でテスタは入力
信号A、B及びEを立ち上がらせる。第4図のクロック
復号化回路は第5図に示した入力緩衝装置からの信号A
−E及びそれらの相補値を復号し、3つの高位信号AE
、BE及びDE と低位信号CEを生成する。これらj
つの信号は第2及び3図に示された転送グー)T/、T
J及びTj(/J?) をオンにし、転送ダートT、2及びT4/、をオフにす
る。これはAND−OR−IN〜’ERTダート/20
の出力における信号(第7図に示される)がリング発振
器を通過することを可能にする。信号Cは低位なので3
人力NANDゲート7g(第6図)はR*を高位にし、
(DI)*がリング発振器に対する入力として選択され
ることをもたらす。
A timing diagram is shown in Fig. g. At time t/, the tester raises input signals A, B and E. The clock decoding circuit of FIG. 4 receives the signal A from the input buffer shown in FIG.
- decode E and their complements and three high-order signals AE
, BE and DE and generate a low signal CE. These j
The two signals shown in FIGS. 2 and 3 are transfer groups) T/, T
Turn on J and Tj (/J?) and turn off transfer darts T, 2 and T4/. This is AND-OR-IN~'ERT dirt/20
allows the signal at the output of (shown in FIG. 7) to pass through the ring oscillator. Since signal C is low level, 3
The human-powered NAND gate 7g (Figure 6) sets R* to a high level,
(DI)* is selected as the input to the ring oscillator.

AND−OR−INVERT り−) / 20 Kよ
り反転された信号(DI)*は、それがDOEとして最
終段に現われるまでリング発振器を通過する。信号Eは
高位なので第7図に示された出力回路は信号SOとして
DOEをテスタに通過させる。テスタは、SOの到着を
検出した後、信号Cを立ち上がらせる。これは第g図の
時刻t2で示される。時刻t/以前及び時刻t、2の後
にデータ入力信号DIが網目模様で示され、これは信号
に対する注意不要状態を示す。
AND-OR-INVERT RI-) / 20 The inverted signal (DI)* passes through the ring oscillator until it appears at the final stage as DOE. Since signal E is high, the output circuit shown in FIG. 7 passes DOE to the tester as signal SO. The tester raises signal C after detecting the arrival of SO. This is shown at time t2 in Figure g. Before time t/and after time t,2, the data input signal DI is shown in a cross-hatched pattern, indicating a state in which no attention is required for the signal.

信号Cが高位になると、第4図の3人力NANDケゞ−
ト7gは信号Rを高位に、R1+’を低位にする。次に
、AND−OR−INVERTケゝ−トはリング発振器
に対する入力として(DI)*の代りに信号SOを選択
する。信号AE、BE、CE及びDEは信号Cが高位に
なっても影響を受けず、従って転送グー)T/、Tj及
びTjはオンのままで、T2及びTllはオフのままに
なる。SOは(DI)” を反転したものなので(AN
D−OR−INVERT +”−ト/、20により反転
される)出力信号SOが再び入力に印加される前に反転
されるとリング発振器は方形波を生成する。方形波の周
期はリング発振器及び入出力選択回路を通しての2つの
遅延時間に等しい。テスタは第7b図に示される出力回
路を通しての信号SOのそれぞれの変化を検知し、リン
グ発振器に含まれる回路の全てに対する平均の回路遅延
時間を決定するために測定された時間を用いる。リング
発振器はテスタがタイミング信号A、B、C及びDを変
えるまで発振する。
When signal C goes high, the three-man NAND gate shown in Figure 4
7g makes the signal R high and R1+' low. The AND-OR-INVERT gate then selects the signal SO instead of (DI)* as the input to the ring oscillator. Signals AE, BE, CE and DE are unaffected by signal C going high, so transfer signals T/, Tj and Tj remain on and T2 and Tll remain off. SO is the inverse of (DI), so (AN
The ring oscillator produces a square wave when the output signal SO (inverted by D-OR-INVERT +"-t/, 20) is inverted before being applied to the input again. The period of the square wave is The tester detects each change in the signal SO through the output circuit shown in Figure 7b and calculates the average circuit delay time for all of the circuits included in the ring oscillator. Use the measured times to determine: The ring oscillator oscillates until the tester changes the timing signals A, B, C, and D.

リング発振器はテスタがその遅延時間測定に用いる方形
波を生成する。チップが、2J−6個のIlo・ぐラド
を有する場合リング発振器及び付加回路は個々の回路遅
延時間の7250倍以上(2個のインバータと3個の転
送ケ゛−ト、それぞれはシフトレジスタの7段当り7回
路の遅延時間を有する)を有することになる。上記のよ
うに、CMO8技術における工程の変動はチップ上の全
ての回路に同等に影響するので、リング発振器は回路の
遅延時間の差を7.230倍以上にすることになり、従
って測定が一層容易になる。リング発振器の方形波出力
の立ち上がり、立下がり部分はプローブのインダクタン
スにより劣化させ、従って方形波はこれに重畳したリン
ギングにより台形状になる。しかしながら、波形は反復
しており、波形の立ち上がり、立ち下がり部分の任意の
レベルでカウンタをトリガし、波形の時間幅を決定しさ
えすればよい。
A ring oscillator generates a square wave that the tester uses to measure its delay time. If the chip has 2J-6 Ilo/Grads, the ring oscillator and additional circuits are more than 7250 times the individual circuit delay times (2 inverters and 3 transfer boxes, each with 7250 shift registers) This means that each stage has a delay time of 7 circuits. As mentioned above, since process variations in CMO8 technology affect all circuits on the chip equally, the ring oscillator will increase the delay time difference between the circuits by a factor of 7.230 or more, thus making the measurements even more difficult. becomes easier. The rising and falling parts of the square wave output of the ring oscillator are degraded by the inductance of the probe, and therefore the square wave becomes trapezoidal due to the ringing superimposed thereon. However, since the waveform is repetitive, it is only necessary to trigger a counter at an arbitrary level in the rising and falling portions of the waveform to determine the time width of the waveform.

リング発振器を通すと信号は反転するので、リング発振
器及び付加回路の回路を通しての全遅延時間は波形周期
の半分である。
Since the signal is inverted when passed through the ring oscillator, the total delay time through the ring oscillator and additional circuitry is half the waveform period.

CMO8LSI 及びVLSIチップがウェハの7部で
ある場合リング発振器はこれらのチップの遅延を試験す
る問題を解決する。CMO8回路は直流を使用した場合
電力消費が殆んどないので、チップが正常に用いられる
限りリング発振器によるチップの電(2/) 力消散は生じない。
When CMO8LSI and VLSI chips are part of a wafer, a ring oscillator solves the problem of testing the delay of these chips. Since the CMO8 circuit consumes almost no power when using direct current, the ring oscillator does not dissipate power in the chip as long as the chip is used normally.

リング発振器は、又、任意のパッケージレベル、すなわ
ち、集積回路・やッケージレペル、印刷配線板レベル、
又はシステムレベルなどにおける遅延試験にも使用され
得る。CMO8回路は電圧や温度の増加に非常に敏感で
ある。すなわち、供給電圧の減少又は周囲温度の増加に
よりCMO8の回路遅延時間は増加される。リング発振
器は、チップ温度の増加をもたらす不良グイボンディン
グを検出するパッケージレベルで、又は、チップを低電
圧にすル電力ビンのコールドソルダ接合を検出する印刷
配線板レベルで使用され得る。リング発振器は又不良設
計又はそう人フィルタにより生ずるホットスポットを見
出すための、又は不良設計、結線不良、低い供給電源な
どにより惹起される低電圧の発生をチェックするシステ
ムレベルでも使用可能である。チップに関して測定され
た初めの遅延時間の記録は保守が可能であり、又、新た
に測定された値の変化は問題を分離するために使用可能
である。
The ring oscillator can also be used at any package level, i.e. integrated circuit/package level, printed wiring board level,
Alternatively, it can also be used for delay testing at the system level. CMO8 circuits are very sensitive to increases in voltage and temperature. That is, as the supply voltage decreases or the ambient temperature increases, the circuit delay time of the CMO 8 increases. Ring oscillators can be used at the package level to detect bad wire bonds that result in increased chip temperature, or at the printed wiring board level to detect cold solder joints on power bins that bring the chip to low voltage. Ring oscillators can also be used at the system level to find hot spots caused by poor design or filters, or to check for low voltage occurrences caused by poor design, poor wiring, low supply power, etc. A record of the original delay time measured for the chip can be maintained, and changes in newly measured values can be used to isolate problems.

(22)(22)

【図面の簡単な説明】[Brief explanation of the drawing]

第1図は本発明のチップの図式的上面平面図である。第
2図は入力パッド及び関連するラッチのへの相補的入力
を与える場合の概略図である。第5図は第を図の入力パ
ッファ回路の概略図である。 第乙図はチップの試験を制御するタイミング信号を生成
するために用いられるクロック制御回路の概略図である
。第7図はチップの試験部分の出力及び入力回路の概略
図である。第g図はリング発振器の種々の動作を示すタ
イミング図である。 10・・・集積回路、/、2・・・内部回路、/4l−
−I10ノぐラド、/乙・・・I10ドライバ)/I・
・・テスタの入出力制御及びクロック制御回路、2o・
・・シフトレジスタ、≠o、4+!−2.≠グ〜SO,
Sノ、j≠。 とθ〜10乙、10g、 /10 、 //≠、/ノ!
。 7.2≠・・・インバータ、T/〜T7・・・転送ケゝ
−ト)AE 、 BE 、 DE・・・高位信号、CF
・・・低位信号、AE。 BE、DE・・・AE、BE、DEの相補信号、A−E
・・・クロック信号、A−E・・・A−Eに相補的な信
号、j乙・・・抵抗器、jと、3−9・・・ダイオード
、乙。〜7g・・・NANDケゞ−) DOI−、DO
E・・・データ出力信号、//乙・・・出力緩衝装置)
77g・・・入力緩衝装置、/ / j 、/ j O
・A、ND−OR−INVERT r −)。 代理人の氏名 川原1)−穂 り 手続−tc市正書(方式) 3.補正をする者 事件との関係 特許出願人 名 称 スト−リッジ・テクノロジー・パートナーズ4
6代理人 5、補正命令の日付 自 発 6、補正により増加する発明の数
FIG. 1 is a schematic top plan view of a chip of the invention. FIG. 2 is a schematic diagram of providing complementary inputs to the input pads and associated latches. FIG. 5 is a schematic diagram of the input buffer circuit of FIG. FIG. 3 is a schematic diagram of a clock control circuit used to generate timing signals to control chip testing. FIG. 7 is a schematic diagram of the output and input circuitry of the test portion of the chip. FIG. g is a timing diagram showing various operations of the ring oscillator. 10... integrated circuit, /, 2... internal circuit, /4l-
-I10 Nogurado, / Otsu... I10 Driver) / I.
・・Tester input/output control and clock control circuit, 2o・
...Shift register, ≠o, 4+! -2. ≠G~SO,
Sノ, j≠. and θ~10 Otsu, 10g, /10, //≠, /ノ!
. 7.2≠...inverter, T/~T7...transfer port) AE, BE, DE...higher level signal, CF
...Low level signal, AE. BE, DE...Complementary signal of AE, BE, DE, A-E
... Clock signal, A-E... Signal complementary to A-E, j B... Resistor, j, 3-9... Diode, B. ~7g...NANDkey-) DOI-, DO
E...data output signal, //B...output buffer device)
77g...Input buffer, / / j, / j O
・A, ND-OR-INVERT r −). Name of agent: Kawahara 1) - Hari procedure - TC city official document (method) 3. Relationship with the case of the person making the amendment Name of patent applicant Name Storage Technology Partners 4
6. Agent 5. Date of amendment order. 6. Number of inventions increased by amendment.

Claims (1)

【特許請求の範囲】 (1)印刷配線板とシステムのレベルを含む所望のパッ
ケージレベルにある0MO8LSI又はVLSI 集積
回路チップの性能問題を分離し、前記チップはその上に
シフトレジスタ回路を有し、該シフトレジスタ回路はこ
れを通過する信号の転送遅延時間のほぼ2倍の発振周期
を有するリング発振器として制御信号に応じて選択的に
接続され、(、) 所望のパッケージレベルにチップを
設ける前に前期リング発振器に関係する発振周期を測定
し記録するステップと、 (b) 所望の24ツケージレペルにチップを設けた後
肢チップ上の前期リング発振器に関係する発振周期を測
定し記録するステップと、 (c) 前期のλつの測定の間の意味のある差異を分離
するためにステラ7’ (a)の測定とステップ(b)
のの測定を比較し、前記の差異がシステムの性能問題を
表示するステップとを包含する内部遅延試験機能を有す
る0MO8LSI及びVLSILSIチラノ問題の分離
法。 (2)ステップ(c)の2回測定における差異を利用し
て複数個の問題を分離し、該問題は、(1)不適切なグ
イポンディングはチップ温度を上昇させるチップとパッ
ケージ間の不適切なグイデンディングと、 (11)不適切な電気接触はチップに印加される電圧を
低減させる、チップが収容されるパッケージの電力ピン
と、又はパッケージが取り付けられる配線板の電力−ン
とのコールドソルダなどの不適切な電気接触と、 (iii) 局部加熱が不適切な設計又は不適切な結線
により惹起されるチップ内の、又はチップが用いられる
システム内の局部加熱とを包含する特許請求の範囲第1
項に記載の内部遅延試験機能を有する0MO8LSI及
びVLSIチップの性能問題の分離法。
Claims: (1) Isolating the performance issues of an 0MO8LSI or VLSI integrated circuit chip at a desired packaging level, including the printed wiring board and system levels, said chip having a shift register circuit thereon; The shift register circuit is selectively connected in accordance with a control signal as a ring oscillator with an oscillation period approximately twice the transfer delay time of the signal passing through it, (,) before mounting the chip at a desired package level. (b) measuring and recording the oscillation period associated with the pre-ring oscillator on the hindlimb tip with the tip at the desired 24 cage level; (c) ) Stellar 7' measurement to separate meaningful differences between the two measurements in step (a) and step (b).
A method for separating 0MO8LSI and VLSILSI Tyranno problems with an internal delay test function, comprising the steps of: comparing measurements of and indicating the differences indicate performance problems of the system. (2) The difference between the two measurements in step (c) is used to isolate multiple problems, and the problems are: (1) Improper bonding increases chip temperature; (11) Improper electrical contact will reduce the voltage applied to the chip, such as cold contact with the power pins of the package in which the chip is housed, or with the power pins of the wiring board to which the package is attached. (iii) localized heating within the chip or in the system in which the chip is used where the localized heating is caused by improper design or improper wiring; Range 1
A method for isolating performance problems of 0MO8LSI and VLSI chips with internal delay test function as described in .
JP58209244A 1983-11-09 1983-11-09 Defect detection method and defect detection device for integrated circuit chip Expired - Lifetime JPH0799756B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP58209244A JPH0799756B2 (en) 1983-11-09 1983-11-09 Defect detection method and defect detection device for integrated circuit chip

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP58209244A JPH0799756B2 (en) 1983-11-09 1983-11-09 Defect detection method and defect detection device for integrated circuit chip

Publications (2)

Publication Number Publication Date
JPS60103632A true JPS60103632A (en) 1985-06-07
JPH0799756B2 JPH0799756B2 (en) 1995-10-25

Family

ID=16569743

Family Applications (1)

Application Number Title Priority Date Filing Date
JP58209244A Expired - Lifetime JPH0799756B2 (en) 1983-11-09 1983-11-09 Defect detection method and defect detection device for integrated circuit chip

Country Status (1)

Country Link
JP (1) JPH0799756B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61234376A (en) * 1985-04-10 1986-10-18 Nec Corp Signal testing circuit
WO1992005453A1 (en) * 1990-09-20 1992-04-02 Fujitsu Limited Semiconductor integrated circuit device with testing-controlling circuit provided in input/output region
US5509019A (en) * 1990-09-20 1996-04-16 Fujitsu Limited Semiconductor integrated circuit device having test control circuit in input/output area

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS61234376A (en) * 1985-04-10 1986-10-18 Nec Corp Signal testing circuit
WO1992005453A1 (en) * 1990-09-20 1992-04-02 Fujitsu Limited Semiconductor integrated circuit device with testing-controlling circuit provided in input/output region
US5509019A (en) * 1990-09-20 1996-04-16 Fujitsu Limited Semiconductor integrated circuit device having test control circuit in input/output area

Also Published As

Publication number Publication date
JPH0799756B2 (en) 1995-10-25

Similar Documents

Publication Publication Date Title
US4495628A (en) CMOS LSI and VLSI chips having internal delay testing capability
US4587480A (en) Delay testing method for CMOS LSI and VLSI integrated circuits
JP2513904B2 (en) Testability circuit
Rajsuman Iddq testing for CMOS VLSI
US4878209A (en) Macro performance test
JP3137269B2 (en) Process monitor circuit and method
JPS60245275A (en) Memory array chip
JP2760284B2 (en) Semiconductor integrated circuit device
US7392449B2 (en) Method, apparatus, and computer program product for diagnosing a scan chain failure employing fuses coupled to the scan chain
US3781670A (en) Ac performance test for large scale integrated circuit chips
JPS60142532A (en) Electric diagnosing method of defective cell
US7107502B2 (en) Diagnostic method for detection of multiple defects in a Level Sensitive Scan Design (LSSD)
TWI301620B (en) Method and system for timing measurement of embedded macro module
US6529033B1 (en) Area efficient clock inverting circuit for design for testability
JPS6211734B2 (en)
JPS60103632A (en) Method of separating performance problems of cmos lsi and vlsi having internal delay testing function
Huang et al. Reversible scan based diagnostic patterns
JP2002237200A (en) Semiconductor device and its test method
JP2003257199A (en) Semiconductor storage unit
CA1219368A (en) Cmos lsi and vlsi chips having internal delay testing capability
Jandhyala et al. Design-for-test analysis of a buffered sdram dimm
JP2833537B2 (en) Integrated circuit test equipment
JP3140090B2 (en) Semiconductor device
JPH1073642A (en) Integrated circuit with delay evaluation circuit
EP0295425A2 (en) Improved delay testing for high speed logic