JPH1167747A - Formation of silicon oxide film and device for forming oxide film - Google Patents

Formation of silicon oxide film and device for forming oxide film

Info

Publication number
JPH1167747A
JPH1167747A JP22516497A JP22516497A JPH1167747A JP H1167747 A JPH1167747 A JP H1167747A JP 22516497 A JP22516497 A JP 22516497A JP 22516497 A JP22516497 A JP 22516497A JP H1167747 A JPH1167747 A JP H1167747A
Authority
JP
Japan
Prior art keywords
oxide film
silicon oxide
gas
silicon
combustion chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP22516497A
Other languages
Japanese (ja)
Other versions
JP3757566B2 (en
Inventor
Akihide Kashiwagi
章秀 柏木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP22516497A priority Critical patent/JP3757566B2/en
Publication of JPH1167747A publication Critical patent/JPH1167747A/en
Application granted granted Critical
Publication of JP3757566B2 publication Critical patent/JP3757566B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Formation Of Insulating Films (AREA)

Abstract

PROBLEM TO BE SOLVED: To decrease the formation of a dry oxide film on a surface by supplying inert gas when steam is generated in a combustion chamber by the supply of hydrogen gas, keeping the temperature, at which silicon atoms are not desorbed, forming a silicon oxide film, increasing the temperature, and further forming the silicon oxide film. SOLUTION: The substrate having a silicon layer is arranged in a processing chamber 10 of inactive gas atmosphere, wherein the temperature is maintained so that silicon atoms are not desorbed. Then, after at least the supply of oxygen gas into a combustion chamber 30 is started, steam is generated in the combustion chamber 30 by the supply of hydrogen gas into the combustion chamber 30. Until the time when the steam is supplied into the processing chamber 10, inactive gas is supplied into the processing chamber 10. Thus, the concentration of the oxygen gas in contact with the silicon layer can be sufficiently decreased before the silicon oxide film is formed by the steam. The formation of the silicon oxide film by the oxigen gas (formation of the dry oxide film) can be controlled.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体装置の製造
におけるシリコン酸化膜の形成方法、及び係るシリコン
酸化膜の形成方法の実施に適した酸化膜成膜装置に関す
る。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for forming a silicon oxide film in the manufacture of a semiconductor device, and an oxide film forming apparatus suitable for implementing the method for forming a silicon oxide film.

【0002】[0002]

【従来の技術】例えばMOS型半導体装置においては、
シリコン酸化膜は、ゲート酸化膜や素子分離領域、層間
絶縁膜等に用いられており、これらのシリコン酸化膜
は、気相成長法、熱酸化法、スパッタ法等に基づき形成
される。特に、ゲート酸化膜として用いられる膜厚が数
nm〜十数nmの極薄シリコン酸化膜は、半導体装置の
信頼性を担っているといっても過言ではない。従って、
シリコン酸化膜には、常に、高い絶縁破壊耐圧及び長期
信頼性が要求される。それ故、係るシリコン酸化膜は、
通常、界面特性に優れ、しかも、膜厚制御性に優れた熱
酸化法に基づき形成される。
2. Description of the Related Art For example, in a MOS type semiconductor device,
The silicon oxide film is used for a gate oxide film, an element isolation region, an interlayer insulating film, and the like. These silicon oxide films are formed based on a vapor deposition method, a thermal oxidation method, a sputtering method, or the like. In particular, it is not an exaggeration to say that an ultra-thin silicon oxide film having a thickness of several nm to several tens of nm used as a gate oxide film is responsible for the reliability of a semiconductor device. Therefore,
The silicon oxide film is always required to have high dielectric breakdown voltage and long-term reliability. Therefore, such a silicon oxide film is
Usually, it is formed based on a thermal oxidation method having excellent interface characteristics and excellent film thickness controllability.

【0003】例えばMOS型半導体装置を製造する場
合、従来、ゲート酸化膜を成膜する前に、NH4OH/
22水溶液で洗浄し更にHCl/H22水溶液で洗浄
するというRCA洗浄によりシリコン半導体基板の表面
を洗浄し、その表面から微粒子や金属不純物を除去す
る。ところで、RCA洗浄を行うと、シリコン半導体基
板の表面は洗浄液と反応し、厚さ0.5〜1nm程度の
シリコン酸化膜(以下、かかるシリコン酸化膜を単に酸
化膜と呼ぶ)が形成される。かかる酸化膜の膜厚は不均
一であり、しかも、酸化膜中には洗浄液成分が残留す
る。そこで、フッ化水素酸水溶液にシリコン半導体基板
を浸漬して、かかる酸化膜を除去し、更に純水で薬液成
分を除去し、シリコン半導体基板の清浄な表面を露出さ
せる。その後、かかるシリコン半導体基板を酸化膜成膜
装置の処理室(酸化炉)に搬入して、シリコン半導体基
板の表面にシリコン酸化膜を形成する。フッ化水素酸水
溶液による洗浄後のシリコン半導体基板の表面は、大半
が水素で終端しており、極一部がフッ素で終端されてい
る。
[0003] For example, in the case of manufacturing a MOS type semiconductor device, conventionally, NH 4 OH /
The surface of the silicon semiconductor substrate is cleaned by RCA cleaning in which the surface is washed with an H 2 O 2 aqueous solution and further washed with an HCl / H 2 O 2 aqueous solution, and fine particles and metal impurities are removed from the surface. When the RCA cleaning is performed, the surface of the silicon semiconductor substrate reacts with the cleaning liquid to form a silicon oxide film having a thickness of about 0.5 to 1 nm (hereinafter, such a silicon oxide film is simply referred to as an oxide film). The thickness of such an oxide film is not uniform, and the cleaning liquid component remains in the oxide film. Therefore, the silicon semiconductor substrate is immersed in a hydrofluoric acid aqueous solution to remove such an oxide film, and further, a chemical component is removed with pure water to expose a clean surface of the silicon semiconductor substrate. Thereafter, the silicon semiconductor substrate is carried into a processing chamber (oxidizing furnace) of an oxide film forming apparatus, and a silicon oxide film is formed on the surface of the silicon semiconductor substrate. Most of the surface of the silicon semiconductor substrate after the cleaning with the hydrofluoric acid aqueous solution is terminated with hydrogen, and a very small portion is terminated with fluorine.

【0004】酸化膜成膜装置としては、ゲート酸化膜の
薄膜化及び基板の大口径化に伴い、石英製の処理室(酸
化炉)を水平に保持した横型方式から垂直に保持した縦
型方式の酸化膜成膜装置への移行が進んでいる。これ
は、縦型方式の酸化膜成膜装置の方が、横型方式の酸化
膜成膜装置よりも、基板の大口径化に対処し易いばかり
か、シリコン半導体基板を処理室に搬入する際の大気の
巻き込みによって生成するシリコン酸化膜(以下、かか
るシリコン酸化膜を自然酸化膜と呼ぶ)を低減すること
ができるからである。しかしながら、縦型の酸化膜成膜
装置を用いる場合であっても、2nm厚程度の自然酸化
膜がシリコン半導体基板の表面に形成されてしまう。自
然酸化膜には大気中の不純物が多く含まれており、ゲー
ト酸化膜の薄膜化においては自然酸化膜の存在は無視す
ることができない。そのため、(1)酸化膜成膜装置に
配設された基板搬入出部に大量の窒素ガスを流して窒素
ガス雰囲気とする方法(窒素ガスパージ方式)、(2)
一旦、基板搬入出部内を真空とした後、窒素ガス等で基
板搬入出部内を置換して大気を排除する方法(真空ロー
ドロック方式)等を採用し、出来る限り自然酸化膜の形
成を抑制する方法が提案されている。
As an oxide film forming apparatus, as a gate oxide film becomes thinner and a substrate becomes larger in diameter, a quartz type processing chamber (oxidizing furnace) is held horizontally and a vertical type is held vertically. Are shifting to oxide film forming apparatuses. This is because the vertical-type oxide film forming apparatus is easier to cope with the enlargement of the substrate than the horizontal-type oxide film forming apparatus, and also when the silicon semiconductor substrate is carried into the processing chamber. This is because a silicon oxide film generated by entrainment in the atmosphere (hereinafter, such a silicon oxide film is referred to as a natural oxide film) can be reduced. However, even when a vertical oxide film forming apparatus is used, a natural oxide film having a thickness of about 2 nm is formed on the surface of the silicon semiconductor substrate. The natural oxide film contains a large amount of impurities in the atmosphere, and the existence of the natural oxide film cannot be ignored in thinning the gate oxide film. Therefore, (1) a method of flowing a large amount of nitrogen gas to a substrate loading / unloading section provided in an oxide film forming apparatus to form a nitrogen gas atmosphere (nitrogen gas purge method), (2)
Once the inside of the substrate loading / unloading section is evacuated, a method of replacing the inside of the substrate loading / unloading section with nitrogen gas or the like to eliminate the atmosphere (vacuum load lock method) or the like is adopted, and the formation of a natural oxide film is suppressed as much as possible. A method has been proposed.

【0005】そして、処理室(酸化炉)内を不活性ガス
雰囲気とした状態で、シリコン半導体基板を処理室(酸
化炉)に搬入し、次いで、処理室(酸化炉)内を酸化性
雰囲気に切り替え、シリコン半導体基板を熱処理するこ
とでゲート酸化膜を形成する。ゲート酸化膜の形成に
は、高温に保持された処理室内に高純度の水蒸気を導入
することによってシリコン半導体基板の表面を熱酸化す
る方法(湿式酸化法)が採用されており、高純度の乾燥
酸素ガスによってシリコン半導体基板表面を酸化する方
法(乾式酸化法)よりも、電気的信頼性の高いゲート酸
化膜を形成することができる。この湿式酸化法の1つ
に、水素ガスを酸素ガスと高温で混合し、燃焼させるこ
とによって生成した水蒸気を用いるパイロジェニック酸
化法(水素燃焼酸化法とも呼ばれる)があり、多く採用
されている。通常、このパイロジェニック酸化法におい
ては、処理室(酸化炉)の外部に設けられ、そして70
0〜900゜Cに保持された燃焼室内に酸素ガスを導入
し、その後、燃焼室内に水素ガスを導入して、高温中で
水素ガスを燃焼させる。これによって得られた水蒸気を
酸化種として用いる。
[0005] Then, the silicon semiconductor substrate is carried into the processing chamber (oxidizing furnace) with the processing chamber (oxidizing furnace) in an inert gas atmosphere, and then the processing chamber (oxidizing furnace) is oxidized. The gate oxide film is formed by switching and heat-treating the silicon semiconductor substrate. A method of thermally oxidizing the surface of a silicon semiconductor substrate by introducing high-purity water vapor into a processing chamber maintained at a high temperature (wet oxidation method) is used for forming a gate oxide film. A gate oxide film with higher electrical reliability can be formed than a method of oxidizing the surface of a silicon semiconductor substrate with oxygen gas (dry oxidation method). As one of the wet oxidation methods, there is a pyrogenic oxidation method (also referred to as a hydrogen combustion oxidation method) using water vapor generated by mixing hydrogen gas with oxygen gas at a high temperature and burning the mixture. Usually, in this pyrogenic oxidation method, the pyrogen oxidation method is provided outside a processing chamber (oxidizing furnace), and
Oxygen gas is introduced into the combustion chamber maintained at 0 to 900 ° C., and then hydrogen gas is introduced into the combustion chamber to burn the hydrogen gas at a high temperature. The water vapor thus obtained is used as an oxidizing species.

【0006】パイロジェニック酸化法によってシリコン
酸化膜を形成するための従来の縦型方式の酸化膜成膜装
置の概念図を、図34に示す。この縦型方式の酸化膜成
膜装置は、垂直方向に保持された石英製の二重管構造の
炉芯管から成る処理室10と、処理室10へ水蒸気等を
導入するためのガス導入部12と、処理室10からガス
を排気するガス排気部13と、SiCから成る円筒状の
均熱管14と、均熱管14を介して処理室10内を所定
の雰囲気温度に保持するためのヒータ15と、基板搬入
出部20と、基板搬入出部20へ窒素ガスを導入するた
めのガス導入部21と、基板搬入出部20からガスを排
気するガス排気部22と、処理室10と基板搬入出部2
0とを仕切るシャッター16と、シリコン半導体基板を
処理室10内に搬入出するためのエレベータ機構23か
ら構成されている。エレベータ機構23には、シリコン
半導体基板を載置するための石英ボート24が取り付け
られている。また、配管31,32を介して燃焼室30
に供給された水素ガス及び酸素ガスを、燃焼室30内で
高温にて混合し、燃焼させることによって、水蒸気が生
成する。かかる水蒸気は、配管33、ガス流路11及び
ガス導入部12を介して処理室10内に供給される。
尚、ガス流路11は、二重管構造の処理室10の内壁及
び外壁の間の空間に相当する。
FIG. 34 is a conceptual diagram of a conventional vertical type oxide film forming apparatus for forming a silicon oxide film by a pyrogenic oxidation method. The vertical type oxide film forming apparatus includes a processing chamber 10 composed of a furnace core tube having a double tube structure made of quartz and held vertically, and a gas introduction unit for introducing water vapor and the like into the processing chamber 10. 12, a gas exhaust unit 13 for exhausting gas from the processing chamber 10, a cylindrical soaking tube 14 made of SiC, and a heater 15 for maintaining the inside of the processing chamber 10 at a predetermined atmospheric temperature via the soaking tube 14. A substrate loading / unloading section 20, a gas introducing section 21 for introducing nitrogen gas into and out of the substrate loading / unloading section 20, a gas exhaust section 22 for exhausting gas from the substrate loading / unloading section 20, a processing chamber 10, and a substrate loading / unloading section. Part 2
The shutter 16 is provided with an elevator mechanism 23 for carrying the silicon semiconductor substrate into and out of the processing chamber 10. A quartz boat 24 for mounting a silicon semiconductor substrate is attached to the elevator mechanism 23. Further, the combustion chamber 30 is connected via the pipes 31 and 32.
The hydrogen gas and the oxygen gas supplied to the combustion chamber 30 are mixed at a high temperature in the combustion chamber 30 and burned to generate steam. The water vapor is supplied into the processing chamber 10 via the pipe 33, the gas flow path 11, and the gas introduction unit 12.
The gas flow path 11 corresponds to a space between the inner wall and the outer wall of the processing chamber 10 having a double pipe structure.

【0007】図34に示した従来の縦型方式の酸化膜成
膜装置を使用した、パイロジェニック酸化法に基づく従
来のシリコン酸化膜の形成方法の概要を、図34〜図3
8を参照して、以下、説明する。
An outline of a conventional method for forming a silicon oxide film based on a pyrogenic oxidation method using the conventional vertical type oxide film forming apparatus shown in FIG. 34 is shown in FIGS.
This will be described below with reference to FIG.

【0008】[工程−10]配管31、燃焼室30、配
管33、ガス流路11及びガス導入部12を介して処理
室10へ窒素ガスを導入し、処理室10内を窒素ガス雰
囲気とし、且つ、均熱管14を介してヒータ15によっ
て処理室10内の雰囲気温度を700〜800゜Cに保
持する。尚、この状態においては、シャッター16は閉
じておく(図35の(A)参照)。基板搬入出部20は
大気に解放された状態である。
[Step-10] Nitrogen gas is introduced into the processing chamber 10 through the pipe 31, the combustion chamber 30, the pipe 33, the gas flow path 11 and the gas introduction unit 12, and the inside of the processing chamber 10 is set to a nitrogen gas atmosphere. At the same time, the atmosphere temperature in the processing chamber 10 is maintained at 700 to 800 ° C. by the heater 15 via the soaking tube 14. In this state, the shutter 16 is closed (see FIG. 35A). The substrate loading / unloading section 20 is open to the atmosphere.

【0009】[工程−20]そして、基板搬入出部20
にシリコン半導体基板40を搬入し、石英ボート24に
シリコン半導体基板40を載置する。基板搬入出部20
へのシリコン半導体基板40の搬入が完了した後、図示
しない扉を閉め、基板搬入出部20にガス導入部21か
ら窒素ガスを導入し、ガス排気部22から排気し、基板
搬入出部20内を窒素ガス雰囲気とする(図35の
(B)参照)。
[Step-20] The substrate loading / unloading section 20
Then, the silicon semiconductor substrate 40 is carried in, and the silicon semiconductor substrate 40 is placed on the quartz boat 24. Substrate loading / unloading section 20
After the loading of the silicon semiconductor substrate 40 into the substrate loading / unloading unit 20 is completed, a door (not shown) is closed, nitrogen gas is introduced into the substrate loading / unloading unit 20 from the gas introduction unit 21, exhausted from the gas exhaust unit 22, and exhausted from the substrate loading / unloading unit 20. In a nitrogen gas atmosphere (see FIG. 35B).

【0010】[工程−30]基板搬入出部20内が十分
に窒素ガス雰囲気となった時点で、シャッター16を開
き(図36の(B)参照)、エレベータ機構23を作動
させて石英ボート24を上昇させ、シリコン半導体基板
40を処理室10内に搬入する(図37の(A)参
照)。エレベータ機構23が上昇位置に辿り着くと、石
英ボート24の基部によって処理室10と基板搬入出部
20との間は連通しなくなる構造となっている。
[Step-30] When the inside of the substrate loading / unloading section 20 has a sufficient nitrogen gas atmosphere, the shutter 16 is opened (see FIG. 36B), the elevator mechanism 23 is operated, and the quartz boat 24 is operated. Is raised, and the silicon semiconductor substrate 40 is carried into the processing chamber 10 (see FIG. 37A). When the elevator mechanism 23 reaches the ascending position, the processing chamber 10 and the substrate loading / unloading section 20 are not communicated by the base of the quartz boat 24.

【0011】シャッター16を開く前に、処理室10内
を窒素ガス雰囲気のままにしておくと、以下の問題が生
じる。即ち、フッ化水素酸水溶液で表面を露出させたシ
リコン半導体基板を高温の窒素ガス雰囲気中に搬入する
と、シリコン半導体基板40の表面に荒れが生じる。こ
の現象は、フッ化水素酸水溶液での洗浄によってシリコ
ン半導体基板40の表面に形成されたSi−H結合が、
水素の昇温脱離によって失われ、シリコン半導体基板4
0の表面にエッチング現象が生じることに起因すると考
えられている。例えば、アルゴンガス中でシリコン半導
体基板を600゜C以上に昇温するとシリコン半導体基
板の表面に激しい凹凸が生じることが、培風館発行、大
見忠弘著「ウルトラクリーンULSI技術」、第21頁
に記載されている。このような現象を抑制するために、
シャッター16を開く前に、配管32から燃焼室30へ
酸素ガスを導入して、例えば、0.5容量%程度の酸素
ガスを含んだ窒素ガスを、配管33、ガス流路11及び
ガス導入部12を介して処理室10内に導入し、処理室
10内を0.5容量%程度の酸素ガスを含んだ窒素ガス
雰囲気とする(図36の(A)参照)。
If the processing chamber 10 is left in a nitrogen gas atmosphere before the shutter 16 is opened, the following problems occur. That is, when the silicon semiconductor substrate whose surface is exposed with the hydrofluoric acid aqueous solution is carried into a high-temperature nitrogen gas atmosphere, the surface of the silicon semiconductor substrate 40 becomes rough. This phenomenon is caused by the fact that the Si—H bond formed on the surface of the silicon semiconductor substrate 40 by washing with the hydrofluoric acid aqueous solution is
Loss due to thermal desorption of hydrogen, silicon semiconductor substrate 4
This is considered to be caused by the occurrence of an etching phenomenon on the surface of No. 0. For example, when the temperature of a silicon semiconductor substrate is raised to 600 ° C. or more in argon gas, severe irregularities may occur on the surface of the silicon semiconductor substrate. Have been. In order to suppress such a phenomenon,
Before opening the shutter 16, oxygen gas is introduced from the pipe 32 into the combustion chamber 30, and, for example, nitrogen gas containing about 0.5% by volume of oxygen gas is introduced into the pipe 33, the gas passage 11, and the gas introduction unit. The processing chamber 10 is introduced into the processing chamber 10 through the atmosphere 12, and the inside of the processing chamber 10 is set to a nitrogen gas atmosphere containing about 0.5% by volume of oxygen gas (see FIG. 36A).

【0012】[工程−40]その後、処理室10内の雰
囲気温度を800〜900゜Cとする。そして、水蒸気
を処理室10へ導入する前に、配管31、燃焼室30、
配管33、ガス流路11及びガス導入部12を介しての
窒素ガスの導入を停止し、同時に、配管32から燃焼室
30に酸素ガスを導入し続け、燃焼室30内を酸素ガス
で満たす。こうして、不完全燃焼した水素ガスが処理室
10内に導入されることによって爆鳴気反応が生じるこ
とを防止する。この結果、燃焼室30、配管33、ガス
流路11及びガス導入部12を介して処理室10内に酸
素ガスが流入する(図37の(B)参照)。尚、燃焼室
30内の温度を、例えばヒータ(図示せず)によって7
00〜900゜Cに保持する。
[Step-40] Thereafter, the atmosphere temperature in the processing chamber 10 is set to 800 to 900 ° C. Then, before introducing steam into the processing chamber 10, the piping 31, the combustion chamber 30,
The introduction of nitrogen gas through the pipe 33, the gas flow path 11 and the gas introduction unit 12 is stopped, and at the same time, the introduction of oxygen gas from the pipe 32 into the combustion chamber 30 is continued, so that the inside of the combustion chamber 30 is filled with oxygen gas. Thus, it is possible to prevent the detonation reaction from occurring due to the incompletely burned hydrogen gas being introduced into the processing chamber 10. As a result, oxygen gas flows into the processing chamber 10 through the combustion chamber 30, the pipe 33, the gas flow path 11, and the gas introduction unit 12 (see FIG. 37B). The temperature in the combustion chamber 30 is controlled by, for example, a heater (not shown).
It is kept at 00 to 900 ° C.

【0013】[工程−50]次いで、配管31から水素
ガスを燃焼室30内に導入し、水素ガスと酸素ガスとを
燃焼室30内で高温にて混合し、燃焼させることによっ
て生成した水蒸気を、配管33、ガス流路11及びガス
導入部12を介して処理室10へ導入し、ガス排気部1
3から排気する(図38参照)。これによって、シリコ
ン半導体基板40の表面にシリコン酸化膜が形成され
る。
[Step-50] Next, hydrogen gas is introduced into the combustion chamber 30 from the pipe 31, and the hydrogen gas and the oxygen gas are mixed at a high temperature in the combustion chamber 30 and the steam generated by the combustion is removed. , A pipe 33, a gas flow path 11, and a gas introduction unit 12, and the gas exhaust unit 1
3 is exhausted (see FIG. 38). Thus, a silicon oxide film is formed on the surface of the silicon semiconductor substrate 40.

【0014】[0014]

【発明が解決しようとする課題】上述したとおり、水素
ガスを燃焼させる前に、爆鳴気反応を防止するために水
素ガスが導入される領域を予め酸素ガスで十分に満たし
ておく必要がある。ところが、図34に示した縦型方式
の酸化膜成膜装置においては、[工程−40]において
ガス導入部12から酸素ガスが処理室10内に流入する
ので、パイロジェニック酸化法によりシリコン酸化膜を
形成する前に、乾燥酸素ガスを用いた所謂乾式酸化によ
ってシリコン酸化膜(ドライ酸化膜)が形成されてしま
う。例えば、処理室10内の雰囲気温度を800゜Cと
し、水素ガスを導入する前に処理室10内に酸素ガスを
1分間流すと、膜厚が1〜1.5nmのドライ酸化膜が
形成されてしまう。
As described above, before burning the hydrogen gas, it is necessary to sufficiently fill the region into which the hydrogen gas is introduced with oxygen gas in advance in order to prevent a detonation reaction. . However, in the vertical type oxide film forming apparatus shown in FIG. 34, since oxygen gas flows into the processing chamber 10 from the gas introduction unit 12 in [Step-40], the silicon oxide film is formed by the pyrogenic oxidation method. Before forming the silicon oxide film, a silicon oxide film (dry oxide film) is formed by so-called dry oxidation using dry oxygen gas. For example, when the atmosphere temperature in the processing chamber 10 is set to 800 ° C. and oxygen gas is flown into the processing chamber 10 for 1 minute before introducing hydrogen gas, a dry oxide film having a thickness of 1 to 1.5 nm is formed. Would.

【0015】従来の半導体装置においては、最終的に形
成されるシリコン酸化膜の膜厚に対するドライ酸化膜の
膜厚の比率が十分に小さかったので、半導体装置の電気
的信頼性に与えるドライ酸化膜の影響を無視することが
できた。しかしながら、半導体装置の微細化及び高集積
化に伴い、ゲート酸化膜の薄膜化が進行しており、ゲー
ト長が0.18〜0.13nmの半導体装置では、膜厚
が4〜3nmのゲート酸化膜を用いることが予想され
る。それ故、シリコン酸化膜におけるドライ酸化膜の膜
厚の比率が増大し、ドライ酸化膜の半導体装置の電気的
信頼性への影響を無視することができなくなってきてい
る。従って、図34に示した従来の酸化膜成膜装置を用
いた従来のシリコン酸化膜の形成方法では、電気的信頼
性に優れたシリコン酸化膜を有する半導体装置を製造す
ることが困難である。
In the conventional semiconductor device, the ratio of the thickness of the dry oxide film to the thickness of the silicon oxide film finally formed is sufficiently small, so that the dry oxide film affecting the electrical reliability of the semiconductor device is reduced. Was able to ignore the effects. However, with the miniaturization and high integration of the semiconductor device, the thickness of the gate oxide film has been reduced. It is expected that a membrane will be used. Therefore, the ratio of the thickness of the dry oxide film to the silicon oxide film is increasing, and the influence of the dry oxide film on the electrical reliability of the semiconductor device cannot be ignored. Therefore, with the conventional method for forming a silicon oxide film using the conventional oxide film forming apparatus shown in FIG. 34, it is difficult to manufacture a semiconductor device having a silicon oxide film with excellent electrical reliability.

【0016】尚、以上の問題は、シリコン半導体基板の
表面において生じるだけでなく、絶縁性基板等の上に設
けられたシリコン層の表面においても生じる問題であ
る。
The above problem occurs not only on the surface of a silicon semiconductor substrate but also on the surface of a silicon layer provided on an insulating substrate or the like.

【0017】従って、本発明の目的は、シリコン層の表
面にシリコン酸化膜を形成する際、シリコン層の表面に
ドライ酸化膜が形成されることを低減することができ、
しかも、特性の優れたシリコン酸化膜を形成することが
できるシリコン酸化膜形成方法、及び係るシリコン酸化
膜の形成方法の実施に適した酸化膜成膜装置を提供する
ことにある。
Accordingly, an object of the present invention is to reduce the formation of a dry oxide film on the surface of a silicon layer when forming a silicon oxide film on the surface of a silicon layer.
In addition, it is an object of the present invention to provide a silicon oxide film forming method capable of forming a silicon oxide film having excellent characteristics, and an oxide film forming apparatus suitable for performing the silicon oxide film forming method.

【0018】[0018]

【課題を解決するための手段】上記の目的を達成するた
めの本発明のシリコン酸化膜の形成方法は、(A)酸素
ガスによる水素ガスの燃焼によって水蒸気を生成させる
燃焼室と、(B)燃焼室に通じ、そして、燃焼室から供
給された水蒸気によってシリコン層の表面にシリコン酸
化膜を形成する処理室、を具備する酸化膜成膜装置を用
いたシリコン酸化膜の形成方法であって、(イ)シリコ
ン層の表面からシリコン原子が脱離しない温度に保たれ
た不活性ガス雰囲気の処理室内にシリコン層を有する基
板を配置した後、少なくとも、燃焼室への酸素ガスの供
給開始後、燃焼室への水素ガスの供給により水蒸気が燃
焼室内で生成しそして処理室に供給されるまでの間、処
理室内へ不活性ガスを供給し、次いで、シリコン層の表
面からシリコン原子が脱離しない温度に雰囲気を保持し
た状態にて、燃焼室から供給された水蒸気によって該シ
リコン層の表面にシリコン酸化膜を形成する工程と、
(ロ)処理室の雰囲気温度を所望の温度まで昇温する工
程と、(ハ)該所望の温度に雰囲気を保持した状態に
て、燃焼室から供給された水蒸気によって、更にシリコ
ン酸化膜を形成する工程、から成ることを特徴とする。
尚、不活性ガス、酸素ガス、水素ガスの導入シークエン
スを模式的に図1に示す。尚、図において、不活性ガ
ス、酸素ガス、水素ガスのそれぞれの「ON」、「OF
F」の表示は、処理室あるいは燃焼室へのそれらのガス
の導入、不導入を示す。また、工程(イ)における水蒸
気によってシリコン層の表面にシリコン酸化膜を形成す
る工程を第1のシリコン酸化膜形成工程と呼び、工程
(ロ)を昇温工程と呼び、工程(ハ)におけるシリコン
酸化膜を形成する工程を第2のシリコン酸化膜形成工程
と呼ぶ。以下においても同様である。
According to the present invention, there is provided a method for forming a silicon oxide film, comprising: (A) a combustion chamber for generating steam by burning hydrogen gas with an oxygen gas; A method for forming a silicon oxide film using an oxide film forming apparatus, comprising: a treatment chamber that communicates with a combustion chamber and forms a silicon oxide film on a surface of a silicon layer with water vapor supplied from the combustion chamber, (A) After disposing a substrate having a silicon layer in a processing chamber in an inert gas atmosphere maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer, at least after starting supply of oxygen gas to the combustion chamber, An inert gas is supplied into the processing chamber until steam is generated in the combustion chamber by the supply of the hydrogen gas to the combustion chamber and supplied to the processing chamber, and then the silicon source is supplied from the surface of the silicon layer. Forming a silicon oxide film on the surface of the silicon layer in a state where but holding the atmosphere eliminated without temperature by steam supplied from the combustion chamber,
(B) a step of raising the temperature of the atmosphere in the processing chamber to a desired temperature; and (c) further forming a silicon oxide film with water vapor supplied from the combustion chamber while maintaining the atmosphere at the desired temperature. Performing the steps of:
FIG. 1 schematically shows a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas. In the figure, "ON" and "OF" of the inert gas, oxygen gas, and hydrogen gas are respectively shown.
The indication "F" indicates the introduction or non-introduction of those gases into the processing chamber or the combustion chamber. Further, the step of forming a silicon oxide film on the surface of the silicon layer with water vapor in the step (a) is referred to as a first silicon oxide film forming step, the step (b) is referred to as a temperature increasing step, and the silicon in the step (c) is referred to as a first step. The step of forming an oxide film is called a second silicon oxide film forming step. The same applies to the following.

【0019】本発明のシリコン酸化膜の形成方法におい
ては、工程(イ)において、シリコン層の表面からシリ
コン原子が脱離しない温度に保たれた不活性ガス雰囲気
の処理室内にシリコン層を有する基板を配置した後、少
なくとも、燃焼室への酸素ガスの供給開始後、燃焼室へ
の水素ガスの供給により水蒸気が燃焼室内で生成しそし
て処理室に供給されるまでの間、処理室内へ不活性ガス
を供給する。これによって、水蒸気によりシリコン酸化
膜が形成される前に、シリコン層と接する酸素ガスの濃
度を十分低下させることが可能となり、酸素ガスによる
シリコン酸化膜の形成(ドライ酸化膜の形成)を抑制す
ることが可能となる。
In the method for forming a silicon oxide film according to the present invention, in the step (a), a substrate having a silicon layer in a processing chamber in an inert gas atmosphere maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. After the oxygen gas is supplied to the combustion chamber, at least until the steam is generated in the combustion chamber by the supply of hydrogen gas to the combustion chamber and supplied to the processing chamber, the inert gas is introduced into the processing chamber. Supply gas. Thus, before the silicon oxide film is formed by the water vapor, the concentration of the oxygen gas in contact with the silicon layer can be sufficiently reduced, and the formation of the silicon oxide film (the formation of the dry oxide film) by the oxygen gas can be suppressed. It becomes possible.

【0020】しかも、シリコン層の表面からシリコン原
子が脱離しない温度に雰囲気を保持した状態にて、水蒸
気を用いた酸化法によってシリコン層の表面にシリコン
酸化膜を形成する。このような温度に雰囲気を保持する
ので、Si−Oの離脱やシリコン層の窒化を抑制するこ
とができる結果、シリコン層の表面に凹凸(荒れ)が生
じることを防止し得る。更には、シリコン層における酸
化反応がその表面のSi−H結合からではなく、1層内
部のSi−Si−H結合から始まり得るので、界面の平
坦度が原子レベルで保たれた状態でシリコン酸化膜の形
成を開始することができる。しかも、水蒸気を用いた酸
化法によってシリコン層の表面にシリコン酸化膜を形成
するので、最終的に形成されるシリコン酸化膜中にドラ
イ酸化膜が含まれることを抑制することができ、優れた
特性を有するシリコン酸化膜を形成することができる。
Further, a silicon oxide film is formed on the surface of the silicon layer by an oxidation method using water vapor while maintaining an atmosphere at a temperature at which silicon atoms do not desorb from the surface of the silicon layer. Since the atmosphere is maintained at such a temperature, detachment of Si—O and nitridation of the silicon layer can be suppressed. As a result, unevenness (roughness) on the surface of the silicon layer can be prevented. Furthermore, since the oxidation reaction in the silicon layer can start not from the Si-H bond on the surface but from the Si-Si-H bond inside one layer, the silicon oxidation is performed in a state where the flatness of the interface is maintained at the atomic level. The formation of the film can begin. In addition, since the silicon oxide film is formed on the surface of the silicon layer by an oxidation method using water vapor, it is possible to suppress the dry oxide film from being included in the finally formed silicon oxide film, and to obtain excellent characteristics. Can be formed.

【0021】更には、シリコン層の表面に既に保護膜と
しても機能するシリコン酸化膜が形成された状態で、所
望の温度まで昇温しその温度に雰囲気を保持し、水蒸気
を用いた酸化法によって更にシリコン酸化膜を形成する
ので、昇温工程が非酸化性雰囲気の場合においてもシリ
コン層の表面に凹凸(荒れ)が生じることがない。ま
た、優れた特性を有するシリコン酸化膜を形成すること
ができる。
Further, with a silicon oxide film already functioning as a protective film already formed on the surface of the silicon layer, the temperature is raised to a desired temperature, the atmosphere is maintained at that temperature, and an oxidation method using steam is used. Further, since the silicon oxide film is formed, no irregularities (roughness) are generated on the surface of the silicon layer even when the temperature raising step is performed in a non-oxidizing atmosphere. Further, a silicon oxide film having excellent characteristics can be formed.

【0022】また、本発明のシリコン酸化膜の形成方法
においては、水蒸気を用いた酸化法によってシリコン酸
化膜を形成するので、優れた経時絶縁破壊(TDDB)
特性を有するシリコン酸化膜を得ることができる。
In the method of forming a silicon oxide film according to the present invention, since the silicon oxide film is formed by an oxidation method using water vapor, excellent time-dependent dielectric breakdown (TDDB) is achieved.
A silicon oxide film having characteristics can be obtained.

【0023】尚、工程(イ)で形成されたシリコン酸化
膜の特性は、通常、例えばゲート酸化膜として要求され
る特性を十分満たしていない。工程(ハ)にてシリコン
酸化膜を更に形成することによって、ゲート酸化膜とし
て要求される特性を十分に満足するシリコン酸化膜を得
ることができる。工程(ハ)を経た後の最終的なシリコ
ン酸化膜の膜厚は、半導体装置に要求される所定の厚さ
とすればよい。一方、工程(イ)を経た後のシリコン酸
化膜の膜厚は、出来る限る薄いことが好ましい。但し、
現在、半導体装置の製造に用いられているシリコン半導
体基板の面方位は殆どの場合(100)であり、如何に
シリコン半導体基板の表面を平滑化しても(100)シ
リコンの表面には必ずステップと呼ばれる段差が形成さ
れる。このステップは通常シリコン原子1層分である
が、場合によっては2〜3層分の段差が形成されること
がある。従って、工程(イ)を経た後のシリコン酸化膜
の膜厚は、シリコン層として(100)シリコン半導体
基板を用いる場合、1nm以上とすることが好ましい。
The characteristics of the silicon oxide film formed in the step (a) usually do not sufficiently satisfy the characteristics required for a gate oxide film, for example. By further forming a silicon oxide film in the step (c), a silicon oxide film which sufficiently satisfies the characteristics required as a gate oxide film can be obtained. The final thickness of the silicon oxide film after the step (c) may be a predetermined thickness required for the semiconductor device. On the other hand, the thickness of the silicon oxide film after the step (a) is preferably as thin as possible. However,
At present, the plane orientation of a silicon semiconductor substrate used for manufacturing a semiconductor device is almost (100), and no matter how smooth the surface of the silicon semiconductor substrate is, the (100) silicon surface always has a step. A so-called step is formed. This step is usually for one layer of silicon atoms, but in some cases, a step for two to three layers may be formed. Therefore, the thickness of the silicon oxide film after the step (a) is preferably 1 nm or more when a (100) silicon semiconductor substrate is used as the silicon layer.

【0024】本発明のシリコン酸化膜の形成方法におい
ては、前記工程(イ)において、シリコン層の表面にシ
リコン酸化膜を形成した後、燃焼室への水素ガスの供給
停止後、所定の時間、燃焼室へ酸素ガスを供給しなが
ら、処理室内へ不活性ガスを供給する態様とすることも
できる。この場合の、不活性ガス、酸素ガス、水素ガス
の導入シークエンスを模式的に図2に示す。
In the method of forming a silicon oxide film according to the present invention, in the step (a), after the silicon oxide film is formed on the surface of the silicon layer, the supply of hydrogen gas to the combustion chamber is stopped for a predetermined time. An embodiment in which the inert gas is supplied into the processing chamber while supplying the oxygen gas to the combustion chamber may be adopted. FIG. 2 schematically shows a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in this case.

【0025】あるいは又、前記工程(ハ)において、水
蒸気によって更にシリコン酸化膜を形成する前に、少な
くとも、燃焼室への酸素ガスの供給開始後、燃焼室への
水素ガスの供給により水蒸気が燃焼室内で生成しそして
処理室に供給されるまでの間、処理室内へ不活性ガスを
供給する態様とすることもできる。この場合の、不活性
ガス、酸素ガス、水素ガスの導入シークエンスを模式的
に図3に示す。
Alternatively, in the step (c), before the formation of the silicon oxide film by the water vapor, at least after the start of the supply of the oxygen gas to the combustion chamber, the supply of the hydrogen gas to the combustion chamber causes the combustion of the water vapor. An embodiment in which the inert gas is supplied into the processing chamber until it is generated in the chamber and supplied to the processing chamber may be adopted. FIG. 3 schematically shows a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in this case.

【0026】更には、前記工程(ハ)において、シリコ
ン層の表面にシリコン酸化膜を形成した後、燃焼室への
水素ガスの供給停止後、所定の時間、燃焼室へ酸素ガス
を供給しながら、処理室内へ不活性ガスを供給する態様
とすることもできる。この場合の、不活性ガス、酸素ガ
ス、水素ガスの導入シークエンスを模式的に図4に示
す。
Further, in the step (c), after forming a silicon oxide film on the surface of the silicon layer, stopping supply of hydrogen gas to the combustion chamber, supplying oxygen gas to the combustion chamber for a predetermined time. Alternatively, an aspect in which an inert gas is supplied into the processing chamber may be adopted. FIG. 4 schematically shows a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in this case.

【0027】図2及び図3に示したガス導入シークエン
スを組み合わせたガス導入シークエンスを図5に示す。
また、図2及び図4に示したガス導入シークエンスを組
み合わせたガス導入シークエンスを図6に示す。更に
は、図3及び図4に示したガス導入シークエンスを組み
合わせたガス導入シークエンスを図7に示す。また、図
2、図3及び図4に示したガス導入シークエンスを組み
合わせたガス導入シークエンスを図8に示す。
FIG. 5 shows a gas introduction sequence obtained by combining the gas introduction sequences shown in FIGS. 2 and 3.
FIG. 6 shows a gas introduction sequence obtained by combining the gas introduction sequences shown in FIGS. 2 and 4. FIG. 7 shows a gas introduction sequence obtained by combining the gas introduction sequences shown in FIGS. 3 and 4. FIG. 8 shows a gas introduction sequence obtained by combining the gas introduction sequences shown in FIGS. 2, 3, and 4.

【0028】これらの各態様とすることによって、酸素
ガスによるシリコン酸化膜の形成(ドライ酸化膜の形
成)を一層確実に抑制することが可能となる。
According to each of these aspects, formation of a silicon oxide film (formation of a dry oxide film) by oxygen gas can be more reliably suppressed.

【0029】水蒸気を不活性ガスで希釈してもよい。図
8に示したガス導入シークエンスにおいて、水蒸気を不
活性ガスで希釈し続ける場合のガス導入シークエンスを
図9に示す。また、第1のシリコン酸化膜形成工程ある
いは第2のシリコン酸化膜形成工程の一方の工程におい
てのみ、水蒸気を不活性ガスで希釈してもよい。これら
の場合のガス導入シークエンスを図10及び図11に示
す。このように、水蒸気を不活性ガスで希釈することに
よって、急激なシリコン酸化膜の形成を抑制することが
可能となり、シリコン酸化膜の膜厚制御性を高めること
ができ、一層確実に極薄のシリコン酸化膜を形成するこ
とが可能となる。
The steam may be diluted with an inert gas. FIG. 9 shows a gas introduction sequence in the case where water vapor is continuously diluted with an inert gas in the gas introduction sequence shown in FIG. Further, steam may be diluted with an inert gas only in one of the first silicon oxide film forming step and the second silicon oxide film forming step. The gas introduction sequences in these cases are shown in FIGS. As described above, by diluting the water vapor with the inert gas, it is possible to suppress the rapid formation of the silicon oxide film, it is possible to enhance the controllability of the thickness of the silicon oxide film, and it is possible to more reliably reduce the thickness of the silicon oxide film. A silicon oxide film can be formed.

【0030】ここで、不活性ガスとしては、窒素ガス、
アルゴンガス、ヘリウムガスを例示することができる。
本発明のシリコン酸化膜の形成方法あるいはその各種態
様においては、水素ガスの不完全燃焼を防止するため
に、処理室内へ酸素ガスを供給する。
Here, as the inert gas, nitrogen gas,
Examples thereof include an argon gas and a helium gas.
In the method of forming a silicon oxide film of the present invention or various aspects thereof, oxygen gas is supplied into a processing chamber in order to prevent incomplete combustion of hydrogen gas.

【0031】尚、工程(イ)において、処理室内にシリ
コン層を有する基板を配置するときの処理室の不活性ガ
ス雰囲気温度は、水蒸気によってシリコン層の表面にシ
リコン酸化膜を形成するときの雰囲気温度と同じであっ
てよいし、それよりも低い温度であってもよい。水蒸気
によってシリコン層の表面にシリコン酸化膜を形成する
ときの雰囲気温度は、一定であっても、変化させてもよ
い。
In the step (a), when the substrate having the silicon layer is placed in the processing chamber, the temperature of the inert gas atmosphere in the processing chamber is the same as the temperature at which the silicon oxide film is formed on the surface of the silicon layer by water vapor. It may be the same as or lower than the temperature. The ambient temperature when the silicon oxide film is formed on the surface of the silicon layer by the water vapor may be constant or may be changed.

【0032】本発明のシリコン酸化膜の形成方法におい
て、シリコン層の表面からシリコン原子が脱離しない温
度は、シリコン層表面を終端している原子とシリコン原
子との結合が切断されない温度であることが望ましい。
この場合、シリコン層の表面からシリコン原子が脱離し
ない温度は、Si−H結合が切断されない温度若しくは
Si−F結合が切断されない温度であることが好まし
い。尚、シリコン層の表面からシリコン原子が脱離しな
い温度は、1.013×105Pa(1気圧)にて測定
した値であり、水蒸気がシリコン層上で結露しない温度
以上、好ましくは100゜C以上、一層好ましくは20
0゜C以上とし、430゜C以下、好ましくは400゜
C以下とすることが望ましい。
In the method for forming a silicon oxide film of the present invention, the temperature at which silicon atoms do not desorb from the surface of the silicon layer is a temperature at which the bond between the atoms terminating the silicon layer surface and the silicon atoms is not broken. Is desirable.
In this case, the temperature at which silicon atoms do not desorb from the surface of the silicon layer is preferably a temperature at which a Si—H bond is not broken or a temperature at which a Si—F bond is not broken. The temperature at which silicon atoms do not desorb from the surface of the silicon layer is a value measured at 1.013 × 10 5 Pa (1 atm), and is higher than the temperature at which water vapor does not condense on the silicon layer, preferably 100 ° C. C or more, more preferably 20
It is desirable that the temperature be 0 ° C. or higher and 430 ° C. or lower, preferably 400 ° C. or lower.

【0033】工程(イ)及び/又は工程(ハ)における
水蒸気にはハロゲン元素が含有されていてもよい。これ
によって、タイムゼロ絶縁破壊(TZDB)特性及び経
時絶縁破壊(TDDB)特性に優れたシリコン酸化膜を
得ることができる。ハロゲン元素として、塩素、臭素、
フッ素を挙げることができるが、なかでも塩素であるこ
とが望ましい。水蒸気中に含有されるハロゲン元素の形
態としては、例えば、塩化水素(HCl)、CCl4
2HCl3、Cl2、HBr、NF3を挙げることができ
る。水蒸気中のハロゲン元素の含有率は、分子又は化合
物の形態を基準として、0.001〜10容量%、好ま
しくは0.005〜10容量%、更に好ましくは0.0
2〜10容量%である。例えば塩化水素ガスを用いる場
合、水蒸気中の塩化水素ガス含有率は0.02〜10容
量%であることが望ましい。
The water vapor in the step (a) and / or the step (c) may contain a halogen element. As a result, a silicon oxide film having excellent time zero dielectric breakdown (TZDB) characteristics and temporal dielectric breakdown (TDDB) characteristics can be obtained. As halogen elements, chlorine, bromine,
Fluorine can be mentioned, and among them, chlorine is preferable. Examples of the form of the halogen element contained in the water vapor include hydrogen chloride (HCl), CCl 4 ,
It can be exemplified C 2 HCl 3, Cl 2, HBr, NF 3. The content of the halogen element in the water vapor is 0.001 to 10% by volume, preferably 0.005 to 10% by volume, and more preferably 0.05 to 10% by volume, based on the form of the molecule or the compound.
It is 2 to 10% by volume. For example, when hydrogen chloride gas is used, the content of hydrogen chloride gas in water vapor is desirably 0.02 to 10% by volume.

【0034】本発明のシリコン酸化膜の形成方法におい
ては、工程(ロ)における雰囲気を、不活性ガス雰囲気
若しくは減圧雰囲気とするか、あるいは又、水蒸気を含
む酸化雰囲気とすることが望ましい。後者の場合のガス
導入シークエンスを図12に示す。尚、後者の場合、更
には、水蒸気を不活性ガスで希釈してもよい。図12に
示したガス導入シークエンスにおいて、水蒸気を不活性
ガスで希釈し続ける場合のガス導入シークエンスを図1
3に示す。また、第1のシリコン酸化膜形成工程あるい
は第2のシリコン酸化膜形成工程の一方の工程において
のみ、水蒸気を不活性ガスで希釈してもよい。これらの
場合のガス導入シークエンスを図14及び図15に示
す。このように、水蒸気を不活性ガスで希釈することに
よって、急激なシリコン酸化膜の形成を抑制することが
可能となり、シリコン酸化膜の膜厚制御性を高めること
ができ、一層確実に極薄のシリコン酸化膜を形成するこ
とが可能となる。しかも、昇温工程においてもシリコン
酸化膜が形成されるが、水蒸気を不活性ガスで希釈する
ことによって、シリコン酸化膜の面内厚さばらつきを少
なくすることができる。ここで、不活性ガスとして、窒
素ガス、アルゴンガス、ヘリウムガスを例示することが
できる。尚、工程(ロ)における雰囲気中の不活性ガス
若しくは水蒸気には、ハロゲン元素が含有されていても
よい。これによって、工程(イ)にて形成されたシリコ
ン酸化膜の特性の一層の向上を図ることができる。即
ち、工程(イ)において生じ得る欠陥であるシリコンダ
ングリングボンド(Si・)やSiOHが工程(ロ)に
おいてハロゲン元素と反応し、シリコンダングリングボ
ンドが終端しあるいは脱水反応を生じる結果、信頼性劣
化因子であるこれらの欠陥が排除される。特に、これら
の欠陥の排除は、工程(イ)において形成された初期の
シリコン酸化膜に対して効果的である。尚、ハロゲン元
素として、塩素、臭素、フッ素を挙げることができる
が、なかでも塩素であることが望ましい。不活性ガス若
しくは水蒸気中に含有されるハロゲン元素の形態として
は、例えば、塩化水素(HCl)、CCl4、C2HCl
3、Cl2、HBr、NF3を挙げることができる。不活
性ガス若しくは水蒸気中のハロゲン元素の含有率は、分
子又は化合物の形態を基準として、0.001〜10容
量%、好ましくは0.005〜10容量%、更に好まし
くは0.02〜10容量%である。例えば塩化水素ガス
を用いる場合、不活性ガス若しくは水蒸気中の塩化水素
ガス含有率は0.02〜10容量%であることが望まし
い。
In the method for forming a silicon oxide film of the present invention, it is desirable that the atmosphere in the step (b) be an inert gas atmosphere or a reduced pressure atmosphere, or an oxidizing atmosphere containing water vapor. FIG. 12 shows the gas introduction sequence in the latter case. In the latter case, the steam may be further diluted with an inert gas. In the gas introduction sequence shown in FIG. 12, a gas introduction sequence in the case where water vapor is continuously diluted with an inert gas is shown in FIG.
3 is shown. Further, steam may be diluted with an inert gas only in one of the first silicon oxide film forming step and the second silicon oxide film forming step. The gas introduction sequence in these cases is shown in FIGS. As described above, by diluting the water vapor with the inert gas, it is possible to suppress the rapid formation of the silicon oxide film, it is possible to enhance the controllability of the thickness of the silicon oxide film, and it is possible to more reliably reduce the thickness of the silicon oxide film. A silicon oxide film can be formed. In addition, a silicon oxide film is also formed in the temperature raising step, but the in-plane thickness variation of the silicon oxide film can be reduced by diluting water vapor with an inert gas. Here, examples of the inert gas include a nitrogen gas, an argon gas, and a helium gas. Incidentally, the inert gas or water vapor in the atmosphere in the step (b) may contain a halogen element. Thereby, the characteristics of the silicon oxide film formed in the step (a) can be further improved. That is, the silicon dangling bonds (Si.) And SiOH, which are defects that can occur in the step (a), react with the halogen element in the step (b) to terminate the silicon dangling bonds or cause a dehydration reaction, resulting in reliability. These defects, which are deterioration factors, are eliminated. In particular, the elimination of these defects is effective for the initial silicon oxide film formed in the step (a). In addition, as the halogen element, chlorine, bromine and fluorine can be mentioned, and among them, chlorine is preferable. Examples of the form of the halogen element contained in the inert gas or steam include hydrogen chloride (HCl), CCl 4 , and C 2 HCl.
3 , Cl 2 , HBr, and NF 3 . The content of the halogen element in the inert gas or water vapor is 0.001 to 10% by volume, preferably 0.005 to 10% by volume, more preferably 0.02 to 10% by volume, based on the form of the molecule or the compound. %. For example, when hydrogen chloride gas is used, the content of hydrogen chloride gas in an inert gas or water vapor is preferably 0.02 to 10% by volume.

【0035】本発明のシリコン酸化膜の形成方法におい
て、工程(ハ)における所望の温度は、600乃至12
00゜C、好ましくは700乃至1000゜C、更に好
ましくは750乃至900゜Cであることが望ましい。
In the method for forming a silicon oxide film according to the present invention, the desired temperature in the step (c) is from 600 to 12
It is desirably 00 ° C, preferably 700 to 1000 ° C, and more preferably 750 to 900 ° C.

【0036】ここで、シリコン層とは、シリコン半導体
基板等の基板そのものだけでなく、シリコン半導体基
板、半絶縁性基板あるいは絶縁性基板といった各種基板
の上に形成されたエピタキシャルシリコン層、多結晶シ
リコン層、あるいは非晶質シリコン層、所謂張り合わせ
法やSIMOX法に基づき製造されたSOI構造におけ
るシリコン層、更には、基板やこれらの層に半導体素子
や半導体素子の構成要素が形成されたもの等、シリコン
酸化膜を形成すべきシリコン層(下地)を意味する。シ
リコン半導体基板の作製方法は、CZ法、MCZ法、D
LCZ法、FZ法等、如何なる方法であってもよいし、
また、予め高温の水素アニール処理を行い結晶欠陥を除
去したものでもよい。
Here, the silicon layer means not only a substrate itself such as a silicon semiconductor substrate, but also an epitaxial silicon layer formed on various substrates such as a silicon semiconductor substrate, a semi-insulating substrate or an insulating substrate, and polycrystalline silicon. A layer or an amorphous silicon layer, a silicon layer in an SOI structure manufactured based on a so-called bonding method or a SIMOX method, and further, a substrate or a component in which a semiconductor element or a component of a semiconductor element is formed on these layers, It means a silicon layer (base) on which a silicon oxide film is to be formed. The method of manufacturing the silicon semiconductor substrate includes the CZ method, the MCZ method, and the D method.
Any method such as LCZ method and FZ method may be used,
Alternatively, a crystal defect may be removed by performing a high-temperature hydrogen annealing treatment in advance.

【0037】形成されたシリコン酸化膜の特性を一層向
上させるために、必須ではないが、本発明のシリコン酸
化膜の形成方法においては、工程(ハ)の後、形成され
たシリコン酸化膜に熱処理を施すことが好ましい。図8
に示したガス導入シークエンスにおいて、この熱処理を
施す工程を加えたときのガス導入シークエンスを図16
に示すが、図1〜図15に示したガス導入シークエンス
に熱処理を施す工程を加えることもできる。
In order to further improve the characteristics of the formed silicon oxide film, although not essential, in the method for forming a silicon oxide film of the present invention, after the step (c), the formed silicon oxide film is subjected to a heat treatment. Is preferably applied. FIG.
FIG. 16 shows the gas introduction sequence when the step of performing this heat treatment is added to the gas introduction sequence shown in FIG.
However, a step of subjecting the gas introduction sequence shown in FIGS. 1 to 15 to a heat treatment may be added.

【0038】この場合、熱処理の雰囲気を、ハロゲン元
素を含有する不活性ガス雰囲気とすることが望ましい。
ハロゲン元素を含有する不活性ガス雰囲気中でシリコン
酸化膜を熱処理することによって、タイムゼロ絶縁破壊
(TZDB)特性及び経時絶縁破壊(TDDB)特性に
優れたシリコン酸化膜を得ることができる。また、ハロ
ゲン元素として、塩素、臭素、フッ素を挙げることがで
きるが、なかでも塩素であることが望ましい。不活性ガ
ス中に含有されるハロゲン元素の形態としては、例え
ば、塩化水素(HCl)、CCl4、C2HCl3、C
2、HBr、NF3を挙げることができる。不活性ガス
中のハロゲン元素の含有率は、分子又は化合物の形態を
基準として、0.001〜10容量%、好ましくは0.
005〜10容量%、更に好ましくは0.02〜10容
量%である。例えば塩化水素ガスを用いる場合、不活性
ガス中の塩化水素ガス含有率は0.02〜10容量%で
あることが望ましい。
In this case, it is desirable that the atmosphere for the heat treatment be an inert gas atmosphere containing a halogen element.
By subjecting the silicon oxide film to a heat treatment in an inert gas atmosphere containing a halogen element, a silicon oxide film having excellent time-zero dielectric breakdown (TZDB) characteristics and temporal dielectric breakdown (TDDB) characteristics can be obtained. In addition, examples of the halogen element include chlorine, bromine, and fluorine, and among them, chlorine is preferable. As the form of the halogen element contained in the inert gas, for example, hydrogen chloride (HCl), CCl 4 , C 2 HCl 3 , C 2
l 2, HBr, mention may be made of the NF 3. The content of the halogen element in the inert gas is 0.001 to 10% by volume, preferably 0.1 to 10% by volume, based on the form of the molecule or the compound.
005 to 10% by volume, more preferably 0.02 to 10% by volume. For example, when using hydrogen chloride gas, the content of hydrogen chloride gas in the inert gas is preferably 0.02 to 10% by volume.

【0039】熱処理を、ハロゲン元素を含有する不活性
ガス雰囲気を大気圧よりも減圧した状態で行ってもよ
い。熱処理時の圧力は、1.3×104Pa(100T
orr)以下であることが好ましい。圧力の下限は、シ
リコン酸化膜を熱処理するための装置に依存するが、出
来る限り低いことが望ましい。
The heat treatment may be performed in a state where the atmosphere of an inert gas containing a halogen element is reduced in pressure from the atmospheric pressure. The pressure during the heat treatment is 1.3 × 10 4 Pa (100 T
orr). The lower limit of the pressure depends on the apparatus for heat-treating the silicon oxide film, but is preferably as low as possible.

【0040】熱処理は炉アニール処理であることが望ま
しい。熱処理の温度は、700〜1200゜C、好まし
くは700〜1000゜C、更に好ましくは700〜9
50゜Cである。また、熱処理の時間は、5〜60分、
好ましくは10〜40分、更に好ましくは20〜30分
である。熱処理における不活性ガスとして、窒素ガス、
アルゴンガス、ヘリウムガスを例示することができる。
The heat treatment is preferably a furnace annealing treatment. The temperature of the heat treatment is 700-1200 ° C., preferably 700-1000 ° C., and more preferably 700-9.
50 ° C. The heat treatment time is 5 to 60 minutes,
Preferably it is 10 to 40 minutes, more preferably 20 to 30 minutes. As an inert gas in the heat treatment, nitrogen gas,
Examples thereof include an argon gas and a helium gas.

【0041】尚、熱処理後、シリコン酸化膜を窒化処理
してもよい。この場合、窒化処理を、N2Oガス、NO
ガス、NO2ガス雰囲気中で行うことが望ましいが、中
でもN2Oガス雰囲気中で行うことが望ましい。あるい
は又、窒化処理をNH3ガス、N24、ヒドラジン誘導
体雰囲気中で行い、その後、N2Oガス、O2雰囲気中で
アニール処理を行うことが望ましい。窒化処理を700
乃至1200゜C、好ましくは800乃至1150゜
C、更に好ましくは900乃至1100゜Cの温度で行
うことが望ましく、この場合、シリコン半導体基板の加
熱を赤外線照射、炉アニール処理によって行うことが好
ましい。
After the heat treatment, the silicon oxide film may be nitrided. In this case, the nitriding treatment is performed with N 2 O gas, NO
It is desirable to carry out in an atmosphere of gas and NO 2 gas, and it is particularly desirable to carry out in an atmosphere of N 2 O gas. Alternatively, it is preferable to perform the nitriding treatment in an atmosphere of NH 3 gas, N 2 H 4 , and hydrazine derivative, and then perform the annealing treatment in an atmosphere of N 2 O gas and O 2 . 700 nitriding
It is preferable that the heating is performed at a temperature of from 1200 to 1200 ° C., preferably from 800 to 1150 ° C., more preferably from 900 to 1100 ° C. In this case, it is preferable that the silicon semiconductor substrate be heated by infrared irradiation and furnace annealing.

【0042】あるいは又、熱処理の雰囲気を、窒素系ガ
ス雰囲気としてもよい。ここで窒素系ガスとして、
2、NH3、N2O、NO2を例示することができる。
Alternatively, the atmosphere for the heat treatment may be a nitrogen-based gas atmosphere. Here, as nitrogen-based gas,
Examples include N 2 , NH 3 , N 2 O, and NO 2 .

【0043】本発明のシリコン酸化膜の形成方法におい
ては、形成されたシリコン酸化膜に熱処理を施す際の雰
囲気温度を、工程(ハ)においてシリコン酸化膜を形成
する際の雰囲気温度よりも高くする形態とすることがで
きる。この場合、工程(ハ)におけるシリコン酸化膜の
形成完了後、雰囲気を不活性ガス雰囲気に切り替えた
後、熱処理を施すための雰囲気温度まで昇温してもよい
が、雰囲気をハロゲン元素を含有する不活性ガス雰囲気
に切り替えた後、熱処理を施すための雰囲気温度まで昇
温することが好ましい。ここで、不活性ガス中に含有さ
れるハロゲン元素の形態としては、例えば、塩化水素
(HCl)、CCl4、C2HCl3、Cl2、HBr、N
3を挙げることができる。不活性ガス中のハロゲン元
素の含有率は、分子又は化合物の形態を基準として、
0.001〜10容量%、好ましくは0.005〜10
容量%、更に好ましくは0.02〜10容量%である。
例えば塩化水素ガスを用いる場合、不活性ガス中の塩化
水素ガス含有率は0.02〜10容量%であることが望
ましい。
In the method of forming a silicon oxide film according to the present invention, the temperature of the atmosphere when heat-treating the formed silicon oxide film is set higher than the temperature of the atmosphere when forming the silicon oxide film in step (c). It can be in the form. In this case, after the formation of the silicon oxide film in the step (c) is completed, the atmosphere may be switched to an inert gas atmosphere, and then the temperature may be increased to an ambient temperature for performing a heat treatment, but the atmosphere contains a halogen element. After switching to the inert gas atmosphere, it is preferable to raise the temperature to the ambient temperature for performing the heat treatment. Here, as the form of the halogen element contained in the inert gas, for example, hydrogen chloride (HCl), CCl 4 , C 2 HCl 3 , Cl 2 , HBr, N
F 3 can be mentioned. The content of the halogen element in the inert gas is based on the form of the molecule or compound,
0.001 to 10% by volume, preferably 0.005 to 10%
%, More preferably 0.02 to 10% by volume.
For example, when using hydrogen chloride gas, the content of hydrogen chloride gas in the inert gas is preferably 0.02 to 10% by volume.

【0044】本発明のシリコン酸化膜の形成方法は、例
えばMOS型トランジスタのゲート酸化膜、層間絶縁膜
や素子分離領域の形成、トップゲート型若しくはボトム
ゲート型薄膜トランジスタのゲート酸化膜の形成、フラ
ッシュメモリのトンネル酸化膜の形成等、各種半導体装
置におけるシリコン酸化膜の形成に適用することができ
る。
The method of forming a silicon oxide film of the present invention includes, for example, formation of a gate oxide film of a MOS transistor, formation of an interlayer insulating film and an element isolation region, formation of a gate oxide film of a top gate or bottom gate thin film transistor, flash memory For example, the present invention can be applied to formation of a silicon oxide film in various semiconductor devices, such as formation of a tunnel oxide film.

【0045】工程(イ)において、少なくとも、燃焼室
への酸素ガスの供給開始後、燃焼室への水素ガスの供給
により水蒸気が燃焼室内で生成しそして処理室に供給さ
れるまでの間、処理室内へ不活性ガスを供給する。この
ときの不活性ガス雰囲気の処理室内の温度は出来る限り
低い温度であることが、ドライ酸化膜の形成を抑制する
ために好ましい。しかしながら、このような状態にする
と、燃焼室にて生成した水蒸気が処理室に達するまでの
間に結露する虞がある。それ故、本発明の酸化膜成膜装
置は、(A)酸素ガスによる水素ガスの燃焼によって水
蒸気を生成させる燃焼室と、(B)燃焼室に通じ、そし
て、燃焼室から供給された水蒸気によってシリコン層の
表面にシリコン酸化膜を形成する処理室と、(C)燃焼
室と処理室とを結ぶ配管、を具備する酸化膜成膜装置で
あって、該配管には不活性ガス導入部が設けられている
ことを特徴とする。尚、不活性ガス導入部を含む配管に
は、燃焼室で生成した水蒸気が処理室に達するまでの間
に結露することを防止するための加熱手段が備えられて
いることが好ましい。これによって、燃焼室にて生成し
た水蒸気が処理室に達するまでの間に結露することを確
実に防止することができる。この場合、加熱手段によっ
て、不活性ガス導入部を含む配管を100゜Cを越える
温度に加熱することが好ましい。また、配管に設けられ
た不活性ガス導入部から配管に流入する不活性ガスが燃
焼室側に流入しないように、配管に不活性ガス導入部が
設けられていることが望ましい。
In the step (a), at least after the start of the supply of oxygen gas to the combustion chamber, until the steam is generated in the combustion chamber by the supply of hydrogen gas to the combustion chamber and supplied to the processing chamber, Supply inert gas to the room. At this time, the temperature in the processing chamber in an inert gas atmosphere is preferably as low as possible in order to suppress the formation of a dry oxide film. However, in such a state, there is a possibility that water vapor generated in the combustion chamber may form dew before reaching the processing chamber. Therefore, the oxide film forming apparatus according to the present invention comprises: (A) a combustion chamber that generates steam by burning hydrogen gas with oxygen gas; and (B) a combustion chamber that communicates with the combustion chamber. An oxide film forming apparatus comprising: a processing chamber for forming a silicon oxide film on the surface of a silicon layer; and (C) a pipe connecting the combustion chamber and the processing chamber, wherein the pipe has an inert gas introduction unit. It is characterized by being provided. It is preferable that the piping including the inert gas introduction unit is provided with a heating unit for preventing the water vapor generated in the combustion chamber from forming dew before reaching the processing chamber. Thereby, it is possible to reliably prevent the water vapor generated in the combustion chamber from forming dew before reaching the processing chamber. In this case, it is preferable to heat the piping including the inert gas introduction part to a temperature exceeding 100 ° C. by the heating means. In addition, it is desirable that the pipe be provided with an inert gas introduction part so that the inert gas flowing into the pipe from the inert gas introduction part provided in the pipe does not flow into the combustion chamber.

【0046】[0046]

【実施例】以下、図面を参照して、実施例に基づき本発
明を説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, the present invention will be described based on embodiments with reference to the drawings.

【0047】(実施例1)実施例1のシリコン酸化膜の
形成方法の実施に適した酸化膜成膜装置の概要を図17
に示す。この酸化膜成膜装置は、基本的には、図34に
示した従来の縦型方式の酸化膜成膜装置と同様の構造を
有する。従来の縦型方式の酸化膜成膜装置のと相違する
点は、以下の点にある。即ち、燃焼室30と処理室10
とを結ぶ配管33には不活性ガス導入部34が設けられ
ており、この不活性ガス導入部34には不活性ガス(実
施例1においては窒素ガス)を導入するための配管35
が取り付けられている。また、不活性ガス導入部34を
含む配管33には、燃焼室30で生成した水蒸気が処理
室10に達するまでの間に結露することを防止するため
の加熱手段であるヒータ36が備えられている。尚、配
管33に設けられた不活性ガス導入部34から配管33
に流入する不活性ガスが燃焼室30側に流入しないよう
に、配管33に不活性ガス導入部34が設けられている
ことが望ましい。具体的には、配管35内を流れてきた
不活性ガスの不活性ガス導入部34における流れの方向
と、燃焼室30から流れてきたガスの不活性ガス導入部
34における流れの方向が、鋭角を成して交わることが
好ましい。
(Example 1) FIG. 17 shows an outline of an oxide film forming apparatus suitable for carrying out the method for forming a silicon oxide film of Example 1.
Shown in This oxide film forming apparatus basically has the same structure as the conventional vertical type oxide film forming apparatus shown in FIG. The points different from the conventional vertical type oxide film forming apparatus are as follows. That is, the combustion chamber 30 and the processing chamber 10
An inert gas introduction part 34 is provided in the pipe 33 connecting the pipe and the pipe 35 for introducing an inert gas (nitrogen gas in the first embodiment) into the inert gas introduction part 34.
Is attached. Further, the pipe 33 including the inert gas introduction part 34 is provided with a heater 36 as a heating means for preventing the water vapor generated in the combustion chamber 30 from forming dew before reaching the processing chamber 10. I have. In addition, the inert gas introduction part 34 provided in the pipe 33
It is desirable that the pipe 33 be provided with an inert gas introduction part 34 so that the inert gas flowing into the combustion chamber 30 does not flow into the combustion chamber 30. Specifically, the direction of the flow of the inert gas flowing through the pipe 35 in the inert gas introduction unit 34 and the direction of the flow of the gas flowing from the combustion chamber 30 in the inert gas introduction unit 34 are acute angles. It is preferable that they intersect with each other.

【0048】実施例1のシリコン酸化膜の形成方法にお
いては、図18に示したガス導入シークエンスを採用し
た。即ち、 (a)工程(イ)において、燃焼室への酸素ガスの供給
開始後、燃焼室への水素ガスの供給により水蒸気が燃焼
室内で生成しそして処理室に供給されるまでの間、処理
室内へ不活性ガスを供給する。 (b)工程(イ)において、シリコン層の表面にシリコ
ン酸化膜を形成した後、燃焼室への水素ガスの供給を停
止する。そして、所定の時間、燃焼室へ酸素ガスを供給
しながら、処理室内へ不活性ガスを供給する。実施例1
においては、以降、処理室内への不活性ガスの供給を継
続する。 (c)工程(ハ)において、水蒸気によって更にシリコ
ン酸化膜を形成する前に、燃焼室への酸素ガスの供給開
始後、燃焼室への水素ガスの供給により水蒸気が燃焼室
内で生成しそして処理室に供給されるまでの間も、処理
室内へ不活性ガスを供給し続ける。 (d)工程(ハ)において、シリコン層の表面にシリコ
ン酸化膜を形成した後、燃焼室への水素ガスの供給を停
止する。そして、所定の時間、燃焼室へ酸素ガスを供給
しながら、処理室内へ不活性ガスを供給し続ける。
In the method of forming the silicon oxide film of Example 1, the gas introduction sequence shown in FIG. 18 was employed. (A) In the step (a), after the supply of oxygen gas to the combustion chamber is started, the processing is performed until steam is generated in the combustion chamber by the supply of hydrogen gas to the combustion chamber and supplied to the processing chamber. Supply inert gas to the room. (B) In step (a), after forming a silicon oxide film on the surface of the silicon layer, the supply of hydrogen gas to the combustion chamber is stopped. Then, an inert gas is supplied into the processing chamber while supplying oxygen gas to the combustion chamber for a predetermined time. Example 1
In, the supply of the inert gas into the processing chamber is continued thereafter. (C) In step (c), before the formation of a silicon oxide film with water vapor, after the supply of oxygen gas to the combustion chamber is started, the supply of hydrogen gas to the combustion chamber generates water vapor in the combustion chamber, and the processing is performed. Until the gas is supplied to the chamber, the inert gas is continuously supplied into the processing chamber. (D) In step (c), after forming a silicon oxide film on the surface of the silicon layer, the supply of hydrogen gas to the combustion chamber is stopped. Then, the inert gas is continuously supplied to the processing chamber while the oxygen gas is supplied to the combustion chamber for a predetermined time.

【0049】また、実施例1においては、第2のシリコ
ン酸化膜形成工程において水蒸気を不活性ガスで希釈し
た。
In Example 1, the water vapor was diluted with an inert gas in the second silicon oxide film forming step.

【0050】更には、実施例1のシリコン酸化膜の形成
方法においては、工程(ハ)の後、形成されたシリコン
酸化膜に熱処理を施した。熱処理は、ハロゲン元素を含
有する不活性ガス雰囲気(塩化水素を含む窒素ガス雰囲
気)中で熱処理(炉アニール処理)とした。また、第1
及び第2のシリコン酸化膜形成工程においては、水蒸気
のみでシリコン酸化膜を形成した。更には、工程(ロ)
である昇温工程における雰囲気を、不活性ガス雰囲気
(窒素ガス雰囲気)とした。実施例1においては、シリ
コン層をシリコン半導体基板から構成した。形成された
シリコン酸化膜はゲート酸化膜として機能する。以下、
図19〜図26を参照して、実施例1のシリコン酸化膜
の形成方法を説明する。
Further, in the method for forming a silicon oxide film of Example 1, after the step (c), the formed silicon oxide film was subjected to a heat treatment. The heat treatment was a heat treatment (furnace annealing) in an inert gas atmosphere containing a halogen element (a nitrogen gas atmosphere containing hydrogen chloride). Also, the first
In the second silicon oxide film forming step, the silicon oxide film was formed only with water vapor. Furthermore, the process (b)
Is an inert gas atmosphere (nitrogen gas atmosphere). In Example 1, the silicon layer was formed from a silicon semiconductor substrate. The formed silicon oxide film functions as a gate oxide film. Less than,
With reference to FIGS. 19 to 26, a method for forming the silicon oxide film of the first embodiment will be described.

【0051】[工程−100]先ず、N型単結晶シリコ
ン半導体基板(以下、単にシリコン半導体基板と呼ぶ)
40に、公知の方法でLOCOS構造を有する素子分離
領域41を形成し、ウエルイオン注入、チャネルストッ
プイオン注入、閾値調整イオン注入を行う。尚、素子分
離領域はトレンチ構造を有していてもよい。その後、R
CA洗浄によりシリコン半導体基板40の表面の微粒子
や金属不純物を除去し、次いで、0.1%フッ化水素酸
水溶液に1分間浸漬することによってシリコン半導体基
板40の表面洗浄を行い、シリコン半導体基板40の表
面を露出させ、純水による洗浄後、公知のIPA乾燥法
にてシリコン半導体基板40を乾燥させる(図19の
(A)参照)。尚、シリコン半導体基板の表面は大半が
水素で終端しており、極一部がフッ素で終端されてい
る。
[Step-100] First, an N-type single crystal silicon semiconductor substrate (hereinafter simply referred to as a silicon semiconductor substrate)
At 40, an element isolation region 41 having a LOCOS structure is formed by a known method, and well ion implantation, channel stop ion implantation, and threshold adjustment ion implantation are performed. Note that the element isolation region may have a trench structure. Then, R
The fine particles and metal impurities on the surface of the silicon semiconductor substrate 40 are removed by CA cleaning, and then the surface of the silicon semiconductor substrate 40 is cleaned by immersion in a 0.1% aqueous hydrofluoric acid solution for 1 minute. After the surface of the silicon semiconductor substrate 40 is exposed and washed with pure water, the silicon semiconductor substrate 40 is dried by a known IPA drying method (see FIG. 19A). Most of the surface of the silicon semiconductor substrate is terminated with hydrogen, and a very small portion is terminated with fluorine.

【0052】[工程−110]次に、シリコン半導体基
板40を、図17に示した酸化膜成膜装置の基板搬入出
部20に図示しない扉から搬入し、石英ボート24に載
置する(図20の(A)参照)。尚、配管31、燃焼室
30、配管33、ガス流路11及びガス導入部12を介
して処理室10へ室温の窒素ガスを10SLMの流量に
て導入し、処理室10内を室温の窒素ガス雰囲気として
おく。この状態においては、シャッター15は閉じてお
く。
[Step-110] Next, the silicon semiconductor substrate 40 is loaded into the substrate loading / unloading section 20 of the oxide film forming apparatus shown in FIG. 17 through a door (not shown) and placed on the quartz boat 24 (FIG. 17). 20 (A)). A nitrogen gas at room temperature is introduced into the processing chamber 10 at a flow rate of 10 SLM through the pipe 31, the combustion chamber 30, the pipe 33, the gas flow path 11, and the gas introduction unit 12, and the inside of the processing chamber 10 is supplied with nitrogen gas at room temperature. Keep the atmosphere. In this state, the shutter 15 is kept closed.

【0053】[工程−120]そして、基板搬入出部2
0へのシリコン半導体基板40の搬入が完了した後、図
示しない扉を閉め、基板搬入出部20にガス導入部21
から窒素ガスを導入し、ガス排気部22から排出し、基
板搬入出部20内を窒素ガス雰囲気とする。尚、基板搬
入出部20内の酸素ガス濃度をモニターし、酸素ガス濃
度が例えば100ppm以下となったならば、基板搬入
出部20内が十分に窒素ガス雰囲気となったと判断す
る。その後、シャッター15を開き(図20の(B)参
照)、エレベータ機構23を作動させて石英ボート24
を500mm/分の上昇速度で上昇させ、シリコン半導
体基板40を石英製の二重管構造の処理室10内に搬入
する(図21の(A)参照)。エレベータ機構23が最
上昇位置に辿り着くと、石英ボート24の基部によって
処理室10と基板搬入出部20との間は連通しなくな
る。処理室10には、配管31、燃焼室30、配管3
3、ガス流路11及びガス導入部12を介して処理室1
0へ窒素ガスを流し続ける。次いで、ヒータ15を作動
させて、処理室10内の雰囲気温度を350゜Cとする
(図21の(B)参照)。尚、昇温速度を20゜C/分
とした。一方、図示しないヒータによって燃焼室30を
加熱し、燃焼室30の温度を750゜Cとする。また、
ヒータ36によって配管33内の温度を昇温させ、35
0゜Cとする。
[Step-120] Then, the substrate loading / unloading section 2
0, the door (not shown) is closed, and the gas introduction unit 21 is inserted into the substrate carry-in / out unit 20.
, And exhausted from the gas exhaust unit 22 to make the inside of the substrate loading / unloading unit 20 a nitrogen gas atmosphere. The oxygen gas concentration in the substrate loading / unloading section 20 is monitored, and if the oxygen gas concentration becomes, for example, 100 ppm or less, it is determined that the inside of the substrate loading / unloading section 20 has a sufficient nitrogen gas atmosphere. Thereafter, the shutter 15 is opened (see FIG. 20B), and the elevator mechanism 23 is operated to operate the quartz boat 24.
Is raised at a rising speed of 500 mm / min, and the silicon semiconductor substrate 40 is carried into the processing chamber 10 having a double-tube structure made of quartz (see FIG. 21A). When the elevator mechanism 23 reaches the highest position, the base of the quartz boat 24 stops communication between the processing chamber 10 and the substrate loading / unloading section 20. The processing chamber 10 includes a pipe 31, a combustion chamber 30, a pipe 3
3. Processing chamber 1 via gas flow path 11 and gas introduction unit 12
Continue to flow nitrogen gas to zero. Next, the heater 15 is operated to set the ambient temperature in the processing chamber 10 to 350 ° C. (see FIG. 21B). The heating rate was 20 ° C./min. On the other hand, the combustion chamber 30 is heated by a heater (not shown), and the temperature of the combustion chamber 30 is set to 750 ° C. Also,
The temperature in the pipe 33 is raised by the heater 36,
0 ° C.

【0054】[工程−130]処理室10内の雰囲気温
度が350゜Cにて安定した時点で、配管31からの窒
素ガスの供給を停止し、配管35からの不活性ガス(実
施例1においては窒素ガス)の供給(流量:10SL
M)を開始する。それと同時に、配管32から燃焼室3
0への酸素ガス(流量:5SLM)の供給を開始する
(図22の(A)参照)。このように、シリコン層(実
施例1においてはシリコン半導体基板40)の表面から
シリコン原子が脱離しない温度(実施例1においては3
50゜C)に保たれた不活性ガス雰囲気の処理室10内
にシリコン層を有する基板(シリコン半導体基板40)
を配置した後、燃焼室30への酸素ガスの供給開始後、
燃焼室10への水素ガスの供給により水蒸気が燃焼室3
0内で生成しそして処理室10に供給されるまでの間、
処理室10内へ不活性ガス(実施例1においては窒素ガ
ス)を供給するので、水蒸気によりシリコン酸化膜がシ
リコン層(実施例1においてはシリコン半導体基板4
0)に形成される前に、シリコン層と接する酸素ガスの
濃度を十分低下させることが可能となる。その結果、酸
素ガスによるシリコン酸化膜の形成(ドライ酸化膜の形
成)を抑制することができる。また、シリコン半導体基
板40は350゜Cに保持されているので、シリコン半
導体基板40の表面に荒れが発生することを抑制するこ
とができる。更には、シリコン半導体基板40の表面に
水素が終端した状態でシリコン酸化膜が形成されるの
で、シリコン酸化膜/シリコン半導体基板40の界面の
平坦性が原子レベルで保たれる。
[Step-130] When the atmospheric temperature in the processing chamber 10 is stabilized at 350 ° C., the supply of the nitrogen gas from the pipe 31 is stopped, and the inert gas from the pipe 35 (in the first embodiment, Is nitrogen gas) (flow rate: 10SL)
M) is started. At the same time, the combustion chamber 3
The supply of oxygen gas (flow rate: 5 SLM) to 0 is started (see FIG. 22A). As described above, the temperature at which silicon atoms do not desorb from the surface of the silicon layer (the silicon semiconductor substrate 40 in the first embodiment) (3 in the first embodiment).
A substrate having a silicon layer in the processing chamber 10 in an inert gas atmosphere maintained at 50 ° C. (silicon semiconductor substrate 40)
After the supply of oxygen gas to the combustion chamber 30 is started,
By supplying hydrogen gas to the combustion chamber 10, steam is generated in the combustion chamber 3.
Until it is produced within 0 and fed to the processing chamber 10.
Since the inert gas (nitrogen gas in the first embodiment) is supplied into the processing chamber 10, the silicon oxide film is formed by the water vapor on the silicon layer (the silicon semiconductor substrate 4 in the first embodiment).
Before being formed in 0), the concentration of oxygen gas in contact with the silicon layer can be sufficiently reduced. As a result, formation of a silicon oxide film (formation of a dry oxide film) due to oxygen gas can be suppressed. Further, since the silicon semiconductor substrate 40 is maintained at 350 ° C., it is possible to suppress the occurrence of roughness on the surface of the silicon semiconductor substrate 40. Furthermore, since the silicon oxide film is formed on the surface of the silicon semiconductor substrate 40 with hydrogen terminated, the flatness of the interface between the silicon oxide film and the silicon semiconductor substrate 40 is maintained at the atomic level.

【0055】[工程−131]配管32から燃焼室30
への酸素ガスの供給開始後、1分間が経過したならば、
配管31から水素ガス(流量:2.5SLM)を燃焼室
30に導入する。燃焼室30内に配設された炎検出器等
で水素ガスの燃焼が確認されたならば、配管35からの
窒素ガスの供給を停止する。こうして、シリコン層の表
面からシリコン原子が脱離しない温度に雰囲気を保持し
た状態にて、具体的には、実施例1においては処理室1
0の雰囲気温度を350゜Cに保持した状態にて、燃焼
室30から供給された水蒸気によってシリコン層(実施
例1においてはシリコン半導体基板40)の表面にシリ
コン酸化膜を形成する(図22の(B)参照)。尚、実
施例1においては、この第1のシリコン酸化膜形成工程
において、1.2nmのシリコン酸化膜をシリコン半導
体基板40の表面に形成した。このシリコン酸化膜の厚
さはSiO2の2〜3分子層に相当する厚さであり、シ
リコン半導体基板の表面のステップを考慮しても、保護
膜として機能するのに十分な厚さである。
[Step-131] From the pipe 32 to the combustion chamber 30
After one minute has passed since the supply of oxygen gas to
Hydrogen gas (flow rate: 2.5 SLM) is introduced into the combustion chamber 30 from the pipe 31. When the combustion of the hydrogen gas is confirmed by a flame detector or the like disposed in the combustion chamber 30, the supply of the nitrogen gas from the pipe 35 is stopped. Thus, in a state where the atmosphere is maintained at a temperature at which silicon atoms do not desorb from the surface of the silicon layer, specifically, in the first embodiment, the processing chamber 1
While maintaining the atmospheric temperature of 0 ° C. at 350 ° C., a silicon oxide film is formed on the surface of the silicon layer (the silicon semiconductor substrate 40 in the first embodiment) by the steam supplied from the combustion chamber 30 (FIG. 22). (B)). In Example 1, a 1.2 nm silicon oxide film was formed on the surface of the silicon semiconductor substrate 40 in the first silicon oxide film forming step. The thickness of this silicon oxide film is a thickness corresponding to 2 to 3 molecular layers of SiO 2 , and is sufficient to function as a protective film even in consideration of steps on the surface of the silicon semiconductor substrate. .

【0056】[工程−132]シリコン層の表面にシリ
コン酸化膜を形成した後、燃焼室30への水素ガスの供
給を停止する。そして、所定の時間、配管32から燃焼
室30へ酸素ガスを供給しながら、処理室10内へ不活
性ガス(実施例1においては窒素ガス)を配管35から
供給する(図23の(A)参照)。燃焼室30への酸素
ガスの供給量を5SLM、配管35から処理室10への
窒素ガスの供給量を10SLMとした。この状態を1分
間保持し、燃焼室30や配管33内に残存した水素ガス
を燃焼させながら排気した。その後、配管35からの窒
素ガスの供給を停止し、配管31から燃焼室30、配管
33を経由して処理室10への不活性ガス(実施例1に
おいては窒素ガス)の供給(流量:10SLM)を行
う。
[Step-132] After the silicon oxide film is formed on the surface of the silicon layer, the supply of hydrogen gas to the combustion chamber 30 is stopped. Then, while supplying oxygen gas from the pipe 32 to the combustion chamber 30 for a predetermined time, an inert gas (nitrogen gas in the first embodiment) is supplied from the pipe 35 into the processing chamber 10 (FIG. 23A). reference). The supply amount of oxygen gas to the combustion chamber 30 was 5 SLM, and the supply amount of nitrogen gas from the pipe 35 to the processing chamber 10 was 10 SLM. This state was maintained for one minute, and the hydrogen gas remaining in the combustion chamber 30 and the pipe 33 was exhausted while burning. Thereafter, the supply of the nitrogen gas from the pipe 35 is stopped, and the supply of the inert gas (nitrogen gas in the first embodiment) from the pipe 31 to the processing chamber 10 via the combustion chamber 30 and the pipe 33 (flow rate: 10 SLM) )I do.

【0057】[工程−140]その後、不活性ガス(窒
素ガス)をガス導入部12から処理室10内に供給し続
けながら、酸化膜成膜装置の処理室10内の雰囲気温度
を、均熱管14を介してヒータ15によって所望の温度
(実施例1においては、800゜C)まで昇温させる
(図23の(B)参照)。昇温速度を10゜C/分とし
た。尚、[工程−131]にてシリコン層の表面には保
護膜としても機能するシリコン酸化膜が既に形成されて
いるので、この[工程−140](昇温工程)におい
て、シリコン層(シリコン半導体基板40)の表面に荒
れが発生することはない。
[Step-140] After that, while continuously supplying an inert gas (nitrogen gas) from the gas introducing section 12 into the processing chamber 10, the atmosphere temperature in the processing chamber 10 of the oxide film forming apparatus is reduced by a soaking tube. The temperature is raised to a desired temperature (800 ° C. in the first embodiment) by the heater 15 via the heater 14 (see FIG. 23B). The heating rate was 10 ° C./min. Since a silicon oxide film which also functions as a protective film has already been formed on the surface of the silicon layer in [Step-131], the silicon layer (silicon semiconductor) is used in [Step-140] (temperature increasing step). Roughness does not occur on the surface of the substrate 40).

【0058】[工程−150]次に、所望の温度(実施
例1においては、800゜C)に雰囲気を保持した状態
にて、燃焼室30から供給された水蒸気によって、更に
シリコン酸化膜を形成する。具体的には、配管31から
の窒素ガスの供給を停止し、配管35からの不活性ガス
(実施例1においては窒素ガス)の供給(流量:10S
LM)を開始する。それと同時に、配管32から燃焼室
30への酸素ガス(流量:5SLM)の供給を開始する
(図24の(A)参照)。このように、燃焼室30への
酸素ガスの供給を開始した後、燃焼室10への水素ガス
の供給により水蒸気が燃焼室30内で生成しそして処理
室10に供給されるまでの間、処理室10内へ不活性ガ
スを供給するので、所謂ドライ酸化膜の形成を確実に防
止することができる。
[Step-150] Next, while maintaining the atmosphere at a desired temperature (800 ° C. in the first embodiment), a silicon oxide film is further formed by water vapor supplied from the combustion chamber 30. I do. Specifically, the supply of nitrogen gas from the pipe 31 is stopped, and the supply of an inert gas (nitrogen gas in Example 1) from the pipe 35 (flow rate: 10 S
LM). At the same time, the supply of oxygen gas (flow rate: 5 SLM) from the pipe 32 to the combustion chamber 30 is started (see FIG. 24A). As described above, after the supply of oxygen gas to the combustion chamber 30 is started, the processing is performed until steam is generated in the combustion chamber 30 by the supply of hydrogen gas to the combustion chamber 10 and supplied to the processing chamber 10. Since the inert gas is supplied into the chamber 10, the formation of a so-called dry oxide film can be reliably prevented.

【0059】[工程−151]配管32から燃焼室30
への酸素ガスの供給開始後、1分間が経過したならば、
配管31から水素ガス(流量:2.5SLM)を燃焼室
30に導入する。燃焼室30内に配設された炎検出器等
で水素ガスの燃焼が確認された後も、実施例1において
は、配管35から窒素ガスの供給(流量:5SLM)を
継続した。こうして、燃焼室30から供給された水蒸気
によってシリコン層(実施例1においてはシリコン半導
体基板40)の表面に更にシリコン酸化膜を形成する
(図24の(B)参照)。実施例1においては、総厚
4.0nmのシリコン酸化膜を形成した。
[Step-151] From the pipe 32 to the combustion chamber 30
After one minute has passed since the supply of oxygen gas to
Hydrogen gas (flow rate: 2.5 SLM) is introduced into the combustion chamber 30 from the pipe 31. In Example 1, the supply of nitrogen gas (flow rate: 5 SLM) from the pipe 35 was continued even after the combustion of hydrogen gas was confirmed by a flame detector or the like disposed in the combustion chamber 30. Thus, a silicon oxide film is further formed on the surface of the silicon layer (the silicon semiconductor substrate 40 in the first embodiment) by the water vapor supplied from the combustion chamber 30 (see FIG. 24B). In Example 1, a silicon oxide film having a total thickness of 4.0 nm was formed.

【0060】[工程−152]シリコン層の表面にシリ
コン酸化膜を形成した後、燃焼室30への水素ガスの供
給を停止する。そして、所定の時間、燃焼室30へ酸素
ガスを供給しながら、処理室10内へ不活性ガス(実施
例1においては窒素ガス)を配管35から供給する(図
25の(A)参照)。燃焼室30への酸素ガスの供給量
を5SLM、配管35から処理室10への窒素ガスの供
給量を10SLMとした。この状態を1分間保持し、燃
焼室30や配管33内に残存した水素ガスを燃焼させな
がら排気した。その後、配管35からの窒素ガスの供給
を停止し、配管31から燃焼室30への不活性ガス(実
施例1においては窒素ガス)の供給(流量:10SL
M)を行う。
[Step-152] After forming the silicon oxide film on the surface of the silicon layer, the supply of hydrogen gas to the combustion chamber 30 is stopped. Then, while supplying oxygen gas to the combustion chamber 30 for a predetermined time, an inert gas (nitrogen gas in Example 1) is supplied from the pipe 35 into the processing chamber 10 (see FIG. 25A). The supply amount of oxygen gas to the combustion chamber 30 was 5 SLM, and the supply amount of nitrogen gas from the pipe 35 to the processing chamber 10 was 10 SLM. This state was maintained for one minute, and the hydrogen gas remaining in the combustion chamber 30 and the pipe 33 was exhausted while burning. Thereafter, the supply of the nitrogen gas from the pipe 35 is stopped, and the supply of the inert gas (nitrogen gas in the first embodiment) from the pipe 31 to the combustion chamber 30 (flow rate: 10 SL)
M).

【0061】[工程−160]そして、処理室10の雰
囲気温度をヒータ15によって850゜Cまで昇温する
(図25の(B)参照)。その後、塩化水素を0.1容
量%含有する窒素ガスをガス導入部12から処理室10
内に導入し、30分間、熱処理を行う(図26参照)。
[Step-160] Then, the ambient temperature of the processing chamber 10 is raised to 850 ° C. by the heater 15 (see FIG. 25B). Thereafter, a nitrogen gas containing 0.1% by volume of hydrogen chloride is supplied from the gas introduction unit 12 to the processing chamber 10.
And heat-treated for 30 minutes (see FIG. 26).

【0062】[工程−170]以上により、シリコン半
導体基板40の表面におけるシリコン酸化膜42の形成
が完了する(図19の(B)参照)。以降、処理室10
内を窒素ガス雰囲気とし、エレベータ機構23を動作さ
せて石英ボート24を下降させ、次いで、基板搬入出部
20からシリコン半導体基板40を搬出する。
[Step-170] With the above, the formation of the silicon oxide film 42 on the surface of the silicon semiconductor substrate 40 is completed (see FIG. 19B). Thereafter, the processing room 10
The interior is set to a nitrogen gas atmosphere, the elevator mechanism 23 is operated to lower the quartz boat 24, and then the silicon semiconductor substrate 40 is unloaded from the substrate loading / unloading section 20.

【0063】[工程−180]実施例1においては、こ
うしてシリコン酸化膜が形成されたシリコン半導体基板
を用いて、公知のCVD技術、フォトリソグラフィ技術
及びドライエッチング技術を用いて、シリコン酸化膜4
2の上にリンをドーピングしたポリシリコンから成るゲ
ート電極43を形成し、MOSキャパシタを作製した
(図19の(C)参照)。
[Step-180] In the first embodiment, using the silicon semiconductor substrate on which the silicon oxide film is formed as described above, the silicon oxide film 4 is formed by using a known CVD technique, photolithography technique and dry etching technique.
A gate electrode 43 made of phosphorus-doped polysilicon was formed on the substrate 2 to produce a MOS capacitor (see FIG. 19C).

【0064】(比較例1)比較例1においては、従来の
シリコン酸化膜の形成方法に基づき、シリコン半導体基
板の表面に厚さ4.0nmのシリコン酸化膜を形成し
た。即ち、[工程−10]〜[工程−40]に基づき、
シリコン酸化膜を形成した。尚、[工程−20]におい
て、シャッター15を開く前に、0.5容量%の酸素ガ
スを含んだ窒素ガスをガス導入部12から処理室10内
に導入し、処理室10内を0.5容量%の酸素ガスを含
んだ窒素ガス雰囲気(雰囲気温度:800゜C)とし
た。また、処理室10内の温度を800゜Cとし、パイ
ロジェニック酸化法にて、シリコン半導体基板の表面に
シリコン酸化膜を形成した。こうしてシリコン酸化膜が
形成されたシリコン半導体基板から、実施例1と同様
に、MOSキャパシタを作製した。尚、パイロジェニッ
ク酸化法にてシリコン半導体基板の表面にシリコン酸化
膜を形成する前に、0.5容量%の酸素ガスを含んだ窒
素ガス雰囲気の処理室10内にシリコン半導体基板を搬
入した結果、シリコン半導体基板の表面には厚さ2.3
nmのドライ酸化膜が形成されていた。
Comparative Example 1 In Comparative Example 1, a 4.0 nm thick silicon oxide film was formed on the surface of a silicon semiconductor substrate based on a conventional method for forming a silicon oxide film. That is, based on [Step-10] to [Step-40],
A silicon oxide film was formed. In [Step-20], before opening the shutter 15, a nitrogen gas containing 0.5% by volume of oxygen gas is introduced into the processing chamber 10 from the gas introduction unit 12, and the inside of the processing chamber 10 is set at 0.1%. A nitrogen gas atmosphere (atmospheric temperature: 800 ° C.) containing 5% by volume of oxygen gas was used. The temperature in the processing chamber 10 was set to 800 ° C., and a silicon oxide film was formed on the surface of the silicon semiconductor substrate by a pyrogenic oxidation method. A MOS capacitor was manufactured from the silicon semiconductor substrate on which the silicon oxide film was formed in the same manner as in Example 1. Before the silicon oxide film was formed on the surface of the silicon semiconductor substrate by the pyrogenic oxidation method, the silicon semiconductor substrate was loaded into the processing chamber 10 in a nitrogen gas atmosphere containing 0.5% by volume of oxygen gas. And a thickness of 2.3 on the surface of the silicon semiconductor substrate.
nm of a dry oxide film was formed.

【0065】実施例1及び比較例1により作製されたM
OSキャパシタに対して、シリコン酸化膜の長期信頼性
を評価するために、経時絶縁破壊(Time Dependent Die
lectric Breakdown、TDDB)特性の測定を行った。
この経時絶縁破壊は、電流ストレス又は電圧ストレスを
印加した瞬間には破壊しないが、ストレス印加後ある時
間が経過してからシリコン酸化膜に絶縁破壊が生じる現
象である。
The M prepared according to Example 1 and Comparative Example 1
In order to evaluate the long-term reliability of the silicon oxide film for OS capacitors, time-dependent dielectric breakdown (Time Dependent Die
Electric breakdown (TDDB) characteristics were measured.
This aging dielectric breakdown is a phenomenon in which a dielectric breakdown does not occur at the moment when a current stress or a voltage stress is applied, but the dielectric breakdown occurs in a silicon oxide film after a certain time has elapsed after the application of the stress.

【0066】経時絶縁破壊(TDDB)特性を以下の方
法で評価した。1枚のシリコン半導体基板40に50個
のMOSキャパシタを作製した。また、MOSキャパシ
タのゲート面積を0.1mm2とした。そして、評価に
は2枚のシリコン半導体基板を使用した。図27に模式
的に図示する回路を作り、ゲート電極43に定電流(J
=0.1A/cm2)ストレスを印加する定電流ストレ
ス法により所謂クーロンブレイクダウン(QBD)を測定
した。ここで、QBDは、J(A/cm2)と、絶縁破壊
に至るまでの時間tBDの積で表される。そして、QBD
ワイブル確率分布における累積不良率50%に相当する
電荷量を求めた。結果は以下の表1のとおりであった。
試験の結果、実施例1にて作製されたシリコン酸化膜の
信頼性は、比較例1と比較して4〜5倍高いものであっ
た。
The time-dependent dielectric breakdown (TDDB) characteristic was evaluated by the following method. Fifty MOS capacitors were formed on one silicon semiconductor substrate 40. The gate area of the MOS capacitor was set to 0.1 mm 2 . Then, two silicon semiconductor substrates were used for the evaluation. A circuit schematically shown in FIG. 27 is made, and a constant current (J
= 0.1 A / cm 2 ) A so-called Coulomb breakdown (Q BD ) was measured by a constant current stress method applying a stress. Here, Q BD is represented by the product of J (A / cm 2 ) and the time t BD until dielectric breakdown. Then, a charge amount corresponding to a cumulative failure rate of 50% in the Weibull probability distribution of Q BD was obtained. The results were as shown in Table 1 below.
As a result of the test, the reliability of the silicon oxide film manufactured in Example 1 was 4 to 5 times higher than that of Comparative Example 1.

【0067】(実施例2)実施例2においては、シリコ
ン層として、P型単結晶シリコン半導体基板の上に形成
されたN型シリコンエピタキシャル層を用いた。この点
を除き、実施例1と同様の方法で、係るN型シリコンエ
ピタキシャル層の表面にシリコン酸化膜を形成した。但
し、実施例1の[工程−110]において、配管31、
燃焼室30、配管33、ガス流路11及びガス導入部1
2を介して処理室10へ窒素ガスを10SLMの流量に
て導入するが、この際の処理室10の雰囲気温度を35
0゜Cとした。また、実施例1の[工程−120]にお
いて、エレベータ機構23を作動させて石英ボート24
を250mm/分の上昇速度で上昇させ、シリコン半導
体基板40を石英製の二重管構造の処理室10内に搬入
した。このときの処理室10の雰囲気温度を350゜C
とした。
Example 2 In Example 2, an N-type silicon epitaxial layer formed on a P-type single-crystal silicon semiconductor substrate was used as a silicon layer. Except for this point, a silicon oxide film was formed on the surface of the N-type silicon epitaxial layer in the same manner as in Example 1. However, in [Step-110] of Example 1, the pipe 31,
Combustion chamber 30, pipe 33, gas flow path 11, and gas introduction section 1
2, nitrogen gas is introduced into the processing chamber 10 at a flow rate of 10 SLM.
0 ° C. Further, in [Step-120] of the first embodiment, the elevator mechanism 23 is operated to operate the quartz boat 24.
Was raised at a rate of 250 mm / min, and the silicon semiconductor substrate 40 was carried into the processing chamber 10 having a double-tube structure made of quartz. At this time, the atmospheric temperature of the processing chamber 10 is set to 350 ° C.
And

【0068】実施例2においても、実施例1と同様にM
OSキャパシタを作製し、シリコン酸化膜の長期信頼性
を評価するために、TDDB特性の測定を行った。結果
を表1に示す。試験の結果、実施例2で作製されたシリ
コン酸化膜の信頼性は、実施例1と比較しても一層高い
信頼性を有していた。
In the second embodiment as well, the M
An OS capacitor was manufactured, and TDDB characteristics were measured in order to evaluate the long-term reliability of the silicon oxide film. Table 1 shows the results. As a result of the test, the reliability of the silicon oxide film manufactured in Example 2 was higher than that of Example 1.

【0069】[0069]

【表1】 実施例1 45〜48C/cm2 実施例2 56〜58C/cm2 比較例1 10〜11C/cm2 Table 1 Example 1 45-48 C / cm 2 Example 2 56-58 C / cm 2 Comparative Example 1 10-11 C / cm 2

【0070】(実施例3)実施例3においては、図28
に示したガス導入シークエンスを採用した。即ち、実施
例3が実施例1と相違する点は、実施例1の[工程−1
20]及び[工程−130]にある。以下、実施例3が
実施例1と相違する工程を説明する。
(Embodiment 3) In Embodiment 3, FIG.
Was adopted. That is, Example 3 differs from Example 1 in that [Step-1 of Example 1]
20] and [Step-130]. Hereinafter, steps in which the third embodiment is different from the first embodiment will be described.

【0071】実施例3においては、実施例1の[工程−
110]と同様に、シリコン半導体基板40を、図17
に示した酸化膜成膜装置の基板搬入出部20に図示しな
い扉から搬入し、石英ボート24に載置する。尚、配管
31、燃焼室30、配管33、ガス流路11及びガス導
入部12を介して処理室10へ室温の窒素ガスを10S
LMの流量にて導入し、処理室10内を室温の窒素ガス
雰囲気としておく。この状態においては、シャッター1
5は閉じておく。
In Example 3, [Step-
110], the silicon semiconductor substrate 40 is
Is carried into the substrate carrying-in / out section 20 of the oxide film forming apparatus shown in FIG. The room temperature nitrogen gas is supplied to the processing chamber 10 via the pipe 31, the combustion chamber 30, the pipe 33, the gas flow path 11, and the gas introduction unit 12 for 10 seconds.
It is introduced at a flow rate of LM, and the inside of the processing chamber 10 is kept in a nitrogen gas atmosphere at room temperature. In this state, the shutter 1
5 is closed.

【0072】そして、基板搬入出部20へのシリコン半
導体基板40の搬入が完了した後、図示しない扉を閉
め、基板搬入出部20にガス導入部21から窒素ガスを
導入し、ガス排気部22から排出し、基板搬入出部20
内を窒素ガス雰囲気とする。尚、基板搬入出部20内の
酸素ガス濃度をモニターし、酸素ガス濃度が例えば10
0ppm以下となったならば、基板搬入出部20内が十
分に窒素ガス雰囲気となったと判断する。その後、シャ
ッター15を開き、エレベータ機構23を作動させて石
英ボート24を500mm/分の上昇速度で上昇させ、
シリコン半導体基板40を石英製の二重管構造の処理室
10内に搬入する。処理室10には、配管31、燃焼室
30、配管33、ガス流路11及びガス導入部12を介
して処理室10へ窒素ガスを流し続ける。次いで、ヒー
タ15を作動させて、処理室10内の雰囲気温度を12
0゜Cとする。尚、昇温速度を20゜C/分とした。一
方、図示しないヒータによって燃焼室30を加熱し、燃
焼室30の温度を750゜Cとする。また、ヒータ36
によって、配管33内の温度を120゜Cとする。
After the loading of the silicon semiconductor substrate 40 into the substrate loading / unloading section 20 is completed, a door (not shown) is closed, nitrogen gas is introduced into the substrate loading / unloading section 20 from the gas introduction section 21, and the gas exhaust section 22 is opened. From the substrate loading / unloading section 20
The inside is a nitrogen gas atmosphere. The oxygen gas concentration in the substrate loading / unloading section 20 is monitored, and the oxygen gas
If it becomes 0 ppm or less, it is determined that the inside of the substrate carry-in / out section 20 has been sufficiently brought into the nitrogen gas atmosphere. Thereafter, the shutter 15 is opened, the elevator mechanism 23 is operated, and the quartz boat 24 is raised at a rising speed of 500 mm / min.
The silicon semiconductor substrate 40 is carried into the processing chamber 10 having a double tube structure made of quartz. In the processing chamber 10, the nitrogen gas continues to flow into the processing chamber 10 via the pipe 31, the combustion chamber 30, the pipe 33, the gas flow path 11, and the gas introduction unit 12. Next, the heater 15 is operated to reduce the atmospheric temperature in the processing chamber 10 to 12
0 ° C. The heating rate was 20 ° C./min. On the other hand, the combustion chamber 30 is heated by a heater (not shown), and the temperature of the combustion chamber 30 is set to 750 ° C. Also, the heater 36
Thereby, the temperature in the pipe 33 is set to 120 ° C.

【0073】次に、実施例1の[工程−130]と同様
の工程において、処理室10内の雰囲気温度が120゜
Cにて安定した時点で、配管31からの窒素ガスの供給
を停止し、配管35からの不活性ガス(実施例3におい
ては窒素ガス)の供給(流量:10SLM)を開始す
る。それと同時に、配管32から燃焼室30への酸素ガ
ス(流量:5SLM)の供給を開始する。このように、
シリコン層(実施例3においてはシリコン半導体基板4
0)の表面からシリコン原子が脱離しない温度(実施例
3においては120゜C)に保たれた不活性ガス雰囲気
の処理室10内にシリコン層を有する基板を配置した
後、燃焼室30への酸素ガスの供給開始後、燃焼室10
への水素ガスの供給により水蒸気が燃焼室30内で生成
しそして処理室10に供給されるまでの間、処理室10
内へ不活性ガス(実施例3においては窒素ガス)を供給
する。その結果、水蒸気によりシリコン酸化膜がシリコ
ン層(実施例3においてはシリコン半導体基板40)に
形成される前に、シリコン層と接する酸素ガスの濃度を
十分低下させることが可能となり、酸素ガスによるシリ
コン酸化膜の形成(ドライ酸化膜の形成)を抑制するこ
とができる。また、シリコン半導体基板40は120゜
Cに保持されているので、シリコン半導体基板40の表
面に荒れが発生することを抑制することができる。更に
は、シリコン半導体基板40の表面に水素が終端した状
態でシリコン酸化膜が形成されるので、シリコン酸化膜
/シリコン半導体基板40の界面の平坦性が原子レベル
で保たれる。
Next, in the same step as [Step-130] of the first embodiment, when the atmospheric temperature in the processing chamber 10 is stabilized at 120 ° C., the supply of the nitrogen gas from the pipe 31 is stopped. Then, the supply of inert gas (nitrogen gas in the third embodiment) from the pipe 35 (flow rate: 10 SLM) is started. At the same time, supply of oxygen gas (flow rate: 5 SLM) from the pipe 32 to the combustion chamber 30 is started. in this way,
Silicon layer (in the third embodiment, the silicon semiconductor substrate 4
After a substrate having a silicon layer is placed in the processing chamber 10 in an inert gas atmosphere maintained at a temperature at which silicon atoms are not desorbed from the surface (0) (120 ° C. in Example 3), the combustion chamber 30 After the supply of oxygen gas is started, the combustion chamber 10
The supply of hydrogen gas to the processing chamber 10 causes steam to be generated in the combustion chamber 30 and supplied to the processing chamber 10.
An inert gas (nitrogen gas in Example 3) is supplied into the inside. As a result, before the silicon oxide film is formed on the silicon layer (the silicon semiconductor substrate 40 in the third embodiment) by the water vapor, the concentration of the oxygen gas in contact with the silicon layer can be sufficiently reduced. Formation of an oxide film (formation of a dry oxide film) can be suppressed. Further, since the silicon semiconductor substrate 40 is maintained at 120 ° C., it is possible to suppress the occurrence of roughness on the surface of the silicon semiconductor substrate 40. Furthermore, since the silicon oxide film is formed on the surface of the silicon semiconductor substrate 40 with hydrogen terminated, the flatness of the interface between the silicon oxide film and the silicon semiconductor substrate 40 is maintained at the atomic level.

【0074】配管32から燃焼室30への酸素ガスの供
給開始後、1分間が経過したならば、配管31から水素
ガス(流量:2.5SLM)を燃焼室30に導入する。
燃焼室30内に配設された炎検出器等で水素ガスの燃焼
が確認された後も、実施例3においては、配管35から
の窒素ガスの供給を継続する。こうして、シリコン層の
表面からシリコン原子が脱離しない温度に雰囲気を保持
した状態にて、具体的には、実施例3においては処理室
10の雰囲気温度を120゜Cに保持した状態にて、燃
焼室30から供給された水蒸気によってシリコン層(実
施例3においてはシリコン半導体基板40)の表面にお
けるシリコン酸化膜の形成を開始する。尚、配管33は
ヒータ36によって120゜Cに保持されているので、
配管33内に結露が生じる虞はない。
After one minute has elapsed from the start of the supply of oxygen gas from the pipe 32 to the combustion chamber 30, hydrogen gas (flow rate: 2.5 SLM) is introduced from the pipe 31 into the combustion chamber 30.
In the third embodiment, the supply of the nitrogen gas from the pipe 35 is continued even after the combustion of the hydrogen gas is confirmed by the flame detector and the like disposed in the combustion chamber 30. Thus, in a state where the atmosphere is maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer, specifically, in Example 3, the atmosphere temperature of the processing chamber 10 is maintained at 120 ° C. The formation of the silicon oxide film on the surface of the silicon layer (the silicon semiconductor substrate 40 in the third embodiment) is started by the water vapor supplied from the combustion chamber 30. Since the pipe 33 is maintained at 120 ° C. by the heater 36,
There is no risk of dew formation in the pipe 33.

【0075】その後、ヒータ15によって処理室10内
の雰囲気温度を350゜Cまで昇温した。昇温速度を2
0゜C/分とした。尚、処理室10内の雰囲気温度が3
50゜Cとなるまでは、処理室10内には水蒸気が供給
されるものの、低い温度であること、及び水蒸気は窒素
ガスによって希釈されていることにより、シリコン層
(実施例3においてはシリコン半導体基板)の表面には
シリコン酸化膜は殆ど形成されない。処理室10内の雰
囲気温度が350゜Cにて安定したならば、配管35か
らの窒素ガスの供給を停止する。そして、実施例3にお
いても、第1のシリコン酸化膜形成工程において、1.
2nmのシリコン酸化膜をシリコン半導体基板40の表
面に形成した。
Thereafter, the temperature of the atmosphere in the processing chamber 10 was raised to 350 ° C. by the heater 15. Heating rate 2
0 ° C / min. The atmosphere temperature in the processing chamber 10 is 3
Until the temperature reaches 50 ° C., the processing chamber 10 is supplied with water vapor. However, the temperature is low and the water vapor is diluted with nitrogen gas. The silicon oxide film is hardly formed on the surface of the substrate. When the atmospheric temperature in the processing chamber 10 is stabilized at 350 ° C., the supply of the nitrogen gas from the pipe 35 is stopped. Also in the third embodiment, in the first silicon oxide film forming step, 1.
A 2 nm silicon oxide film was formed on the surface of the silicon semiconductor substrate 40.

【0076】以降のシリコン酸化膜の形成工程は、実施
例1の[工程−132]〜[工程−170]と同様とす
ることができるので、詳細な説明は省略する。
The subsequent steps of forming the silicon oxide film can be the same as those of [Step-132] to [Step-170] of the first embodiment, and thus detailed description is omitted.

【0077】(実施例4)実施例4においては、第1に
シリコン酸化膜形成工程、昇温工程、第2のシリコン酸
化膜形成工程を、図12に示した、不活性ガス、酸素ガ
ス、水素ガスの導入シークエンスとした。即ち、実施例
1の[工程−132]及び[工程−140]の代わり
に、不活性ガス(窒素ガス)をガス導入部12から処理
室10に供給することなく、しかも、処理室10内への
水蒸気の供給を中止することなく、酸化膜成膜装置の処
理室10内の雰囲気温度を、均熱管14を介してヒータ
15によって所望の温度(実施例4においては、800
゜C)まで昇温した。尚、[工程−130]と同様の工
程においては、厚さ1.0nmのシリコン酸化膜を形成
した。また、実施例1の[工程−150]の代わりに、
不活性ガス(窒素ガス)をガス導入部12から処理室1
0に供給せず、しかも、処理室10内への水蒸気の供給
を継続し続けた。その他の工程は実施例1と同様とし
た。以上の点を除き、実施例4においては、実施例1と
同様の工程にてシリコン酸化膜を形成した。
Example 4 In Example 4, first, a silicon oxide film forming step, a temperature raising step, and a second silicon oxide film forming step were performed by using an inert gas, an oxygen gas, The introduction sequence of hydrogen gas was used. That is, in place of [Step-132] and [Step-140] in the first embodiment, an inert gas (nitrogen gas) is not supplied from the gas introduction unit 12 to the processing chamber 10 and the processing gas is introduced into the processing chamber 10. Without stopping the supply of water vapor, the temperature of the atmosphere in the processing chamber 10 of the oxide film forming apparatus is set to a desired temperature (800 in the fourth embodiment) by the heater 15 through the soaking tube 14.
The temperature was raised to ΔC). In the same step as [Step-130], a silicon oxide film having a thickness of 1.0 nm was formed. Further, instead of [Step-150] in Example 1,
An inert gas (nitrogen gas) is supplied from the gas introduction unit 12 to the processing chamber 1.
0, and the supply of steam into the processing chamber 10 was continued. Other steps were the same as in Example 1. Except for the above, in Example 4, a silicon oxide film was formed in the same process as in Example 1.

【0078】(実施例5)実施例5においても、図17
に示した縦型の酸化膜成膜装置を用いた。また、実施例
5においても、シリコン層をシリコン半導体基板から構
成した。形成されたシリコン酸化膜はゲート酸化膜とし
て機能する。実施例5においては、実施例1と異なり、
水蒸気にはハロゲン元素(具体的には、塩素)が含有さ
れている。尚、塩素は塩化水素の形態であり、水蒸気中
に含有される塩化水素の濃度を0.1容量%とした。雰
囲気温度を所望の温度まで昇温する工程(昇温工程)の
雰囲気を不活性ガス雰囲気とした。尚、第2のシリコン
酸化膜形成工程の後、形成されたシリコン酸化膜に対し
て、ハロゲン元素を含有する不活性ガス雰囲気(塩化水
素を含む窒素ガス雰囲気)中で熱処理(炉アニール処
理)を施した。実施例5のシリコン酸化膜の形成方法を
以下説明するが、実施例1におけるシリコン酸化膜の形
成方法と相違する点を専ら説明する。尚、実施例5のシ
リコン酸化膜の形成方法においては、実施例1と同様
に、図18に示したガス導入シークエンスを採用した。
(Embodiment 5) In Embodiment 5, FIG.
Was used. Also in Example 5, the silicon layer was formed from a silicon semiconductor substrate. The formed silicon oxide film functions as a gate oxide film. In the fifth embodiment, unlike the first embodiment,
Water vapor contains a halogen element (specifically, chlorine). Note that chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in water vapor was set to 0.1% by volume. The atmosphere in the step of raising the ambient temperature to the desired temperature (temperature raising step) was an inert gas atmosphere. After the second silicon oxide film forming step, the formed silicon oxide film is subjected to a heat treatment (furnace annealing) in an inert gas atmosphere containing a halogen element (a nitrogen gas atmosphere containing hydrogen chloride). gave. The method for forming the silicon oxide film according to the fifth embodiment will be described below, but only the points different from the method for forming the silicon oxide film according to the first embodiment will be described. In the method of forming the silicon oxide film of the fifth embodiment, the gas introduction sequence shown in FIG. 18 was employed as in the first embodiment.

【0079】実施例5においては、実施例1の[工程−
100]〜[工程−130]と同様の工程を実行する。
実施例1の[工程−131]と同様の工程において、実
施例5においては、配管32から燃焼室30への酸素ガ
スの供給開始後、1分間が経過したならば、配管31か
ら水素ガス(流量:2.5SLM)を燃焼室30に導入
する。燃焼室30内に配設された炎検出器等で水素ガス
の燃焼が確認されたならば、配管35からの窒素ガスの
供給を停止する。こうして、シリコン層の表面からシリ
コン原子が脱離しない温度に雰囲気を保持した状態に
て、具体的には、実施例5においては処理室10の雰囲
気温度を350゜Cに保持した状態にて、燃焼室30か
ら供給された水蒸気によってシリコン層(実施例5にお
いてはシリコン半導体基板40)の表面にシリコン酸化
膜を形成する。尚、実施例5においては、配管35を介
して塩化水素が導入され、水蒸気中には濃度0.1容量
%の塩化水素が含有されている。尚、場合によっては、
配管32を介して塩化水素を導入することもできる。具
体的には、燃焼室30内で生成した水蒸気、及び塩化水
素ガスを、配管33、ガス流路11及びガス導入部12
を介して処理室10内に供給し、パイロジェニック酸化
法によってシリコン半導体基板の表面に厚さ1nm程度
のシリコン酸化膜を形成する。
In Example 5, [Step-
100] to [Step-130].
In the same step as [Step-131] in the first embodiment, in the fifth embodiment, if one minute has elapsed after the supply of the oxygen gas from the pipe 32 to the combustion chamber 30 starts, the hydrogen gas ( (Flow rate: 2.5 SLM) is introduced into the combustion chamber 30. When the combustion of the hydrogen gas is confirmed by a flame detector or the like disposed in the combustion chamber 30, the supply of the nitrogen gas from the pipe 35 is stopped. Thus, in a state where the atmosphere is maintained at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer, specifically, in the fifth embodiment, the atmosphere temperature of the processing chamber 10 is maintained at 350 ° C. A water vapor supplied from the combustion chamber 30 forms a silicon oxide film on the surface of the silicon layer (the silicon semiconductor substrate 40 in the fifth embodiment). In Example 5, hydrogen chloride was introduced through the pipe 35, and the steam contained 0.1% by volume of hydrogen chloride. In some cases,
Hydrogen chloride can also be introduced through the pipe 32. Specifically, the steam generated in the combustion chamber 30 and the hydrogen chloride gas are supplied to the pipe 33, the gas passage 11, and the gas introduction unit 12.
And a silicon oxide film having a thickness of about 1 nm is formed on the surface of the silicon semiconductor substrate by a pyrogenic oxidation method.

【0080】その後、実施例1の[工程−132]〜
[工程−150]を実行する。尚、[工程−140]
(昇温工程)と同様の工程において、シリコン層(シリ
コン半導体基板)の表面に荒れが発生することはない。
Thereafter, [Step-132] of Example 1 is repeated.
[Step-150] is executed. [Step-140]
In the same step as the (heating step), the surface of the silicon layer (silicon semiconductor substrate) is not roughened.

【0081】尚、処理室10内への水蒸気(ハロゲン元
素が含有されていてもいなくともよい)の供給を継続し
ながら、酸化膜成膜装置の処理室10内の雰囲気温度
を、均熱管14を介してヒータ15によって所望の温度
(実施例5においては、800゜C)まで昇温してもよ
い。この場合、実施例1の[工程−132]及び[工程
−150]と同様の工程は省略され、不活性ガスの導入
は行われない。即ち、不活性ガス(窒素ガス)をガス導
入部12から処理室10に供給しない。この場合のガス
導入シークエンスを図29に示す。
While the supply of water vapor (which may or may not contain a halogen element) into the processing chamber 10 is continued, the atmospheric temperature in the processing chamber 10 of the oxide film forming apparatus is reduced by the heat equalizing pipe 14. The temperature may be raised to a desired temperature (800 ° C. in the fifth embodiment) by the heater 15 through the heater. In this case, the steps similar to [Step-132] and [Step-150] in Example 1 are omitted, and no inert gas is introduced. That is, an inert gas (nitrogen gas) is not supplied from the gas introduction unit 12 to the processing chamber 10. FIG. 29 shows the gas introduction sequence in this case.

【0082】その後、実施例1の[工程−151]と同
様の工程において、実施例5においては、配管32から
燃焼室30への酸素ガスの供給開始後、1分間が経過し
たならば、配管31から水素ガス(流量:2.5SL
M)を燃焼室30に導入する。燃焼室30内に配設され
た炎検出器等で水素ガスの燃焼が確認された後も、実施
例5においても、配管35から窒素ガスの供給(流量:
5SLM)を継続した。こうして、燃焼室30から供給
された水蒸気によってシリコン層(実施例5においても
シリコン半導体基板40)の表面に更にシリコン酸化膜
を形成する。実施例5においては、総厚4.0nmのシ
リコン酸化膜を形成した。尚、水蒸気中には、濃度0.
1容量%の塩化水素が含有されている。
Then, in the same step as [Step-151] of the first embodiment, in the fifth embodiment, if one minute has elapsed after the start of the supply of the oxygen gas from the pipe 32 to the combustion chamber 30, the pipe 31 to hydrogen gas (flow rate: 2.5SL)
M) is introduced into the combustion chamber 30. Even after the combustion of hydrogen gas is confirmed by a flame detector or the like disposed in the combustion chamber 30, the supply of nitrogen gas (flow rate:
5SLM). Thus, a silicon oxide film is further formed on the surface of the silicon layer (the silicon semiconductor substrate 40 in the fifth embodiment) by the water vapor supplied from the combustion chamber 30. In Example 5, a silicon oxide film having a total thickness of 4.0 nm was formed. Note that, in the water vapor, the concentration is 0.
It contains 1% by volume of hydrogen chloride.

【0083】その後、実施例1の[工程−160]及び
[工程−170]と同様の工程を実行することによっ
て、シリコン層(実施例5においてもシリコン半導体基
板)にシリコン酸化膜を形成することができる。
Thereafter, by performing the same steps as [Step-160] and [Step-170] of the first embodiment, a silicon oxide film is formed on the silicon layer (the silicon semiconductor substrate also in the fifth embodiment). Can be.

【0084】(実施例6)実施例6においては、枚葉式
の酸化膜成膜装置を使用した。実施例6の実施に適した
横型の酸化膜成膜装置の模式図を、図30に示す。この
酸化膜成膜装置は、処理室50と、シリコン層を加熱す
るための加熱手段である抵抗加熱ヒータ51とを備えて
いる。処理室50は石英炉心管から成り、シリコン層に
シリコン酸化膜を形成するためにその内部にシリコン層
を有する基板を収納する。加熱手段である抵抗加熱ヒー
タ51は、処理室50の外側に配設されており、且つ、
シリコン層の表面と略平行に配設されている。シリコン
層を有する基板である例えばシリコン半導体基板40
は、ウエハ台52に載置され、処理室50の一端に設け
られたゲートバルブ53を介して、処理室50内に搬入
出される。酸化膜成膜装置には、処理室50へ水蒸気等
を導入するためのガス導入部54と、処理室50からガ
スを排気するガス排気部55が更に備えられている。基
板の温度は、図示しない熱電対によって測定することが
できる。
Example 6 In Example 6, a single wafer type oxide film forming apparatus was used. FIG. 30 is a schematic diagram of a horizontal oxide film forming apparatus suitable for implementing the sixth embodiment. This oxide film forming apparatus includes a processing chamber 50 and a resistance heater 51 as heating means for heating the silicon layer. The processing chamber 50 is formed of a quartz furnace tube, and houses a substrate having a silicon layer therein for forming a silicon oxide film on the silicon layer. The resistance heater 51 serving as a heating unit is disposed outside the processing chamber 50, and
It is disposed substantially parallel to the surface of the silicon layer. For example, a silicon semiconductor substrate 40 which is a substrate having a silicon layer
Is placed on a wafer stage 52 and is carried into and out of the processing chamber 50 via a gate valve 53 provided at one end of the processing chamber 50. The oxide film forming apparatus further includes a gas introduction unit 54 for introducing water vapor or the like into the processing chamber 50, and a gas exhaust unit 55 for exhausting gas from the processing chamber 50. The temperature of the substrate can be measured by a thermocouple (not shown).

【0085】配管61及び配管62を介して燃焼室60
に供給された水素ガスを酸素ガスと、燃焼室60内で高
温にて混合し、燃焼させることによって、水蒸気を生成
させる。かかる水蒸気は、配管63及びガス導入部54
を介して処理室50内に供給される。燃焼室60と処理
室50とを結ぶ配管63には不活性ガス導入部64が設
けられており、この不活性ガス導入部64には不活性ガ
ス(実施例6においても窒素ガス)を導入するための配
管65が取り付けられている。また、不活性ガス導入部
64を含む配管63には、燃焼室60で生成した水蒸気
が処理室50に達するまでの間に結露することを防止す
るための加熱手段であるヒータ66が備えられている。
配管63に設けられた不活性ガス導入部64から配管6
3に流入する不活性ガスが燃焼室60側に流入しないよ
うに、配管63に不活性ガス導入部64が設けられてい
ることが望ましい。具体的には、配管65内を流れてき
た不活性ガスの不活性ガス導入部64における流れの方
向と、燃焼室60から流れてきたガスの不活性ガス導入
部64における流れの方向が、鋭角を成して交わること
が好ましい。
The combustion chamber 60 is connected via a pipe 61 and a pipe 62.
The hydrogen gas supplied to the combustion chamber 60 is mixed with oxygen gas at a high temperature in the combustion chamber 60 and burned to generate steam. The water vapor is supplied to the pipe 63 and the gas introduction section 54.
Is supplied into the processing chamber 50 via the An inert gas introduction section 64 is provided in a pipe 63 connecting the combustion chamber 60 and the processing chamber 50, and an inert gas (nitrogen gas in the sixth embodiment) is introduced into the inert gas introduction section 64. Piping 65 is attached. Further, the pipe 63 including the inert gas introduction part 64 is provided with a heater 66 as a heating means for preventing the steam generated in the combustion chamber 60 from dew condensation before reaching the processing chamber 50. I have.
From the inert gas introduction part 64 provided in the pipe 63 to the pipe 6
It is desirable that the pipe 63 be provided with an inert gas introduction portion 64 so that the inert gas flowing into the combustion chamber 3 does not flow into the combustion chamber 60 side. Specifically, the direction of the flow of the inert gas flowing through the pipe 65 in the inert gas introduction unit 64 and the direction of the flow of the gas flowing from the combustion chamber 60 in the inert gas introduction unit 64 are acute angles. It is preferable that they meet each other.

【0086】あるいは又、図31に模式図を示す形式の
横型の酸化膜成膜装置を用いることもできる。この図3
1に示した横型の酸化膜成膜装置においては、加熱手段
は、赤外線若しくは可視光を発する複数のランプ51A
から構成されている。また、図示しないパイロメータに
よって基板の温度を測定する。その他の構造は、基本的
には、図30に示した酸化膜成膜装置と同様とすること
ができるので、詳細な説明は省略する。
Alternatively, a horizontal oxide film forming apparatus of the type schematically shown in FIG. 31 can be used. This figure 3
In the horizontal oxide film forming apparatus shown in FIG. 1, the heating means comprises a plurality of lamps 51A emitting infrared or visible light.
It is composed of The temperature of the substrate is measured by a pyrometer (not shown). Other structures can be basically the same as those of the oxide film forming apparatus shown in FIG. 30, and therefore detailed description is omitted.

【0087】以下、実施例6のシリコン酸化膜の形成方
法を説明するが、実施例6のシリコン酸化膜の形成方法
においては、図29に示したガス導入シークエンスを採
用した。
The method of forming the silicon oxide film of the sixth embodiment will be described below. In the method of forming the silicon oxide film of the sixth embodiment, the gas introduction sequence shown in FIG. 29 was employed.

【0088】[工程−600]先ず、シリコン半導体基
板に、実施例1と同様の方法で、素子分離領域等を形成
した後、RCA洗浄によりシリコン半導体基板の表面の
微粒子や金属不純物を除去し、次いで、0.1%フッ化
水素酸水溶液によりシリコン半導体基板の表面洗浄を行
い、シリコン半導体基板40の表面を露出させ、純水に
よる洗浄後、公知のIPA乾燥法にてシリコン半導体基
板40を乾燥させる。尚、シリコン半導体基板の表面は
大半が水素で終端しており、極一部がフッ素で終端され
ている。
[Step-600] First, after a device isolation region and the like are formed in a silicon semiconductor substrate in the same manner as in Example 1, fine particles and metal impurities on the surface of the silicon semiconductor substrate are removed by RCA cleaning. Next, the surface of the silicon semiconductor substrate is washed with a 0.1% hydrofluoric acid aqueous solution to expose the surface of the silicon semiconductor substrate 40, and after washing with pure water, the silicon semiconductor substrate 40 is dried by a known IPA drying method. Let it. Most of the surface of the silicon semiconductor substrate is terminated with hydrogen, and a very small portion is terminated with fluorine.

【0089】[工程−610]予め、配管61、燃焼室
60、配管63及びガス導入部54を介して処理室50
へ室温の窒素ガス(流量:5SLM)を導入し、処理室
50内を室温の窒素ガス雰囲気としておく。そして、ウ
エハ台52に載置されたシリコン半導体基板40を、図
30若しくは図31に示した酸化膜成膜装置のゲートバ
ルブ53を開いて、処理室50内に搬入した後、ゲート
バルブ53を閉じる。
[Step-610] The processing chamber 50 is set in advance through the pipe 61, the combustion chamber 60, the pipe 63, and the gas inlet 54.
A nitrogen gas (flow rate: 5 SLM) at room temperature is introduced into the processing chamber 50, and the inside of the processing chamber 50 is kept in a nitrogen gas atmosphere at room temperature. Then, the silicon semiconductor substrate 40 placed on the wafer table 52 is loaded into the processing chamber 50 by opening the gate valve 53 of the oxide film forming apparatus shown in FIG. 30 or FIG. close.

【0090】[工程−620]処理室50には、配管6
1、燃焼室60、配管63及びガス導入部52を介して
処理室50へ窒素ガスを流し続ける。次いで、ヒータ5
1を作動させて、処理室50内の雰囲気温度を350゜
Cとする。一方、図示しないヒータによって燃焼室60
を加熱し、燃焼室60の温度を750゜Cとする。ま
た、ヒータ66によって配管63内の温度を昇温させ、
350゜Cとする。
[Step-620] In the processing chamber 50, the piping 6
1. Nitrogen gas is continuously supplied to the processing chamber 50 via the combustion chamber 60, the pipe 63, and the gas inlet 52. Next, the heater 5
1 is operated to set the ambient temperature in the processing chamber 50 to 350 ° C. On the other hand, the combustion chamber 60 is heated by a heater (not shown).
Is heated to bring the temperature of the combustion chamber 60 to 750 ° C. Further, the temperature in the pipe 63 is increased by the heater 66,
350 ° C.

【0091】[工程−630]処理室50内の雰囲気温
度が350゜Cにて安定した時点で、配管61からの窒
素ガスの供給を停止し、配管65からの不活性ガス(実
施例6においても窒素ガス)の供給(流量:5SLM)
を開始する。それと同時に、配管62から燃焼室60へ
の酸素ガスの供給(流量:2.5SLM)を開始する。
このように、シリコン層(実施例6においてもシリコン
半導体基板40)の表面からシリコン原子が脱離しない
温度(実施例6においては350゜C)に保たれた不活
性ガス雰囲気の処理室50内にシリコン層を有する基板
(シリコン半導体基板40)を配置した後、燃焼室60
への酸素ガスの供給開始後、燃焼室60への水素ガスの
供給により水蒸気が燃焼室60内で生成しそして処理室
50に供給されるまでの間、処理室50内へ不活性ガス
(実施例6においては窒素ガス)を供給するので、水蒸
気によりシリコン酸化膜がシリコン層(実施例6におい
てはシリコン半導体基板40)に形成される前に、シリ
コン層と接する酸素ガスの濃度を十分低下させることが
可能となる。その結果、酸素ガスによるシリコン酸化膜
の形成(ドライ酸化膜の形成)を抑制することができ
る。また、シリコン半導体基板40は350゜Cに保持
されているので、シリコン半導体基板40の表面に荒れ
が発生することを抑制することができる。更には、シリ
コン半導体基板40の表面に水素が終端した状態でシリ
コン酸化膜が形成されるので、シリコン酸化膜/シリコ
ン半導体基板40の界面の平坦性が原子レベルで保たれ
る。
[Step-630] When the atmospheric temperature in the processing chamber 50 is stabilized at 350 ° C., the supply of the nitrogen gas from the pipe 61 is stopped, and the inert gas from the pipe 65 (in the sixth embodiment). Supply (flow rate: 5 SLM)
To start. At the same time, supply of oxygen gas from the pipe 62 to the combustion chamber 60 (flow rate: 2.5 SLM) is started.
As described above, the inside of the processing chamber 50 in an inert gas atmosphere maintained at a temperature at which silicon atoms do not desorb from the surface of the silicon layer (the silicon semiconductor substrate 40 also in the sixth embodiment) (350 ° C. in the sixth embodiment). After a substrate having a silicon layer (silicon semiconductor substrate 40) is disposed in the combustion chamber 60,
After the supply of oxygen gas to the combustion chamber 60 is started, steam is generated in the combustion chamber 60 by the supply of hydrogen gas to the combustion chamber 60, and the inert gas is introduced into the processing chamber 50 until the steam is supplied to the processing chamber 50. Since nitrogen gas is supplied in Example 6, the concentration of oxygen gas in contact with the silicon layer is sufficiently reduced before the silicon oxide film is formed on the silicon layer (the silicon semiconductor substrate 40 in Example 6) by water vapor. It becomes possible. As a result, formation of a silicon oxide film (formation of a dry oxide film) due to oxygen gas can be suppressed. Further, since the silicon semiconductor substrate 40 is maintained at 350 ° C., it is possible to suppress the occurrence of roughness on the surface of the silicon semiconductor substrate 40. Furthermore, since the silicon oxide film is formed on the surface of the silicon semiconductor substrate 40 with hydrogen terminated, the flatness of the interface between the silicon oxide film and the silicon semiconductor substrate 40 is maintained at the atomic level.

【0092】[工程−631]配管62から燃焼室60
への酸素ガスの供給開始後、1分間が経過したならば、
配管61から水素ガス(流量:2.5SLM)を燃焼室
60に導入する。燃焼室60内に配設された炎検出器等
で水素ガスの燃焼が確認されたならば、配管65からの
窒素ガスの供給を停止する。こうして、シリコン層の表
面からシリコン原子が脱離しない温度に雰囲気を保持し
た状態にて、具体的には、実施例6においては処理室5
0の雰囲気温度を350゜Cに保持した状態にて、燃焼
室60から供給された水蒸気によってシリコン層(実施
例6においてはシリコン半導体基板40)の表面にシリ
コン酸化膜を形成する。尚、実施例6においては、この
第1のシリコン酸化膜形成工程において、1.2nmの
シリコン酸化膜をシリコン半導体基板40の表面に形成
した。
[Step-631] From the pipe 62 to the combustion chamber 60
After one minute has passed since the supply of oxygen gas to
Hydrogen gas (flow rate: 2.5 SLM) is introduced into the combustion chamber 60 from the pipe 61. When the combustion of the hydrogen gas is confirmed by a flame detector or the like disposed in the combustion chamber 60, the supply of the nitrogen gas from the pipe 65 is stopped. Thus, in a state where the atmosphere is maintained at a temperature at which silicon atoms do not desorb from the surface of the silicon layer, specifically, in the sixth embodiment, the processing chamber 5
With the atmosphere temperature of 0 maintained at 350 ° C., a silicon oxide film is formed on the surface of the silicon layer (the silicon semiconductor substrate 40 in the sixth embodiment) by the steam supplied from the combustion chamber 60. In Example 6, a 1.2 nm silicon oxide film was formed on the surface of the silicon semiconductor substrate 40 in the first silicon oxide film forming step.

【0093】[工程−640]その後、処理室50内へ
の水蒸気の供給を継続しながら、処理室50内の雰囲気
温度を、加熱手段51によって所望の温度(実施例6に
おいては、800゜C)まで昇温する。尚、実施例6に
おいては、加熱手段がシリコン層の表面と略平行に配設
されているので、基板の昇温時の基板の面内温度ばらつ
きの発生を抑制することができる結果、昇温中に形成さ
れるシリコン酸化膜の面内膜厚ばらつきの発生を効果的
に抑制することができる。
[Step-640] Thereafter, while the supply of steam into the processing chamber 50 is continued, the atmospheric temperature in the processing chamber 50 is raised to a desired temperature (800 ° C. in the sixth embodiment) by the heating means 51. ). In the sixth embodiment, since the heating means is disposed substantially parallel to the surface of the silicon layer, it is possible to suppress the occurrence of in-plane temperature variation of the substrate when the substrate is heated. The in-plane thickness variation of the silicon oxide film formed therein can be effectively suppressed.

【0094】[工程−650]所望の温度(実施例6に
おいては、800゜C)に処理室50内の雰囲気温度が
達した後、この所望の温度に雰囲気を保持した状態に
て、水蒸気を用いた熱酸化法によって、更にシリコン酸
化膜を形成する。具体的には、燃焼室60内で生成した
水蒸気を配管63及びガス導入部54を介して処理室5
0内に供給し続け、パイロジェニック酸化法によってシ
リコン半導体基板40の表面に総厚4.0nmのシリコ
ン酸化膜42を形成する。
[Step-650] After the temperature of the atmosphere in the processing chamber 50 reaches a desired temperature (800 ° C. in the sixth embodiment), the steam is removed while maintaining the atmosphere at the desired temperature. A silicon oxide film is further formed by the used thermal oxidation method. Specifically, the steam generated in the combustion chamber 60 is supplied to the processing chamber 5 through the pipe 63 and the gas introduction unit 54.
The silicon oxide film 42 having a total thickness of 4.0 nm is formed on the surface of the silicon semiconductor substrate 40 by the pyrogenic oxidation method.

【0095】[工程−660]シリコン層の表面にシリ
コン酸化膜を形成した後、燃焼室60への水素ガスの供
給を停止する。そして、所定の時間、燃焼室60へ酸素
ガスを供給しながら、処理室50内へ不活性ガス(実施
例6においては窒素ガス)を配管65から供給し続け
る。燃焼室60への酸素ガスの供給量を2.5SLM、
配管65から処理室50への窒素ガスの供給量を5SL
Mとした。この状態を1分間保持し、燃焼室60や配管
63内に残存した水素ガスを燃焼させながら排気した。
その後、配管65からの窒素ガスの供給を停止し、配管
61から燃焼室60への不活性ガス(実施例6において
は窒素ガス)の供給(流量:5SLM)を行う。
[Step-660] After forming a silicon oxide film on the surface of the silicon layer, the supply of hydrogen gas to the combustion chamber 60 is stopped. Then, while supplying the oxygen gas to the combustion chamber 60 for a predetermined time, the inert gas (nitrogen gas in the sixth embodiment) is continuously supplied from the pipe 65 into the processing chamber 50. The supply amount of oxygen gas to the combustion chamber 60 is 2.5 SLM,
The supply amount of nitrogen gas from the pipe 65 to the processing chamber 50 is 5 SL
M. This state was maintained for 1 minute, and exhausted while burning the hydrogen gas remaining in the combustion chamber 60 and the pipe 63.
Then, the supply of the nitrogen gas from the pipe 65 is stopped, and the supply of the inert gas (nitrogen gas in the sixth embodiment) to the combustion chamber 60 from the pipe 61 (flow rate: 5 SLM) is performed.

【0096】[工程−670]そして、処理室50の雰
囲気温度をヒータ51によって850゜Cまで昇温す
る。その後、塩化水素を0.1容量%含有する窒素ガス
をガス導入部54から処理室50内に導入し、5分間、
熱処理を行う。
[Step-670] Then, the ambient temperature of the processing chamber 50 is raised to 850 ° C. by the heater 51. Thereafter, nitrogen gas containing 0.1% by volume of hydrogen chloride was introduced into the processing chamber 50 from the gas introduction unit 54,
Heat treatment is performed.

【0097】[工程−680]以上により、シリコン半
導体基板40の表面におけるシリコン酸化膜の形成が完
了する。以降、処理室50内を窒素ガス雰囲気とし、ゲ
ートバルブ53を開き、ウエハ台52に載置されたシリ
コン半導体基板40を処理室50から搬出する。
[Step-680] Thus, the formation of the silicon oxide film on the surface of the silicon semiconductor substrate 40 is completed. Thereafter, the inside of the processing chamber 50 is set to a nitrogen gas atmosphere, the gate valve 53 is opened, and the silicon semiconductor substrate 40 placed on the wafer table 52 is carried out of the processing chamber 50.

【0098】尚、実施例6にて説明した横型の酸化膜成
膜装置を用いて、実施例1〜実施例5にて説明したシリ
コン酸化膜の形成を実施することもできる。
The silicon oxide film described in the first to fifth embodiments can be formed using the horizontal oxide film forming apparatus described in the sixth embodiment.

【0099】以上、本発明を好ましい実施例に基づき説
明したが、本発明はこれらの実施例に限定されるもので
はない。実施例にて説明した各種の条件や酸化膜成膜装
置の構造は例示であり、適宜変更することができる。各
実施例における不活性ガス、酸素ガス、水素ガスの導入
シークエンスも例示であり、適宜変更することができ
る。
The present invention has been described based on the preferred embodiments, but the present invention is not limited to these embodiments. The various conditions and the structure of the oxide film forming apparatus described in the embodiments are merely examples, and can be changed as appropriate. The introduction sequence of the inert gas, the oxygen gas, and the hydrogen gas in each embodiment is also an example, and can be appropriately changed.

【0100】実施例1の[工程−140]あるいは実施
例5の昇温工程において、不活性ガス(例えば窒素ガ
ス)をガス導入部12から処理室10内に供給しなが
ら、酸化膜成膜装置の処理室10内の雰囲気温度を均熱
管14を介してヒータ15によって所望の温度まで昇温
したが、その代わりに、例えば塩化水素ガスを0.1容
量%含有する不活性ガス(例えば窒素ガス)をガス導入
部12から処理室10内に供給しながら、酸化膜成膜装
置の処理室10内の雰囲気温度を均熱管14を介してヒ
ータ15によって所望の温度まで昇温してもよい。ま
た、実施例1の[工程−160]や実施例5において、
不活性ガス(例えば窒素ガス)をガス導入部12から処
理室10内に導入しつつ処理室10の雰囲気温度をヒー
タ15によって850゜Cまで昇温したが、その代わり
に、例えば塩化水素ガスを0.1容量%含有する不活性
ガス(例えば窒素ガス)をガス導入部12から処理室1
0内に導入しつつ、処理室10の雰囲気温度をヒータ1
5によって850゜Cまで昇温してもよい。
In the [step-140] of the first embodiment or in the temperature raising step of the fifth embodiment, while supplying an inert gas (for example, nitrogen gas) from the gas introducing section 12 into the processing chamber 10, the oxide film forming apparatus The temperature of the atmosphere in the processing chamber 10 was raised to a desired temperature by a heater 15 via a soaking tube 14, but instead, for example, an inert gas containing 0.1% by volume of hydrogen chloride gas (for example, nitrogen gas) ) May be supplied from the gas introduction unit 12 into the processing chamber 10, and the temperature of the atmosphere in the processing chamber 10 of the oxide film forming apparatus may be increased to a desired temperature by the heater 15 via the soaking tube 14. In addition, in [Step-160] of Example 1 and Example 5,
While the temperature of the atmosphere in the processing chamber 10 was raised to 850 ° C. by the heater 15 while introducing an inert gas (for example, nitrogen gas) from the gas introduction unit 12 into the processing chamber 10, for example, hydrogen chloride gas was used instead. An inert gas (for example, nitrogen gas) containing 0.1% by volume is supplied from the gas introduction unit 12 to the processing chamber 1.
0 and the temperature of the atmosphere in the processing chamber 10 is reduced by the heater 1.
5, the temperature may be raised to 850 ° C.

【0101】実施例においては、専らシリコン半導体基
板の表面にシリコン酸化膜を形成し、あるいは又、基板
の上に形成された絶縁層の上に成膜されたエピタキシャ
ルシリコン層にシリコン酸化膜を形成したが、多結晶シ
リコン層、あるいは非晶質シリコン層の表面にシリコン
酸化膜を形成することもできる。あるいは又、SOI構
造におけるシリコン層の表面にシリコン酸化膜を形成し
てもよいし、半導体素子や半導体素子の構成要素が形成
された基板やこれらの上に成膜されたシリコン層の表面
にシリコン酸化膜を形成してもよい。更には、半導体素
子や半導体素子の構成要素が形成された基板やこれらの
上に成膜された下地絶縁層の上に形成されたシリコン層
の表面にシリコン酸化膜を形成してもよい。シリコン酸
化膜形成後の熱処理は必須ではなく、場合によっては省
略することができる。
In the embodiment, a silicon oxide film is formed exclusively on the surface of a silicon semiconductor substrate, or a silicon oxide film is formed on an epitaxial silicon layer formed on an insulating layer formed on the substrate. However, a silicon oxide film can be formed on the surface of the polycrystalline silicon layer or the amorphous silicon layer. Alternatively, a silicon oxide film may be formed on the surface of a silicon layer in the SOI structure, or a silicon element may be formed on a substrate on which a semiconductor element or a component of the semiconductor element is formed, or on a surface of a silicon layer formed thereon. An oxide film may be formed. Furthermore, a silicon oxide film may be formed on a surface of a silicon element formed on a substrate on which a semiconductor element or a component of the semiconductor element is formed, or a base insulating layer formed on the substrate. The heat treatment after the formation of the silicon oxide film is not essential and can be omitted in some cases.

【0102】図17に示した縦型の酸化膜成膜装置とは
若干形式の異なる縦型の酸化膜成膜装置の模式的な断面
図を図32に示す。この縦型の酸化膜成膜装置の処理室
10は、上方領域10Aと下方領域10Bから構成さ
れ、下方領域10Bの雰囲気温度はヒータ15によって
制御される。一方、上方領域10Aの外側には、赤外線
若しくは可視光を発する複数のランプ15Aが配設され
ている。そして、例えば、実施例1の[工程−130]
〜[工程−132]と同様の工程において、シリコン層
の表面からシリコン原子が脱離しない温度に雰囲気を保
持した状態で水蒸気を用いた酸化法によってシリコン層
の表面にシリコン酸化膜を形成するが、このシリコン酸
化膜の形成は処理室10の下方領域10Bにて行う。こ
のとき、処理室10の上方領域10Aの雰囲気温度は、
ランプ15Aによって400゜Cに保持する。その後、
実施例1の[工程−140]と同様の工程において、処
理室10内への水蒸気の供給を中止し、不活性ガス(例
えば窒素ガス)をガス導入部12から処理室10内に供
給しながら、酸化膜成膜装置の処理室10の上方領域1
0Aの雰囲気温度をランプ15Aによって所望の温度ま
で昇温させ、次いで、エレベータ機構23を作動させて
石英ボート24を上昇させ、シリコン半導体基板40を
処理室10の上方領域10Aに移す。そして、実施例1
の[工程−150]〜[工程−152]と同様の工程に
おいて、パイロジェニック酸化法によってシリコン半導
体基板40の表面にシリコン酸化膜42を形成する。次
いで、実施例1の[工程−160]と同様の工程におい
て、水蒸気の供給を中止し、不活性ガス(例えば窒素ガ
ス)をガス導入部12から処理室10内に導入しつつ、
処理室10の上方領域10Aの雰囲気温度をランプ15
Aによって850゜Cまで昇温する。その後、塩化水素
を0.1容量%含有する不活性ガス(例えば窒素ガス)
をガス導入部12から処理室10内に導入し、処理室1
0の上方領域10Aにおいて、30分間、熱処理を行
う。
FIG. 32 is a schematic sectional view of a vertical oxide film forming apparatus having a slightly different form from the vertical oxide film forming apparatus shown in FIG. The processing chamber 10 of this vertical oxide film forming apparatus includes an upper region 10A and a lower region 10B, and the ambient temperature of the lower region 10B is controlled by a heater 15. On the other hand, outside the upper region 10A, a plurality of lamps 15A that emit infrared light or visible light are arranged. Then, for example, [Step-130] of Example 1
In the same step as [Step-132], a silicon oxide film is formed on the surface of the silicon layer by an oxidation method using water vapor while maintaining an atmosphere at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. This silicon oxide film is formed in the lower region 10B of the processing chamber 10. At this time, the ambient temperature in the upper region 10A of the processing chamber 10 is:
The temperature is maintained at 400 ° C. by the lamp 15A. afterwards,
In the same step as [Step-140] of the first embodiment, the supply of water vapor into the processing chamber 10 is stopped, and an inert gas (for example, nitrogen gas) is supplied from the gas introduction unit 12 into the processing chamber 10. Region 1 above the processing chamber 10 of the oxide film forming apparatus
The ambient temperature of 0A is raised to a desired temperature by the lamp 15A, then the elevator mechanism 23 is operated to raise the quartz boat 24, and the silicon semiconductor substrate 40 is moved to the upper region 10A of the processing chamber 10. And Example 1
In steps similar to [Step-150] to [Step-152], a silicon oxide film 42 is formed on the surface of the silicon semiconductor substrate 40 by a pyrogenic oxidation method. Next, in the same step as [Step-160] in Example 1, the supply of steam was stopped, and an inert gas (for example, nitrogen gas) was introduced into the processing chamber 10 from the gas introduction unit 12.
The ambient temperature of the upper region 10A of the processing chamber
The temperature is raised to 850 ° C. by A. Thereafter, an inert gas containing 0.1% by volume of hydrogen chloride (for example, nitrogen gas)
Is introduced into the processing chamber 10 from the gas inlet 12 and the processing chamber 1
In the upper region 10A, the heat treatment is performed for 30 minutes.

【0103】あるいは又、図31に示した横型のシリコ
ン酸化膜成膜装置とは若干形式の異なる横型のシリコン
酸化膜成膜装置の模式的な断面図を図33に示す。この
横型のシリコン酸化膜成膜装置の処理室50は、第1の
領域50Aと第2の領域50Bから構成され、第1の領
域50A及び第2の領域50Bのそれぞれの雰囲気温度
はランプ151A及びランプ151Bによって制御され
る。そして、例えば、実施例6の[工程−631]と同
様の工程において、シリコン層の表面からシリコン原子
が脱離しない温度に雰囲気を保持した状態で、水蒸気を
用いた酸化法によってシリコン層の表面にシリコン酸化
膜を形成するが、このシリコン酸化膜の形成は処理室5
0の第1の領域50Aにて行う。尚、第1の領域50A
における雰囲気温度の制御はランプ151Aによって行
われる。このとき、処理室50の第2の領域50Bの雰
囲気温度は、ランプ151Bによって350゜Cに保持
する。その後、実施例6の[工程−640]と同様の工
程において、処理室50内への水蒸気の供給を継続しな
がら、処理室50の第2の領域50Bの雰囲気温度を、
ランプ151Bによって所望の温度まで昇温し、基板を
第2の領域50Bに移す。その後、[工程−650]と
同様の工程において、所望の温度に処理室50の第2の
領域50Bの雰囲気温度をランプ151Bによって保持
した状態にて、水蒸気を用いた酸化法にて、更にシリコ
ン酸化膜を形成する。その後、[工程−660]と同様
の工程において、水蒸気の供給を中止し、不活性ガス
(例えば窒素ガス)をガス導入部54から処理室50内
に導入しつつ、処理室50の第2の領域50Bの雰囲気
温度をランプ151Bによって850゜Cまで昇温す
る。その後、塩化水素を0.1容量%含有する不活性ガ
ス(例えば窒素ガス)をガス導入部54から処理室50
内に導入し、5分間、熱処理を行う。尚、図33のシリ
コン酸化膜成膜装置におけるランプの代わりに、図30
に示したと同様に抵抗加熱ヒータを用いることもでき
る。
Alternatively, FIG. 33 is a schematic cross-sectional view of a horizontal silicon oxide film forming apparatus slightly different from the horizontal silicon oxide film forming apparatus shown in FIG. The processing chamber 50 of this horizontal silicon oxide film forming apparatus includes a first region 50A and a second region 50B, and the ambient temperature of each of the first region 50A and the second region 50B is the lamp 151A and the second region 50B. It is controlled by the lamp 151B. Then, for example, in the same step as [Step-631] in Example 6, the surface of the silicon layer is oxidized using water vapor while maintaining the atmosphere at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer. A silicon oxide film is formed in the processing chamber 5.
0 in the first area 50A. The first area 50A
Is controlled by the lamp 151A. At this time, the ambient temperature in the second region 50B of the processing chamber 50 is maintained at 350 ° C. by the lamp 151B. Thereafter, in the same step as [Step-640] of the sixth embodiment, while the supply of steam into the processing chamber 50 is continued, the atmospheric temperature of the second region 50B of the processing chamber 50 is reduced.
The temperature is raised to a desired temperature by the lamp 151B, and the substrate is moved to the second area 50B. After that, in the same step as [Step-650], while keeping the ambient temperature of the second region 50B of the processing chamber 50 at a desired temperature by the lamp 151B, the silicon is further oxidized by steam using an oxidation method. An oxide film is formed. Thereafter, in the same step as [Step-660], the supply of steam is stopped, and an inert gas (for example, nitrogen gas) is introduced into the processing chamber 50 from the gas introduction unit 54, and the second gas in the processing chamber 50 is discharged. The ambient temperature in the region 50B is raised to 850 ° C. by the lamp 151B. Thereafter, an inert gas (for example, nitrogen gas) containing 0.1% by volume of hydrogen chloride is supplied from the gas introduction unit 54 to the processing chamber 50.
And heat-treated for 5 minutes. Note that instead of the lamp in the silicon oxide film forming apparatus of FIG.
As described above, a resistance heater can be used.

【0104】表2に、シリコン層の表面からシリコン原
子が脱離しない温度に雰囲気を保持した状態にて、水蒸
気を用いた酸化法によってシリコン層の表面にシリコン
酸化膜を形成する工程(表2では第1の酸化工程と表示
した)における雰囲気、雰囲気温度を所望の温度まで昇
温する工程(表2では第1の昇温工程と表記した)にお
ける雰囲気、所望の温度に雰囲気を保持した状態にて、
水蒸気を用いた酸化法によって、更にシリコン酸化膜を
形成する工程(表2では第2の酸化工程と表記した)に
おける雰囲気、並びに、形成されたシリコン酸化膜に熱
処理を施すために雰囲気を昇温する工程(表2では第2
の昇温工程と表記した)における雰囲気の組み合わせを
示す。尚、表2中、水蒸気雰囲気を「水蒸気」と表記
し、ハロゲン元素を含有する水蒸気雰囲気を「*水蒸
気」と表記し、不活性ガス雰囲気を「不活性ガス」と表
記し、ハロゲン元素を含有する不活性ガス雰囲気「*不
活性ガス」と表記した。ここで、表2に示した各種の雰
囲気の組み合わせは、図17や図32、図30や図3
1、図33に示した酸化膜成膜装置にて実現することが
できる。
Table 2 shows a step of forming a silicon oxide film on the surface of the silicon layer by an oxidation method using water vapor while maintaining an atmosphere at a temperature at which silicon atoms are not desorbed from the surface of the silicon layer (Table 2). In the first oxidation step), the atmosphere in the step of raising the ambient temperature to the desired temperature (in Table 2, referred to as the first temperature raising step), and the state where the atmosphere is maintained at the desired temperature. At
The atmosphere in the step of forming a silicon oxide film by the oxidation method using water vapor (indicated as a second oxidation step in Table 2) and the atmosphere for performing heat treatment on the formed silicon oxide film are heated. (Step 2 in Table 2)
(Indicated as a temperature raising step). In Table 2, the steam atmosphere is described as “steam”, the steam atmosphere containing a halogen element is described as “* steam”, the inert gas atmosphere is described as “inert gas”, and the halogen element is contained. Inert gas atmosphere "* inert gas". Here, the combinations of various atmospheres shown in Table 2 are shown in FIGS.
1. It can be realized by the oxide film forming apparatus shown in FIG.

【0105】[0105]

【表2】 第1の酸化工程 第1の昇温工程 第2の酸化工程 第2の昇温工程 水蒸気 不活性ガス 水蒸気 不活性ガス 水蒸気 不活性ガス 水蒸気 *不活性ガス 水蒸気 不活性ガス *水蒸気 不活性ガス 水蒸気 不活性ガス *水蒸気 *不活性ガス 水蒸気 *不活性ガス 水蒸気 不活性ガス 水蒸気 *不活性ガス 水蒸気 *不活性ガス 水蒸気 *不活性ガス *水蒸気 不活性ガス 水蒸気 *不活性ガス *水蒸気 *不活性ガス 水蒸気 水蒸気 水蒸気 不活性ガス 水蒸気 水蒸気 水蒸気 *不活性ガス 水蒸気 水蒸気 *水蒸気 不活性ガス 水蒸気 水蒸気 *水蒸気 *不活性ガス 水蒸気 *水蒸気 水蒸気 不活性ガス 水蒸気 *水蒸気 水蒸気 *不活性ガス 水蒸気 *水蒸気 *水蒸気 不活性ガス 水蒸気 *水蒸気 *水蒸気 *不活性ガス *水蒸気 不活性ガス 水蒸気 不活性ガス *水蒸気 不活性ガス 水蒸気 *不活性ガス *水蒸気 不活性ガス *水蒸気 不活性ガス *水蒸気 不活性ガス *水蒸気 *不活性ガス *水蒸気 *不活性ガス 水蒸気 不活性ガス *水蒸気 *不活性ガス 水蒸気 *不活性ガス *水蒸気 *不活性ガス *水蒸気 不活性ガス *水蒸気 *不活性ガス *水蒸気 *不活性ガス *水蒸気 水蒸気 水蒸気 不活性ガス *水蒸気 水蒸気 水蒸気 *不活性ガス *水蒸気 水蒸気 *水蒸気 不活性ガス *水蒸気 水蒸気 *水蒸気 *不活性ガス *水蒸気 *水蒸気 水蒸気 不活性ガス *水蒸気 *水蒸気 水蒸気 *不活性ガス *水蒸気 *水蒸気 *水蒸気 不活性ガス *水蒸気 *水蒸気 *水蒸気 *不活性ガス[Table 2] First oxidation step First heating step Second oxidation step Second heating step Water vapor inert gas water vapor inert gas water vapor inert gas water vapor * inert gas water vapor inert gas * water vapor non Active gas steam inert gas * steam * inert gas steam * inert gas steam inert gas steam * inert gas steam * inert gas steam * inert gas * steam inert gas steam * inert gas * steam * un Inactive gas steam Vapor steam Inert gas steam Vapor steam * Inert gas steam Vapor * Steam Inert gas steam Vapor * Steam * Inert gas steam * Steam Steam Inert gas steam * Steam * Inert gas steam * Steam * Steam Inert gas steam * steam * steam * inert gas * steam inert gas steam non Inert gas * water vapor inert gas * water vapor inert gas * water vapor inert gas * water vapor inert gas * water vapor inert gas * water vapor * inert gas * water vapor * inert gas water vapor inert gas * water vapor * inert gas water vapor * Inert gas * Steam * Inert gas * Steam Inert gas * Steam * Inert gas * Steam * Inert gas * Steam steam steam Inert gas * Steam steam steam * Inert gas * Steam steam * Steam inert gas * Steam * Steam * Inert gas * Steam * Steam * Inert gas * Steam * Steam * Inert gas * Steam * Steam * Steam inert gas * Steam * Steam * Steam * Inert gas

【0106】[0106]

【発明の効果】本発明のシリコン酸化膜の形成方法にお
いては、水蒸気によりシリコン酸化膜が形成される前
に、シリコン層と接する酸素ガスの濃度を十分低下させ
ることが可能となり、酸素ガスによるシリコン酸化膜の
形成(ドライ酸化膜の形成)を抑制することが可能とな
る。しかも、シリコン層の表面からシリコン原子が脱離
しない温度に雰囲気を保持した状態にて、水蒸気を用い
た酸化法によってシリコン層の表面にシリコン酸化膜を
形成する。以上の結果、最終的に形成されるシリコン酸
化膜中には信頼性の劣るドライ酸化膜が含まれず、優れ
た特性を有するシリコン酸化膜を形成することができる
だけでなく、シリコン層の表面に凹凸(荒れ)が生じる
ことを防止し得る。それ故、チャネル移動度の低下を防
止でき、MOS型トランジスタ素子の駆動電流の劣化が
生じ難く、また、フラッシュメモリ等でデータリテンシ
ョン特性の劣化を引き起こすストレスリーク現象の発生
を抑制することができる。
According to the method for forming a silicon oxide film of the present invention, the concentration of oxygen gas in contact with the silicon layer can be sufficiently reduced before the silicon oxide film is formed by water vapor. It is possible to suppress the formation of an oxide film (the formation of a dry oxide film). In addition, a silicon oxide film is formed on the surface of the silicon layer by an oxidation method using water vapor while maintaining an atmosphere at a temperature at which silicon atoms do not desorb from the surface of the silicon layer. As a result, the silicon oxide film finally formed does not include a dry oxide film having low reliability, and can not only form a silicon oxide film having excellent characteristics, but also provide an uneven surface on the silicon layer. (Roughness) can be prevented. Therefore, a decrease in channel mobility can be prevented, the drive current of the MOS transistor element is hardly deteriorated, and the occurrence of a stress leak phenomenon that causes deterioration of data retention characteristics in a flash memory or the like can be suppressed.

【0107】更には、シリコン層の表面に既に保護膜と
しても機能するシリコン酸化膜が形成された状態で、雰
囲気温度を所望の温度に昇温した後、更に、水蒸気を用
いた酸化法によって更にシリコン酸化膜を形成するの
で、昇温工程においてシリコン層の表面に凹凸(荒れ)
が生じることがないし、優れた特性を有するシリコン酸
化膜を形成することができる。以上の結果として、長期
信頼性に優れた極薄の例えばゲート酸化膜の形成が可能
となる。また、本発明のシリコン酸化膜の形成方法にお
いては、水蒸気を用いた酸化法によってシリコン酸化膜
を形成するので、優れた経時絶縁破壊(TDDB)特性
を有するシリコン酸化膜を得ることができる。
Further, in a state where a silicon oxide film which also functions as a protective film has already been formed on the surface of the silicon layer, the ambient temperature is raised to a desired temperature, and further, by an oxidation method using water vapor. Since a silicon oxide film is formed, the surface of the silicon layer becomes uneven (rough) during the temperature raising process.
Does not occur, and a silicon oxide film having excellent characteristics can be formed. As a result, it is possible to form an extremely thin gate oxide film having excellent long-term reliability. Further, in the method for forming a silicon oxide film of the present invention, since the silicon oxide film is formed by an oxidation method using water vapor, a silicon oxide film having excellent time-dependent dielectric breakdown (TDDB) characteristics can be obtained.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明のシリコン酸化膜の形成方法における不
活性ガス、酸素ガス、水素ガスの導入シークエンスを模
式的に示す図である。
FIG. 1 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in a method for forming a silicon oxide film of the present invention.

【図2】本発明のシリコン酸化膜の形成方法における不
活性ガス、酸素ガス、水素ガスの導入シークエンスを模
式的に示す図である。
FIG. 2 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図3】本発明のシリコン酸化膜の形成方法における不
活性ガス、酸素ガス、水素ガスの導入シークエンスを模
式的に示す図である。
FIG. 3 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図4】本発明のシリコン酸化膜の形成方法における不
活性ガス、酸素ガス、水素ガスの導入シークエンスを模
式的に示す図である。
FIG. 4 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図5】本発明のシリコン酸化膜の形成方法における不
活性ガス、酸素ガス、水素ガスの導入シークエンスを模
式的に示す図である。
FIG. 5 is a view schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図6】本発明のシリコン酸化膜の形成方法における不
活性ガス、酸素ガス、水素ガスの導入シークエンスを模
式的に示す図である。
FIG. 6 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図7】本発明のシリコン酸化膜の形成方法における不
活性ガス、酸素ガス、水素ガスの導入シークエンスを模
式的に示す図である。
FIG. 7 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図8】本発明のシリコン酸化膜の形成方法における不
活性ガス、酸素ガス、水素ガスの導入シークエンスを模
式的に示す図である。
FIG. 8 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図9】本発明のシリコン酸化膜の形成方法における不
活性ガス、酸素ガス、水素ガスの導入シークエンスを模
式的に示す図である。
FIG. 9 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図10】本発明のシリコン酸化膜の形成方法における
不活性ガス、酸素ガス、水素ガスの導入シークエンスを
模式的に示す図である。
FIG. 10 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図11】本発明のシリコン酸化膜の形成方法における
不活性ガス、酸素ガス、水素ガスの導入シークエンスを
模式的に示す図である。
FIG. 11 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図12】本発明のシリコン酸化膜の形成方法における
不活性ガス、酸素ガス、水素ガスの導入シークエンスを
模式的に示す図である。
FIG. 12 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図13】本発明のシリコン酸化膜の形成方法における
不活性ガス、酸素ガス、水素ガスの導入シークエンスを
模式的に示す図である。
FIG. 13 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図14】本発明のシリコン酸化膜の形成方法における
不活性ガス、酸素ガス、水素ガスの導入シークエンスを
模式的に示す図である。
FIG. 14 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図15】本発明のシリコン酸化膜の形成方法における
不活性ガス、酸素ガス、水素ガスの導入シークエンスを
模式的に示す図である。
FIG. 15 is a view schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図16】本発明のシリコン酸化膜の形成方法における
不活性ガス、酸素ガス、水素ガスの導入シークエンスを
模式的に示す図である。
FIG. 16 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図17】実施例1におけるシリコン酸化膜の形成方法
の実施に適した酸化膜成膜装置の模式図である。
FIG. 17 is a schematic view of an oxide film forming apparatus suitable for performing the method of forming a silicon oxide film in Example 1.

【図18】本発明のシリコン酸化膜の形成方法における
不活性ガス、酸素ガス、水素ガスの導入シークエンスを
模式的に示す図である。
FIG. 18 is a diagram schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図19】本発明のシリコン酸化膜の形成方法を説明す
るためのシリコン半導体基板等の模式的な一部断面図で
ある。
FIG. 19 is a schematic partial cross-sectional view of a silicon semiconductor substrate and the like for describing a method for forming a silicon oxide film of the present invention.

【図20】実施例1におけるシリコン酸化膜の形成方法
を説明するための酸化膜成膜装置等の模式的な断面図で
ある。
FIG. 20 is a schematic cross-sectional view of an oxide film forming apparatus and the like for describing a method of forming a silicon oxide film in Example 1.

【図21】図20に引き続き、実施例1におけるシリコ
ン酸化膜の形成方法を説明するための酸化膜成膜装置等
の模式的な断面図である。
FIG. 21 is a schematic cross-sectional view of an oxide film forming apparatus and the like for describing a method of forming a silicon oxide film in Example 1, following FIG. 20;

【図22】図21に引き続き、実施例1におけるシリコ
ン酸化膜の形成方法を説明するための酸化膜成膜装置等
の模式的な断面図である。
FIG. 22 is a schematic cross-sectional view of an oxide film forming apparatus and the like for describing a method of forming a silicon oxide film in Example 1, following FIG. 21;

【図23】図22に引き続き、実施例1におけるシリコ
ン酸化膜の形成方法を説明するための酸化膜成膜装置等
の模式的な断面図である。
FIG. 23 is a schematic cross-sectional view of an oxide film forming apparatus and the like for explaining a method of forming a silicon oxide film in Example 1, following FIG. 22;

【図24】図23に引き続き、実施例1におけるシリコ
ン酸化膜の形成方法を説明するための酸化膜成膜装置等
の模式的な断面図である。
FIG. 24 is a schematic cross-sectional view of an oxide film forming apparatus and the like for describing a method of forming a silicon oxide film in Example 1, following FIG. 23;

【図25】図24に引き続き、実施例1におけるシリコ
ン酸化膜の形成方法を説明するための酸化膜成膜装置等
の模式的な断面図である。
FIG. 25 is a schematic cross-sectional view of an oxide film forming apparatus and the like for describing a method of forming a silicon oxide film in Example 1, following FIG. 24;

【図26】図25に引き続き、実施例1におけるシリコ
ン酸化膜の形成方法を説明するための酸化膜成膜装置等
の模式的な断面図である。
FIG. 26 is a schematic cross-sectional view of an oxide film forming apparatus and the like for describing a method of forming a silicon oxide film in Example 1, following FIG. 25;

【図27】経時絶縁破壊(TDDB)特性を測定するた
めの回路の模式図である。
FIG. 27 is a schematic diagram of a circuit for measuring a time-dependent dielectric breakdown (TDDB) characteristic.

【図28】実施例3のシリコン酸化膜の形成方法におけ
る不活性ガス、酸素ガス、水素ガスの導入シークエンス
を模式的に示す図である。
FIG. 28 is a view schematically showing a sequence of introducing an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the third embodiment.

【図29】本発明のシリコン酸化膜の形成方法における
不活性ガス、酸素ガス、水素ガスの導入シークエンスを
模式的に示す図である。
FIG. 29 is a diagram schematically showing an introduction sequence of an inert gas, an oxygen gas, and a hydrogen gas in the method for forming a silicon oxide film of the present invention.

【図30】本発明の第2の態様に係るシリコン酸化膜の
形成方法の実施に適した横型の酸化膜成膜装置の模式的
な断面図である。
FIG. 30 is a schematic sectional view of a horizontal oxide film forming apparatus suitable for carrying out a method for forming a silicon oxide film according to a second embodiment of the present invention.

【図31】図30とは若干構造が異なる、本発明の第2
の態様に係るシリコン酸化膜の形成方法の実施に適した
横型の酸化膜成膜装置の模式的な断面図である。
FIG. 31 is a second embodiment of the present invention, which is slightly different in structure from FIG. 30;
FIG. 4 is a schematic cross-sectional view of a horizontal oxide film forming apparatus suitable for carrying out a method of forming a silicon oxide film according to an embodiment.

【図32】図17に示した縦型の酸化膜成膜装置とは若
干形式の異なる縦型の酸化膜成膜装置の模式的な断面図
である。
32 is a schematic cross-sectional view of a vertical oxide film forming apparatus having a slightly different form from the vertical oxide film forming apparatus shown in FIG.

【図33】図31に示した横型のシリコン酸化膜成膜装
置とは若干形式の異なる横型のシリコン酸化膜成膜装置
の模式的な断面図である。
FIG. 33 is a schematic sectional view of a horizontal silicon oxide film forming apparatus having a slightly different type from the horizontal silicon oxide film forming apparatus shown in FIG. 31;

【図34】従来の縦型方式の酸化膜成膜装置の概念図で
ある。
FIG. 34 is a conceptual view of a conventional vertical type oxide film forming apparatus.

【図35】図34に示した従来の縦型方式の酸化膜成膜
装置を用いて、シリコン半導体基板にシリコン酸化膜を
形成する方法を説明するための概念図である。
FIG. 35 is a conceptual diagram for explaining a method of forming a silicon oxide film on a silicon semiconductor substrate using the conventional vertical oxide film forming apparatus shown in FIG.

【図36】図35に引き続き、シリコン半導体基板にシ
リコン酸化膜を形成する方法を説明するための概念図で
ある。
FIG. 36 is a conceptual diagram for explaining a method of forming a silicon oxide film on a silicon semiconductor substrate, following FIG. 35;

【図37】図36に引き続き、シリコン半導体基板にシ
リコン酸化膜を形成する方法を説明するための概念図で
ある。
FIG. 37 is a conceptual diagram for explaining a method of forming a silicon oxide film on a silicon semiconductor substrate, following FIG. 36;

【図38】図37に引き続き、シリコン半導体基板にシ
リコン酸化膜を形成する方法を説明するための概念図で
ある。
FIG. 38 is a conceptual diagram for explaining a method of forming a silicon oxide film on a silicon semiconductor substrate, following FIG. 37;

【符号の説明】[Explanation of symbols]

10,50・・・処理室、11・・・ガス流路、12・
・・ガス導入部、13・・・ガス排気部、14・・・均
熱管、15・・・ヒータ、16・・・シャッター、20
・・・基板搬入出部、21・・・ガス導入部、22・・
・ガス排気部、23・・・エレベータ機構、24・・・
石英ボート、30,60・・・燃焼室、31,32,3
3,35,61,62,63,65・・・配管、34,
64・・・不活性ガス導入部、36,66・・・ヒー
タ、40・・・シリコン半導体基板、41・・・素子分
離領域、42・・・シリコン酸化膜、43・・・ゲート
電極、51・・・抵抗加熱ヒータ、51A,151A,
151B・・・ランプ、52・・・ウエハ台、53・・
・ゲートバルブ、54・・・ガス導入部、55・・・ガ
ス排気部
10, 50 processing chamber, 11 gas flow path, 12
..Gas inlet, 13 ... Gas exhaust, 14 ... Heat equalizer, 15 ... Heater, 16 ... Shutter, 20
... Substrate loading / unloading section, 21 ... Gas introduction section, 22 ...
・ Gas exhaust part, 23 ・ ・ ・ Elevator mechanism, 24 ・ ・ ・
Quartz boat, 30, 60 ... combustion chamber, 31, 32, 3
3, 35, 61, 62, 63, 65 ... piping, 34,
64 ... inert gas introduction part, 36, 66 ... heater, 40 ... silicon semiconductor substrate, 41 ... element isolation region, 42 ... silicon oxide film, 43 ... gate electrode, 51 ... resistance heaters, 51A, 151A,
151B ... lamp, 52 ... wafer table, 53 ...
・ Gate valve, 54: Gas introduction part, 55: Gas exhaust part

Claims (35)

【特許請求の範囲】[Claims] 【請求項1】(A)酸素ガスによる水素ガスの燃焼によ
って水蒸気を生成させる燃焼室と、 (B)燃焼室に通じ、そして、燃焼室から供給された水
蒸気によってシリコン層の表面にシリコン酸化膜を形成
する処理室、 を具備する酸化膜成膜装置を用いたシリコン酸化膜の形
成方法であって、 (イ)シリコン層の表面からシリコン原子が脱離しない
温度に保たれた不活性ガス雰囲気の処理室内にシリコン
層を有する基板を配置した後、少なくとも、燃焼室への
酸素ガスの供給開始後、燃焼室への水素ガスの供給によ
り水蒸気が燃焼室内で生成しそして処理室に供給される
までの間、処理室内へ不活性ガスを供給し、次いで、シ
リコン層の表面からシリコン原子が脱離しない温度に雰
囲気を保持した状態にて、燃焼室から供給された水蒸気
によって該シリコン層の表面にシリコン酸化膜を形成す
る工程と、 (ロ)処理室の雰囲気温度を所望の温度まで昇温する工
程と、 (ハ)該所望の温度に雰囲気を保持した状態にて、燃焼
室から供給された水蒸気によって、更にシリコン酸化膜
を形成する工程、 から成ることを特徴とするシリコン酸化膜の形成方法。
(A) a combustion chamber for generating steam by burning hydrogen gas with oxygen gas; and (B) a silicon oxide film on the surface of the silicon layer by the steam supplied to the combustion chamber and supplied from the combustion chamber. A process for forming a silicon oxide film using an oxide film forming apparatus comprising: (a) an inert gas atmosphere maintained at a temperature at which silicon atoms do not desorb from the surface of the silicon layer. After the substrate having the silicon layer is disposed in the processing chamber, at least after the supply of oxygen gas to the combustion chamber is started, the supply of hydrogen gas to the combustion chamber generates steam in the combustion chamber and is supplied to the processing chamber. In the meantime, an inert gas is supplied into the processing chamber, and then the steam is supplied from the combustion chamber while maintaining the atmosphere at a temperature at which silicon atoms do not desorb from the surface of the silicon layer. Forming a silicon oxide film on the surface of the silicon layer by (b) raising the ambient temperature of the processing chamber to a desired temperature; and (c) maintaining the atmosphere at the desired temperature. Forming a silicon oxide film further using water vapor supplied from the combustion chamber.
【請求項2】前記工程(イ)において、シリコン層の表
面にシリコン酸化膜を形成した後、燃焼室への水素ガス
の供給停止後、所定の時間、燃焼室へ酸素ガスを供給し
ながら、処理室内へ不活性ガスを供給することを特徴と
する請求項1に記載のシリコン酸化膜の形成方法。
2. In the step (a), after forming a silicon oxide film on the surface of the silicon layer, stopping supply of hydrogen gas to the combustion chamber, supplying oxygen gas to the combustion chamber for a predetermined time, 2. The method for forming a silicon oxide film according to claim 1, wherein an inert gas is supplied into the processing chamber.
【請求項3】前記工程(ハ)において、水蒸気によって
更にシリコン酸化膜を形成する前に、少なくとも、燃焼
室への酸素ガスの供給開始後、燃焼室への水素ガスの供
給により水蒸気が燃焼室内で生成しそして処理室に供給
されるまでの間、処理室内へ不活性ガスを供給すること
を特徴とする請求項1に記載のシリコン酸化膜の形成方
法。
3. In the step (c), before the further formation of the silicon oxide film by the water vapor, at least after the start of the supply of the oxygen gas to the combustion chamber, the supply of the hydrogen gas to the combustion chamber causes the water vapor to be turned on. 2. The method according to claim 1, wherein an inert gas is supplied into the processing chamber until the gas is generated in the processing chamber and supplied to the processing chamber.
【請求項4】前記工程(ハ)において、シリコン層の表
面にシリコン酸化膜を形成した後、燃焼室への水素ガス
の供給停止後、所定の時間、燃焼室へ酸素ガスを供給し
ながら、処理室内へ不活性ガスを供給することを特徴と
する請求項1に記載のシリコン酸化膜の形成方法。
4. In the step (c), after forming a silicon oxide film on the surface of the silicon layer and stopping supply of hydrogen gas to the combustion chamber, supplying oxygen gas to the combustion chamber for a predetermined time. 2. The method for forming a silicon oxide film according to claim 1, wherein an inert gas is supplied into the processing chamber.
【請求項5】水素ガスの不完全燃焼を防止するために、
処理室内へ酸素ガスを供給することを特徴とする請求項
1に記載のシリコン酸化膜の形成方法。
5. In order to prevent incomplete combustion of hydrogen gas,
2. The method for forming a silicon oxide film according to claim 1, wherein oxygen gas is supplied into the processing chamber.
【請求項6】シリコン層の表面からシリコン原子が脱離
しない温度は、シリコン層表面を終端している原子とシ
リコン原子との結合が切断されない温度であることを特
徴とする請求項1に記載のシリコン酸化膜の形成方法。
6. The method according to claim 1, wherein the temperature at which silicon atoms do not desorb from the surface of the silicon layer is a temperature at which the bond between the atoms terminating the silicon layer surface and the silicon atoms is not broken. Of forming a silicon oxide film.
【請求項7】シリコン層の表面からシリコン原子が脱離
しない温度は、Si−H結合が切断されない温度若しく
はSi−F結合が切断されない温度であることを特徴と
する請求項6に記載のシリコン酸化膜の形成方法。
7. The silicon according to claim 6, wherein the temperature at which silicon atoms do not desorb from the surface of the silicon layer is a temperature at which a Si—H bond is not broken or a temperature at which a Si—F bond is not broken. A method for forming an oxide film.
【請求項8】工程(イ)及び/又は工程(ハ)における
水蒸気は不活性ガスで希釈されることを特徴とする請求
項1に記載のシリコン酸化膜の形成方法。
8. The method for forming a silicon oxide film according to claim 1, wherein the water vapor in the step (a) and / or the step (c) is diluted with an inert gas.
【請求項9】工程(イ)及び/又は工程(ハ)における
水蒸気にはハロゲン元素が含有されていることを特徴と
する請求項1に記載のシリコン酸化膜の形成方法。
9. The method for forming a silicon oxide film according to claim 1, wherein the water vapor in the step (a) and / or the step (c) contains a halogen element.
【請求項10】ハロゲン元素は塩素であることを特徴と
する請求項9に記載のシリコン酸化膜の形成方法。
10. The method for forming a silicon oxide film according to claim 9, wherein the halogen element is chlorine.
【請求項11】塩素は塩化水素の形態であり、水蒸気中
に含有される塩化水素の濃度は0.02乃至10容量%
であることを特徴とする請求項10に記載のシリコン酸
化膜の形成方法。
11. The chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in steam is 0.02 to 10% by volume.
The method for forming a silicon oxide film according to claim 10, wherein:
【請求項12】工程(ロ)における雰囲気は、不活性ガ
ス雰囲気であることを特徴とする請求項1に記載のシリ
コン酸化膜の形成方法。
12. The method according to claim 1, wherein the atmosphere in the step (b) is an inert gas atmosphere.
【請求項13】不活性ガス雰囲気にはハロゲン元素が含
有されていることを特徴とする請求項12に記載のシリ
コン酸化膜の形成方法。
13. The method for forming a silicon oxide film according to claim 12, wherein the inert gas atmosphere contains a halogen element.
【請求項14】ハロゲン元素は塩素であることを特徴と
する請求項13に記載のシリコン酸化膜の形成方法。
14. The method for forming a silicon oxide film according to claim 13, wherein the halogen element is chlorine.
【請求項15】塩素は塩化水素の形態であり、水蒸気中
に含有される塩化水素の濃度は0.02乃至10容量%
であることを特徴とする請求項14に記載のシリコン酸
化膜の形成方法。
15. The chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in steam is 0.02 to 10% by volume.
The method for forming a silicon oxide film according to claim 14, wherein:
【請求項16】工程(ロ)における雰囲気は、燃焼室か
ら供給された水蒸気を含む酸化雰囲気であることを特徴
とする請求項1に記載のシリコン酸化膜の形成方法。
16. The method according to claim 1, wherein the atmosphere in the step (b) is an oxidizing atmosphere containing water vapor supplied from a combustion chamber.
【請求項17】工程(ロ)における雰囲気は、燃焼室か
ら供給された水蒸気が不活性ガスで希釈された酸化雰囲
気であることを特徴とする請求項16に記載のシリコン
酸化膜の形成方法。
17. The method for forming a silicon oxide film according to claim 16, wherein the atmosphere in the step (b) is an oxidizing atmosphere in which steam supplied from a combustion chamber is diluted with an inert gas.
【請求項18】工程(ロ)における雰囲気中の水蒸気に
はハロゲン元素が含有されていることを特徴とする請求
項16に記載のシリコン酸化膜の形成方法。
18. The method for forming a silicon oxide film according to claim 16, wherein the water vapor in the atmosphere in the step (b) contains a halogen element.
【請求項19】ハロゲン元素は塩素であることを特徴と
する請求項18に記載のシリコン酸化膜の形成方法。
19. The method for forming a silicon oxide film according to claim 18, wherein the halogen element is chlorine.
【請求項20】塩素は塩化水素の形態であり、水蒸気中
に含有される塩化水素の濃度は0.02乃至10容量%
であることを特徴とする請求項19に記載のシリコン酸
化膜の形成方法。
20. Chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in steam is 0.02 to 10% by volume.
20. The method according to claim 19, wherein:
【請求項21】工程(ハ)の後、形成されたシリコン酸
化膜に熱処理を施すことを特徴とする請求項1に記載の
シリコン酸化膜の形成方法。
21. The method according to claim 1, wherein a heat treatment is performed on the formed silicon oxide film after the step (c).
【請求項22】熱処理の雰囲気は、ハロゲン元素を含有
する不活性ガス雰囲気であることを特徴とする請求項2
1に記載のシリコン酸化膜の形成方法。
22. The heat treatment atmosphere is an inert gas atmosphere containing a halogen element.
2. The method for forming a silicon oxide film according to item 1.
【請求項23】ハロゲン元素は塩素であることを特徴と
する請求項22に記載のシリコン酸化膜の形成方法。
23. The method according to claim 22, wherein the halogen element is chlorine.
【請求項24】塩素は塩化水素の形態であり、不活性ガ
ス中に含有される塩化水素の濃度は0.02乃至10容
量%であることを特徴とする請求項23に記載のシリコ
ン酸化膜の形成方法。
24. The silicon oxide film according to claim 23, wherein the chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the inert gas is 0.02 to 10% by volume. Formation method.
【請求項25】熱処理は700乃至950゜Cの温度で
行われることを特徴とする請求項21に記載のシリコン
酸化膜の形成方法。
25. The method according to claim 21, wherein the heat treatment is performed at a temperature of 700 to 950 ° C.
【請求項26】熱処理は炉アニール処理であることを特
徴とする請求項25に記載のシリコン酸化膜の形成方
法。
26. The method according to claim 25, wherein the heat treatment is a furnace annealing process.
【請求項27】熱処理の雰囲気は、窒素系ガス雰囲気で
あることを特徴とする請求項21に記載のシリコン酸化
膜の形成方法。
27. The method for forming a silicon oxide film according to claim 21, wherein the atmosphere for the heat treatment is a nitrogen-based gas atmosphere.
【請求項28】形成されたシリコン酸化膜に熱処理を施
す際の雰囲気温度は、工程(ハ)においてシリコン酸化
膜を形成する際の雰囲気温度よりも高いことを特徴とす
る請求項21に記載のシリコン酸化膜の形成方法。
28. The method according to claim 21, wherein an ambient temperature at the time of performing a heat treatment on the formed silicon oxide film is higher than an ambient temperature at the time of forming the silicon oxide film in the step (c). A method for forming a silicon oxide film.
【請求項29】工程(ハ)におけるシリコン酸化膜の形
成完了後、雰囲気をハロゲン元素を含有する不活性ガス
雰囲気に切り替えた後、熱処理を施すための雰囲気温度
まで昇温することを特徴とする請求項28に記載のシリ
コン酸化膜の形成方法。
29. After the formation of the silicon oxide film in the step (c) is completed, the atmosphere is switched to an inert gas atmosphere containing a halogen element, and then the temperature is raised to an ambient temperature for performing a heat treatment. A method for forming a silicon oxide film according to claim 28.
【請求項30】ハロゲン元素は塩素であることを特徴と
する請求項29に記載のシリコン酸化膜の形成方法。
30. The method according to claim 29, wherein the halogen element is chlorine.
【請求項31】塩素は塩化水素の形態であり、不活性ガ
ス中に含有される塩化水素の濃度は0.02乃至10容
量%であることを特徴とする請求項30に記載のシリコ
ン酸化膜の形成方法。
31. The silicon oxide film according to claim 30, wherein the chlorine is in the form of hydrogen chloride, and the concentration of hydrogen chloride contained in the inert gas is 0.02 to 10% by volume. Formation method.
【請求項32】シリコン層は、基板上に形成されたエピ
タキシャルシリコン層から成ることを特徴とする請求項
1に記載のシリコン酸化膜の形成方法。
32. The method according to claim 1, wherein the silicon layer comprises an epitaxial silicon layer formed on the substrate.
【請求項33】(A)酸素ガスによる水素ガスの燃焼に
よって水蒸気を生成させる燃焼室と、 (B)燃焼室に通じ、そして、燃焼室から供給された水
蒸気によってシリコン層の表面にシリコン酸化膜を形成
する処理室と、 (C)燃焼室と処理室とを結ぶ配管、を具備する酸化膜
成膜装置であって、 該配管には不活性ガス導入部が設けられていることを特
徴とする酸化膜成膜装置。
33. (A) a combustion chamber for generating steam by burning hydrogen gas with oxygen gas; and (B) a silicon oxide film formed on the surface of the silicon layer by the steam supplied to the combustion chamber and supplied from the combustion chamber. And (C) a pipe connecting the combustion chamber and the processing chamber, wherein the pipe is provided with an inert gas introduction part. Oxide film forming apparatus.
【請求項34】不活性ガス導入部を含む配管には、燃焼
室で生成した水蒸気が処理室に達するまでの間に結露す
ることを防止するための加熱手段が備えられていること
を特徴とする請求項33に記載の酸化膜成膜装置。
34. A piping including an inert gas introduction part is provided with a heating means for preventing steam generated in the combustion chamber from dew condensation before reaching the processing chamber. 34. The oxide film forming apparatus according to claim 33.
【請求項35】配管に設けられた不活性ガス導入部から
配管に流入する不活性ガスが燃焼室側に流入しないよう
に、配管に不活性ガス導入部が設けられていることを特
徴とする請求項33に記載の酸化膜成膜装置。
35. An inert gas introduction part is provided in a pipe so that an inert gas flowing into the pipe from an inert gas introduction part provided in the pipe does not flow into the combustion chamber side. An apparatus for forming an oxide film according to claim 33.
JP22516497A 1997-08-21 1997-08-21 Silicon oxide film forming method and oxide film forming apparatus Expired - Fee Related JP3757566B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP22516497A JP3757566B2 (en) 1997-08-21 1997-08-21 Silicon oxide film forming method and oxide film forming apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP22516497A JP3757566B2 (en) 1997-08-21 1997-08-21 Silicon oxide film forming method and oxide film forming apparatus

Publications (2)

Publication Number Publication Date
JPH1167747A true JPH1167747A (en) 1999-03-09
JP3757566B2 JP3757566B2 (en) 2006-03-22

Family

ID=16824952

Family Applications (1)

Application Number Title Priority Date Filing Date
JP22516497A Expired - Fee Related JP3757566B2 (en) 1997-08-21 1997-08-21 Silicon oxide film forming method and oxide film forming apparatus

Country Status (1)

Country Link
JP (1) JP3757566B2 (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6855642B2 (en) 1997-03-05 2005-02-15 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
WO2009099254A1 (en) * 2008-02-08 2009-08-13 Tokyo Electron Limited Method for insulating film formation, storage medium from which information is readable with computer, and treatment system
US7700156B2 (en) 2003-07-04 2010-04-20 Tokyo Electron Limited Method and apparatus for forming silicon oxide film
JP2012199390A (en) * 2011-03-22 2012-10-18 Covalent Materials Corp Silicon wafer heat treatment method
JP2017216335A (en) * 2016-05-31 2017-12-07 株式会社日立国際電気 Method of manufacturing semiconductor device, substrate processing device, and program
US9929005B1 (en) 2016-09-26 2018-03-27 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7799690B2 (en) 1997-03-05 2010-09-21 Renesas Electronics Corporation Method for fabricating semiconductor integrated circuit device
US7053007B2 (en) 1997-03-05 2006-05-30 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
US6962881B2 (en) 1997-03-05 2005-11-08 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
US7008880B2 (en) 1997-03-05 2006-03-07 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
US6855642B2 (en) 1997-03-05 2005-02-15 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
US7250376B2 (en) 1997-03-05 2007-07-31 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
US6962880B2 (en) 1997-03-05 2005-11-08 Renesas Technology Corp. Method for fabricating semiconductor integrated circuit device
US7700156B2 (en) 2003-07-04 2010-04-20 Tokyo Electron Limited Method and apparatus for forming silicon oxide film
WO2009099254A1 (en) * 2008-02-08 2009-08-13 Tokyo Electron Limited Method for insulating film formation, storage medium from which information is readable with computer, and treatment system
US8034179B2 (en) 2008-02-08 2011-10-11 Tokyo Electron Limited Method for insulating film formation, storage medium from which information is readable with computer, and processing system
JP2012199390A (en) * 2011-03-22 2012-10-18 Covalent Materials Corp Silicon wafer heat treatment method
JP2017216335A (en) * 2016-05-31 2017-12-07 株式会社日立国際電気 Method of manufacturing semiconductor device, substrate processing device, and program
KR20170135693A (en) 2016-05-31 2017-12-08 가부시키가이샤 히다치 고쿠사이 덴키 Method of manufacturing semiconductor device, substrate processing apparatus, and program
US10290492B2 (en) 2016-05-31 2019-05-14 Kokusai Electric Corporation Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US9929005B1 (en) 2016-09-26 2018-03-27 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device
KR20180034167A (en) 2016-09-26 2018-04-04 가부시키가이샤 히다치 고쿠사이 덴키 Method of manufacturing semiconductor device, non-transitory computer-readable recording medium and substrate processing apparatus

Also Published As

Publication number Publication date
JP3757566B2 (en) 2006-03-22

Similar Documents

Publication Publication Date Title
US6589349B2 (en) Apparatus for forming silicon oxide film and method of forming silicon oxide film
US6297172B1 (en) Method of forming oxide film
US6797323B1 (en) Method of forming silicon oxide layer
US8123858B2 (en) Manufacturing method of semiconductor device and substrate processing apparatus
US6204205B1 (en) Using H2anneal to improve the electrical characteristics of gate oxide
JP4095326B2 (en) Semiconductor device manufacturing method and semiconductor device
JP2000332009A (en) Method of forming insulating film and manufacture of p-type semiconductor element
JP3757566B2 (en) Silicon oxide film forming method and oxide film forming apparatus
JPH11162970A (en) Method of formation of oxide film
JPH11204517A (en) Forming method of silicon oxide film and silicon oxide film forming equipment
JPH11186255A (en) Method of forming silicon oxide film
JP4403321B2 (en) Method for forming oxide film and method for manufacturing p-type semiconductor element
JPH11135492A (en) Method and device for forming silicon oxide film
JPH11186248A (en) Silicon oxide film forming method and equipment
JP3800788B2 (en) Method for forming silicon oxide film
JP2000068266A (en) Method for forming oxide film
JP4797358B2 (en) Manufacturing method of semiconductor device
JP3588994B2 (en) Method of forming oxide film and method of manufacturing p-type semiconductor device
JPH10284484A (en) Formation of silicon oxide film
JP3952542B2 (en) Method for forming silicon oxide film
JPH11297689A (en) Heat treatment of silicon insulating film and manufacture of semiconductor device
JP2000216156A (en) Formation of silicon nitride oxide film and manufacture of p type semiconductor element
JPH1174264A (en) Formation of silicon oxide film
JPH1167749A (en) Formation of silicon oxide film and drying/film forming device
JP2001127280A (en) Method for manufacturing semiconductor device and p- channel type semiconductor device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040913

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040921

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20041108

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Effective date: 20051206

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20051219

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100113

Year of fee payment: 4

LAPS Cancellation because of no payment of annual fees