JPH11329792A - Microwave supply container - Google Patents

Microwave supply container

Info

Publication number
JPH11329792A
JPH11329792A JP10359179A JP35917998A JPH11329792A JP H11329792 A JPH11329792 A JP H11329792A JP 10359179 A JP10359179 A JP 10359179A JP 35917998 A JP35917998 A JP 35917998A JP H11329792 A JPH11329792 A JP H11329792A
Authority
JP
Japan
Prior art keywords
microwave
plasma
gas
waveguide
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP10359179A
Other languages
Japanese (ja)
Inventor
Nobumasa Suzuki
伸昌 鈴木
Manabu Matsuo
学 松尾
Hirohisa Oda
博久 小田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP10359179A priority Critical patent/JPH11329792A/en
Publication of JPH11329792A publication Critical patent/JPH11329792A/en
Withdrawn legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide uniform microwave radiation intensity distribution by concentrically arranging a plurality of circular wave guide paths having a plane-shaped H surface having a plurality of slots separately installed each other and a rectangular cross section vertical to the advancing direction of a microwave so that the H surface becomes the same plane. SOLUTION: This microwave supply container 3 has a circular wave guide 3a having an H surface on which a plurality of slots are separately installed and a rectangular cross section vertical to the advancing direction (direction vertical to space) of a microwave. Microwaves introduced from a microwave guide inlet 13 into the microwave supply container 3 change courses in the opposite directions each other with a distributor 10 such as an E branch block, and are propagated into a circular wave guide path 3a. Active species of gas or gas ions are sufficiently supplied also to the vicinity of the center O of a space 9 where the slots 3b do not exist. Uniform plasma treatment is conducted over the whole treating surface of a treated object W.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、多重環状導波路を
有するマイクロ波供給器に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a microwave feeder having multiple annular waveguides.

【0002】[0002]

【従来の技術】マイクロ波をプラズマ生起用の励起源と
して使用するプラズマ処理装置としては、プラズマ重合
装置、CVD装置、表面改質装置、エッチング装置、ア
ッシング装置、クリーニング装置等が知られている。
2. Description of the Related Art As a plasma processing apparatus using a microwave as an excitation source for generating plasma, a plasma polymerization apparatus, a CVD apparatus, a surface reforming apparatus, an etching apparatus, an ashing apparatus, a cleaning apparatus and the like are known.

【0003】こうしたいわゆるマイクロ波プラズマ処理
装置を使用するCVDは例えば次のように行われる。即
ち、マイクロ波プラズマCVD装置のプラズマ発生室及
び/又は成膜室内にガスを導入し、同時にマイクロ波エ
ネルギーを投入してプラズマ発生室内にプラズマを発生
させガスを励起及び/又は分解してプラズマ発生室又は
成膜室内に配された被処理体上に堆積膜を形成する。そ
して同様の手法で有機物のプラズマ重合や酸価、窒化、
フッ化等の表面改質を行うこともできる。
[0003] CVD using such a so-called microwave plasma processing apparatus is performed, for example, as follows. That is, a gas is introduced into a plasma generation chamber and / or a film formation chamber of a microwave plasma CVD apparatus, and at the same time, microwave energy is applied to generate plasma in the plasma generation chamber to excite and / or decompose the gas to generate plasma. A deposited film is formed over an object to be processed provided in a chamber or a deposition chamber. And the plasma polymerization of organic matter, acid value, nitriding,
Surface modification such as fluorination can also be performed.

【0004】また、いわゆるマイクロ波プラズマエッチ
ング装置を使用する被処理体のエッチング処理は、例え
ば次のようにして行われる。即ち、該装置の処理室内に
エッチャントガスを導入し、同時にマイクロ波エネルギ
ーを投入して該エッチャントガスを励起及び/又は分解
して該処理室内にプラズマを発生させ、これにより該処
理室内に配された被処理体の表面をエッチングする。
[0004] Etching of an object to be processed using a so-called microwave plasma etching apparatus is performed, for example, as follows. That is, an etchant gas is introduced into the processing chamber of the apparatus, and simultaneously, microwave energy is applied to excite and / or decompose the etchant gas to generate plasma in the processing chamber. The surface of the processed object is etched.

【0005】また、いわゆるマイクロ波プラズマアッシ
ング装置を使用する被処理体のアッシング処理は、例え
ば次のようにして行われる。即ち、該装置の処理室内に
アッシングガスを導入し、同時にマイクロ波エネルギー
を投入して該アッシングガスを励起及び/又は分解して
該処理室内にプラズマを発生させ、これにより該処理室
内に配された被処理体の表面即ちホトレジストをアッシ
ングする。アッシング同様にして、被処理体の被処理面
に付着した不要物を除去するクリーニングを行うことも
できる。
[0005] Further, ashing processing of an object to be processed using a so-called microwave plasma ashing apparatus is performed, for example, as follows. That is, an ashing gas is introduced into the processing chamber of the apparatus, and at the same time, microwave energy is applied to excite and / or decompose the ashing gas to generate plasma in the processing chamber. Ashing the surface of the object to be processed, ie, the photoresist. In the same manner as ashing, cleaning for removing unnecessary substances attached to the surface of the object to be processed can be performed.

【0006】マイクロ波プラズマ処理装置においては、
ガスの励起源としてマイクロ波を使用することから、電
子を高い周波数をもつ電界により加速でき、ガス分子を
効率的に電離、励起させることができる。それ故、マイ
クロ波プラズマ処理装置については、ガスの電離効率、
励起効率及び分解効率が高く、高密度のプラズマを比較
的容易に形成し得る、低温で高速に高品質処理できると
いった利点を有する。また、マイクロ波が誘電体を透過
する性質を有することから、プラズマ処理装置を無電極
放電タイプのものとして構成でき、これが故に高清浄な
プラズマ処理を行い得るという利点もある。
In a microwave plasma processing apparatus,
Since a microwave is used as a gas excitation source, electrons can be accelerated by an electric field having a high frequency, and gas molecules can be efficiently ionized and excited. Therefore, for microwave plasma processing equipment, gas ionization efficiency,
It has the advantages of high excitation efficiency and decomposition efficiency, relatively easy formation of high-density plasma, and high-speed processing at low temperature and high speed. In addition, since the microwave has a property of transmitting through the dielectric, the plasma processing apparatus can be configured as an electrodeless discharge type, and therefore, there is an advantage that a highly clean plasma processing can be performed.

【0007】こうしたマイクロ波プラズマ処理装置の更
なる高速化のために、電子サイクロトロン共鳴(EC
R)を利用したプラズマ処理装置も実用化されてきてい
る。ECRは、磁束密度が87.5mTの場合、磁力線
の周りを電子が回転する電子サイクロトロン周波数が、
マイクロ波の一般的な周波数2.45GHzと一致し、
電子がマイクロ波を共鳴的に吸収して加速され、高密度
プラズマが発生する現象である。こうしたECRプラズ
マ処理装置においては、マイクロ波導入手段と磁界発生
手段との構成について、代表的なものとして次の4つの
構成が知られている。
In order to further increase the speed of such a microwave plasma processing apparatus, an electron cyclotron resonance (EC)
R) has also been put to practical use. The ECR is such that when the magnetic flux density is 87.5 mT, the electron cyclotron frequency at which electrons rotate around the lines of magnetic force is:
Coincides with the general microwave frequency of 2.45 GHz,
This is a phenomenon in which electrons are resonantly absorbed by microwaves, accelerated, and high-density plasma is generated. In such an ECR plasma processing apparatus, the following four configurations are known as typical configurations of the microwave introduction unit and the magnetic field generation unit.

【0008】即ち、(i)導波管を介して伝搬されるマ
イクロ波を被処理基体の対向面から透過窓を介して円筒
状のプラズマ発生室に導入し、プラズマ発生室の中心軸
と同軸の発散磁界をプラズマ発生室の周辺に設けられた
電磁コイルを介して導入する構成;(ii)導波管を介
して伝送されるマイクロ波を被処理基体の対向面から釣
鐘状のプラズマ発生室に導入し、プラズマ発生室の中心
軸と同軸の磁界をプラズマ発生室の周辺に設けられた電
磁コイルを介して導入する構成;(iii)円筒状スロ
ットアンテナの一種であるリジターノコイルを介してマ
イクロ波を周辺からプラズマ発生室に導入し、プラズマ
発生室の中心軸と同軸の磁界をプラズマ発生室の周辺に
設けられた電磁コイルを介して導入する構成(リジター
ノ方式);(iv)導波管を介して伝送されるマイクロ
波を被処理基体の対向面から平板状のスロットアンテナ
を介して円筒状のプラズマ発生室に導入し、アンテナ平
面に平行なループ状磁界を平面アンテナの背面に設けら
れた永久磁石を介して導入する構成(平面スロットアン
テナ方式)である。
That is, (i) microwaves propagated through the waveguide are introduced into the cylindrical plasma generation chamber from the opposing surface of the substrate through the transmission window, and are coaxial with the central axis of the plasma generation chamber. (Ii) a microwave transmitted from a waveguide is transmitted from a facing surface of a substrate to be processed into a bell-shaped plasma generating chamber by introducing a diverging magnetic field through an electromagnetic coil provided around the plasma generating chamber. And a magnetic field coaxial with the central axis of the plasma generation chamber is introduced through an electromagnetic coil provided around the plasma generation chamber; (iii) through a digital coil which is a kind of cylindrical slot antenna. A configuration in which microwaves are introduced into the plasma generation chamber from the periphery and a magnetic field coaxial with the central axis of the plasma generation chamber is introduced through an electromagnetic coil provided around the plasma generation chamber (Rigitano method); (iv) Microwaves transmitted through the waveguide are introduced into the cylindrical plasma generation chamber from the opposing surface of the substrate to be processed through a flat slot antenna, and a loop-shaped magnetic field parallel to the antenna plane is applied to the back of the planar antenna. (Planar slot antenna system).

【0009】又、米国特許第5,034,086号の明
細書には、ラジアルラインスロットアンテナ(RLS
A)を用いたプラズマ処理装置が開示されている。
In the specification of US Pat. No. 5,034,086, a radial line slot antenna (RLS) is disclosed.
A plasma processing apparatus using A) is disclosed.

【0010】或いは、特開平5−290995号公報
や、米国特許第5,359,177号の明細書や、EP
0564359公報には、終端付環状導波管を用いたプ
ラズマ処理装置が開示されている。
[0010] Alternatively, Japanese Patent Application Laid-Open No. H5-290995, the specification of US Patent No. 5,359,177, EP
Japanese Patent No. 0564359 discloses a plasma processing apparatus using an annular waveguide with a termination.

【0011】これらとは別に、マイクロ波プラズマ処理
装置の例として、近年、マイクロ波の均一で効率的な導
入装置として複数のスロットが内側面に形成された環状
導波管を用いた装置が提案されている(特開平5−34
5982号公報、米国特許第5,538,699号)。
Apart from these, as an example of a microwave plasma processing apparatus, an apparatus using an annular waveguide having a plurality of slots formed on an inner surface thereof has been recently proposed as a uniform and efficient introduction apparatus for microwaves. (Japanese Patent Laid-Open No. 5-34)
No. 5982, U.S. Pat. No. 5,538,699).

【0012】このマイクロ波プラズマ処理装置を図29
に、そのマイクロ波供給手段を図28に示す。
This microwave plasma processing apparatus is shown in FIG.
FIG. 28 shows the microwave supply means.

【0013】501はプラズマ発生室、502はプラズ
マ発生室501を大気側と分離する誘電体窓、503は
マイクロ波をプラズマ発生室501に供給するための円
筒状の外形をもつスロット付無終端環状導波管、505
はプラズマ発生用ガス供給手段、511はプラズマ発生
室501に連結した処理室、512は被処理体、513
は基体512の支持体、514は基体512を加熱する
ヒータ、515は処理用ガス供給手段、516は排気
口、521はマイクロ波を左右に分配するブロック、5
22は曲面523に設けられたスロットである。又、5
24は仕切板、525はマイクロ波導入口である。
Reference numeral 501 denotes a plasma generation chamber, 502 denotes a dielectric window for separating the plasma generation chamber 501 from the atmosphere side, and 503 denotes a slotted endless ring having a cylindrical outer shape for supplying microwaves to the plasma generation chamber 501. Waveguide, 505
Is a gas supply means for plasma generation, 511 is a processing chamber connected to the plasma generation chamber 501, 512 is an object to be processed, 513
514 is a heater for heating the substrate 512, 515 is a processing gas supply means, 516 is an exhaust port, 521 is a block for distributing microwaves to the left and right, 5
Reference numeral 22 denotes a slot provided on the curved surface 523. Also, 5
24 is a partition plate, and 525 is a microwave introduction port.

【0014】プラズマの発生及び処理は以下のようにし
て行なう。
The generation and processing of plasma are performed as follows.

【0015】排気系(不図示)を介してプラズマ発生室
501内及び処理室511内を真空排気する。続いてプ
ラズマ発生用ガスをガス供給口505を介して所定の流
量でプラズマ発生室501内に導入する。
The inside of the plasma generation chamber 501 and the inside of the processing chamber 511 are evacuated via an exhaust system (not shown). Subsequently, a plasma generation gas is introduced into the plasma generation chamber 501 at a predetermined flow rate through the gas supply port 505.

【0016】次に排気系(不図示)に設けられたコンダ
クタンスバルブ(不図示)を調整し、プラズマ発生室5
01内を所定の圧力に保持する。マイクロ波電源(不図
示)より所望の電力を環状導波管503を介してプラズ
マ発生室501内に供給する。
Next, a conductance valve (not shown) provided in an exhaust system (not shown) is adjusted to
01 is maintained at a predetermined pressure. Desired power is supplied from a microwave power supply (not shown) into the plasma generation chamber 501 via the annular waveguide 503.

【0017】この際、環状導波管503内に導入された
マイクロ波は、分配ブロック521で左右に二分配さ
れ、自由空間波長よりも長い管内波長をもって管内を伝
搬する。管内波長の1/2または1/4毎に設けられた
スロット522から誘電体窓502を透してプラズマ発
生室501にマイクロ波が供給され、プラズマ527を
生成する。
At this time, the microwave introduced into the annular waveguide 503 is split right and left by the distribution block 521, and propagates in the tube with a guide wavelength longer than the free space wavelength. Microwaves are supplied to the plasma generation chamber 501 from the slots 522 provided for every ま た は or の of the guide wavelength, through the dielectric window 502, and generate plasma 527.

【0018】この時に処理用ガス供給管515を介して
処理用ガスを処理室511内に供給しておくと処理用ガ
スは発生した高密度プラズマにより励起され、支持体5
13上に載置された被処理体512の表面を処理する。
At this time, if the processing gas is supplied into the processing chamber 511 through the processing gas supply pipe 515, the processing gas is excited by the generated high-density plasma, and
The surface of the object to be processed 512 placed on the surface 13 is processed.

【0019】このようなマイクロ波プラズマ処理装置を
用いることにより、マイクロ波パワー1kW以上で、直
径200mm程の空間に±3%以内の均一性をもって、
電子密度1012/cm3 以上、電子温度3eV以下、プ
ラズマ電位20V以下の高密度低電位プラズマが発生で
きるので、ガスを充分に反応させ活性な状態で被処理体
に供給でき、かつ入射イオンによる被処理体の表面ダメ
ージも低減するので、低温でも高品質で高速な処理が可
能になる。
By using such a microwave plasma processing apparatus, a microwave power of 1 kW or more and uniformity within ± 3% in a space having a diameter of about 200 mm can be obtained.
Since high-density low-potential plasma having an electron density of 10 12 / cm 3 or more, an electron temperature of 3 eV or less, and a plasma potential of 20 V or less can be generated, a gas can be sufficiently reacted and supplied to an object to be processed in an active state. Since surface damage of the object to be processed is also reduced, high-quality and high-speed processing can be performed even at a low temperature.

【0020】しかしながら、図29に示したような高密
度抵電位プラズマを発生するマイクロ波プラズマ処理装
置を用いて、例えばアッシング処理の場合のように、1
00mTorr(約13.3322Pa)以上の高圧領
域で処理を行う場合、プラズマの拡散が抑制されるた
め、プラズマが周辺に局在し基体中央部分の処理速度が
低下することがある。
However, using a microwave plasma processing apparatus for generating high-density low-potential plasma as shown in FIG.
When processing is performed in a high-pressure region of 00 mTorr (about 13.3322 Pa) or more, plasma diffusion is suppressed, so that plasma is localized in the periphery and the processing speed of the central portion of the base may decrease.

【0021】又、特開平7−90591号公開特許公報
には、円盤状のマイクロ波導入装置を用いたプラズマ処
理装置が開示されている。この装置ではガスを導波管内
に導入し、導波管に設けられたスロットからガスをプラ
ズマ発生室に向けて放出している。
Japanese Patent Application Laid-Open No. 7-90591 discloses a plasma processing apparatus using a disk-shaped microwave introducing device. In this apparatus, a gas is introduced into a waveguide, and the gas is emitted from a slot provided in the waveguide toward a plasma generation chamber.

【0022】[0022]

【発明が解決しようとする課題】特開平7−90591
号公報に記載の装置では、導波管内でプラズマが発生し
ないように、ガスの供給圧力、導波管内のコンダクタン
ス及びスロットのコンダクタンス、排気圧力等を精密に
調整しなければならない。従って最適圧力が互いに異な
るCVD、エッチング、アッシング等のいずれにも共通
に使用できる装置を設計することが非常に難しい。
SUMMARY OF THE INVENTION Japanese Patent Application Laid-Open No. 7-90591
In the device described in the publication, the supply pressure of gas, the conductance and conductance of the slot, the conductance of the slot, the exhaust pressure, and the like must be precisely adjusted so that plasma is not generated in the waveguide. Therefore, it is very difficult to design an apparatus that can be commonly used for any of CVD, etching, ashing, and the like having different optimum pressures.

【0023】そして、近年要求される直径305mmの
12インチウエハー(300mmウエハーと呼ぶことも
ある)やそれに相当する面積のガラス基板等の表面を処
理する為には、均一大面積で且つ薄い高密度プラズマの
層が必要とされる。
In order to process a recently required surface of a 12-inch wafer having a diameter of 305 mm (sometimes referred to as a 300 mm wafer) or a glass substrate having an area corresponding thereto, it is necessary to have a uniform large area and a thin high density. A layer of plasma is required.

【0024】その為には、ガス供給手段の構成及び/又
はマイクロ波供給手段の構成を更に改良する必要があ
る。
For this purpose, it is necessary to further improve the structure of the gas supply means and / or the structure of the microwave supply means.

【0025】[0025]

【課題を解決するための手段】本発明の第1の目的は、
均一なマイクロ波放射強度分布が得られるマイクロ波供
給器を提供することにある。
SUMMARY OF THE INVENTION A first object of the present invention is to:
An object of the present invention is to provide a microwave supply device capable of obtaining a uniform microwave radiation intensity distribution.

【0026】本発明の第2の目的は、均一且つ大面積で
薄い高密度プラズマの層を発生し得るマイクロ波供給器
を提供することにある。
A second object of the present invention is to provide a microwave feeder capable of generating a thin layer of a high-density plasma that is uniform, large in area and large.

【0027】本発明の第3の目的は、直径300mm以
上のウエハ或いはこれに相当する大面積の被処理基体を
プラズマ処理できるマイクロ波供給器を提供することに
ある。
A third object of the present invention is to provide a microwave supply device capable of plasma processing a wafer having a diameter of 300 mm or more or a substrate having a large area corresponding to the wafer.

【0028】本発明は、互いに離間して設けられた複数
のスロットを有する平面状のH面と、マイクロ波の進行
方向に垂直な矩形断面と、を有する環状導波路を該H面
が同一平面となるように複数同心状に備え、各環状導波
路の該平面状のH面に設けられた該複数のスロットよ
り、マイクロ波を供給するマイクロ波供給器であること
を特徴とする。
According to the present invention, an annular waveguide having a planar H-plane having a plurality of slots provided apart from each other and a rectangular cross section perpendicular to the direction in which microwaves travel is provided. And a microwave supply device for supplying microwaves from the plurality of slots provided on the planar H-plane of each annular waveguide.

【0029】又、本発明は、互いに周長の異なる複数の
無終端環状導波路を同心状に配し、それらの平面状のH
面をそれぞれ同一平面とし、そこに複数のスロットを設
けたマイクロ波供給器に特徴がある。
According to the present invention, a plurality of endless annular waveguides having different circumferential lengths are arranged concentrically,
The microwave feeder is characterized in that the planes are made the same plane and a plurality of slots are provided therein.

【0030】これにより、均一且つ大面積の強度分布を
もつマイクロ波を放射・供給できる。
Thus, a microwave having a uniform and large-area intensity distribution can be radiated and supplied.

【0031】[0031]

【発明の実施の形態】図1は、本発明のマイクロ波供給
器が用いられる好適な実施の形態によるプラズマ処理装
置の主要部品を示している。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 shows main components of a plasma processing apparatus according to a preferred embodiment using a microwave supply of the present invention.

【0032】1は内部が減圧可能な容器、2はその上に
被処理体を保持する為の保持手段、3は容器1内にプラ
ズマを発生させる為のマイクロ波を供給するマイクロ波
供給手段(マイクロ波供給器又はマイクロ波アンテナと
呼ぶこともできる。)である。
Reference numeral 1 denotes a container whose inside can be depressurized, 2 a holding means for holding the object to be processed thereon, and 3 a microwave supply means for supplying a microwave for generating plasma in the container 1 ( A microwave supplier or a microwave antenna).

【0033】そして、4は、誘電体窓、7は容器1内に
ガスを供給するガス供給手段である。
Reference numeral 4 denotes a dielectric window, and 7 denotes gas supply means for supplying gas into the container 1.

【0034】図2は、図1に示す部品を用いて構成した
プラズマ処理装置の断面図である。
FIG. 2 is a sectional view of a plasma processing apparatus constituted by using the components shown in FIG.

【0035】マイクロ波供給器3は、互いに離間して設
けられた複数のスロット3bを有するH面3cと、マイ
クロ波の進行方向(図2中紙面に垂直な方向)に垂直な
矩形断面と、をもつ環状導波路3aを備えている。
The microwave supply device 3 has an H surface 3c having a plurality of slots 3b provided apart from each other, a rectangular cross section perpendicular to the microwave traveling direction (a direction perpendicular to the paper in FIG. 2), Is provided.

【0036】マイクロ波導入口13より、マイクロ波供
給器3内に導入されたマイクロ波は、E分岐ブロックの
ような分配器10により互いに反対向きに進路を変え
て、環状導波路3a内を伝搬していく。
The microwaves introduced into the microwave supply device 3 from the microwave introduction port 13 change their courses in directions opposite to each other by the distributor 10 such as an E-branch block, and propagate in the annular waveguide 3a. To go.

【0037】環状導波路3aは、みかけ上一本の矩形導
波管のE面を曲げて、両端を連結した格好をしている。
The annular waveguide 3a looks like a single rectangular waveguide in which the E-plane is bent and both ends are connected.

【0038】環状導波路3a内を伝搬・進行していく途
中で、下方のH面3cに設けられた複数のスロット3b
からマイクロ波が放射される。
In the course of propagation and traveling in the annular waveguide 3a, a plurality of slots 3b provided in the lower H-plane 3c
The microwave is radiated from.

【0039】各スロット3bから放射されたマイクロ波
は、マイクロ波供給器3の下方にある誘電体窓4を透過
して、容器1内のプラズマ発生空間9内に供給される。
The microwave radiated from each slot 3 b passes through the dielectric window 4 below the microwave supplier 3 and is supplied to the plasma generation space 9 in the container 1.

【0040】容器1内のプラズマ発生空間9内は、排気
路8に連通する不図示の排気手段により排気され減圧状
態にある。又、ガス供給手段7のガス放出口7aから、
ガスがプラズマ発生空間9内に放出されている。
The inside of the plasma generating space 9 in the container 1 is evacuated by an exhaust means (not shown) communicating with the exhaust path 8 and is in a reduced pressure state. Also, from the gas discharge port 7a of the gas supply means 7,
Gas is released into the plasma generation space 9.

【0041】よって、プラズマ発生空間9内に供給され
たマイクロ波により、グロー放電が生じ、ガスの構成分
子はイオン化したり、活性種となったりする。プラズマ
はスロットの下方にドーナツ状に生じたり、又はH面の
下面に連続する層状(円板状)に生じたりする。
Therefore, a glow discharge is generated by the microwave supplied into the plasma generation space 9, and the constituent molecules of the gas are ionized or become active species. The plasma is generated in a donut shape below the slot, or in a layer shape (disc shape) continuous with the lower surface of the H-plane.

【0042】ガス供給手段7のガス放出口7aは、マイ
クロ波供給器3の環状導波路3aのH面3cに向けてガ
スを放出するように設けられている。
The gas discharge port 7a of the gas supply means 7 is provided so as to discharge gas toward the H surface 3c of the annular waveguide 3a of the microwave supply device 3.

【0043】その為、ガス放出口7aから放出されたガ
スは、スロット3b下方の高密度プラズマ領域を経て、
マイクロ波供給器3の中心0付近に向かう。
Therefore, the gas discharged from the gas discharge port 7a passes through the high-density plasma region below the slot 3b,
It heads near the center 0 of the microwave supplier 3.

【0044】従って、スロット3bの存在しない空間9
の中心0付近にもガスの活性種又はガスのイオンが充分
供給される。
Therefore, the space 9 where the slot 3b does not exist
The active species of the gas or the ions of the gas are also sufficiently supplied to the vicinity of the center 0.

【0045】この為に被処理体Wの被処理面全面に亘っ
て、均一なプラズマ処理が施せる。
For this reason, uniform plasma processing can be performed over the entire surface of the object W to be processed.

【0046】図3は、マイクロ波供給器の環状導波路内
におけるマイクロ波の伝搬と、スロットからのマイクロ
波の放射の様子を説明する為の模式図である。
FIG. 3 is a schematic diagram for explaining the propagation of microwaves in the annular waveguide of the microwave supplier and the state of microwave radiation from the slots.

【0047】図3の(a)は、環状導波路を上方から見
た時の様子を示し、図3の(b)は、BB′線による断
面を図3a(c)はCC′線による断面を示している。
FIG. 3A shows a state when the annular waveguide is viewed from above, FIG. 3B shows a cross section taken along the line BB ′, and FIG. 3A (c) shows a cross section taken along the line CC ′. Is shown.

【0048】マイクロ波導入口13付近はE面分岐の等
価回路となっており、マイクロ波導入口13より導入さ
れたマイクロ波は時計回りd2 と反時計回りd1 とに分
配されるように進路を変更する。各スロットはマイクロ
波の進行方向d1 ,d2 と交差するように設けられてお
り、マイクロ波はスロットからマイクロ波を放出しなが
ら進む。
The vicinity of the microwave inlet 13 is an equivalent circuit of an E-plane branch, and the microwave introduced from the microwave inlet 13 travels in such a way as to be distributed clockwise d 2 and counterclockwise d 1. change. Each slot is provided so as to intersect with the traveling directions d 1 and d 2 of the microwave, and the microwave travels while emitting the microwave from the slot.

【0049】環状導波路は無終端である為、方向d1
2 に伝搬していくマイクロ波は互いに干渉し合い、所
定のモードの定在波を生成する。3gは導波路の中心を
結んで形成される環(輪)を示しており、この長さ即ち
周長を管内波長(路内波長)の整数倍とすれば、定在波
を生成し易くなる。
Since the annular waveguide is endless, the directions d 1 ,
Microwaves propagating to d 2 interfere with each other to generate a standing wave of a predetermined mode. Reference numeral 3g denotes a ring (ring) formed by connecting the centers of the waveguides. If this length, that is, the circumference is an integral multiple of the guide wavelength (the guide wavelength), a standing wave is easily generated. .

【0050】図3の(b)はマイクロ波の進行方向に垂
直な面を示しており、導波路の上下の面3cは電界EF
の向きに垂直なH面となっており、導波管の左右の面3
dは電界EFの向きに平行なE面となっている。
FIG. 3B shows a plane perpendicular to the traveling direction of the microwave, and the upper and lower surfaces 3c of the waveguide are formed by electric fields EF.
H plane perpendicular to the direction of the waveguide.
d is an E plane parallel to the direction of the electric field EF.

【0051】そして、このように導波路のマイクロ波進
行方向に垂直な断面は矩形断面になっている。
The cross section of the waveguide perpendicular to the microwave traveling direction is a rectangular cross section.

【0052】マイクロ波導入口13から環状導波路3a
内に導入されたマイクロ波MWは、分配器10で図中左
右に二分配され、自由空間よりも長い管内波長をもって
伝搬する。
From the microwave inlet 13 to the annular waveguide 3a
The microwave MW introduced into the inside is divided into two right and left sides in the figure by the distributor 10, and propagates with a guide wavelength longer than free space.

【0053】図中EFは、マイクロ波の進行方向に垂直
で且つ導波路3aのH面に垂直な電界ベクトルを示して
いる。例えば管内波長の1/2または1/4毎に設置さ
れたスロット3bから誘導体窓4を透して放射された漏
れ波EWは、スロット4近傍にプラズマP1を生成す
る。また、誘電体窓4の内面524Sに垂直な直線に対
してブリュースタ角以上の角度で入射したマイクロ波
は、誘電体窓4内面524Sで全反射し、誘電体窓4内
面524Sを表面波SWとして伝搬する。表面波SWの
しみだした電界によってプラズマP2が生成される。
In the figure, EF indicates an electric field vector perpendicular to the direction in which the microwave travels and perpendicular to the H plane of the waveguide 3a. For example, the leakage wave EW radiated from the slot 3b provided for every 1/2 or 1/4 of the guide wavelength through the dielectric window 4 generates plasma P1 near the slot 4. Also, the microwave incident on the straight line perpendicular to the inner surface 524S of the dielectric window 4 at an angle equal to or larger than the Brewster angle is totally reflected by the inner surface 524S of the dielectric window 4, and the surface wave SW is applied to the inner surface 524S of the dielectric window 4. Propagate as The plasma P2 is generated by the electric field exuding from the surface wave SW.

【0054】こうしてガスは、発生した高密度プラズマ
により励起され、被処理体Wの表面を処理する。
The gas is excited by the generated high-density plasma to process the surface of the workpiece W.

【0055】このようなプラズマ処理装置を用いること
により、マイクロ波パワー1kW以上で、直径300m
m以上の大口径空間に±3%以内の均一性をもって、電
子密度1012/cm3 以上、電子温度3eV以下、プラ
ズマ電位20V以下の高密度低電位プラズマが発生でき
るので、ガスを充分に反応させ活性な状態で被処理面に
供給できる。しかも、圧力2.7Pa、マイクロ波電力
2kWとした時、誘電体窓内面から8〜10mm離れた
位置でマイクロ波による電流は検出できなくなる。これ
は非常に薄いプラズマの層が出来ることを意味する。よ
って、入射イオンによる基板表面ダメージも低減するの
で、低温でも高品質で高速な処理が可能になる。
By using such a plasma processing apparatus, a microwave power of 1 kW or more and a diameter of 300 m
A high-density low-potential plasma with an electron density of 10 12 / cm 3 or more, an electron temperature of 3 eV or less, and a plasma potential of 20 V or less can be generated in a large-diameter space of m or more with uniformity of ± 3% or less. It can be supplied to the surface to be processed in an activated state. In addition, when the pressure is 2.7 Pa and the microwave power is 2 kW, it is impossible to detect the current by the microwave at a position 8 to 10 mm away from the inner surface of the dielectric window. This means that a very thin layer of plasma is created. Accordingly, substrate surface damage due to incident ions is reduced, so that high-quality and high-speed processing can be performed even at a low temperature.

【0056】図4は、マイクロ波供給器のスロットの位
置と、ガス放出口の位置と、被処理体の位置とを示して
いる。
FIG. 4 shows the position of the slot of the microwave supply device, the position of the gas discharge port, and the position of the object to be processed.

【0057】lwは、被処理体Wの一端から他端までの
距離(H面に平行な方向の間隔)を示しており、Siウ
エハのようにディスク状の被処理体であれば、その口径
に相当する。8インチウエハであればlwは約200m
mである。ガラス基板のように四角形の被処理体であれ
ば、その辺即ち縦又は横の長さに相当する。
1w indicates a distance from one end to the other end of the object W (an interval in a direction parallel to the H plane), and for a disk-shaped object such as a Si wafer, its diameter is Is equivalent to For an 8-inch wafer, lw is about 200m
m. In the case of a square object to be processed such as a glass substrate, the length corresponds to the side, that is, the vertical or horizontal length.

【0058】図4の(a)において、lgは、一方のガ
ス放出口からそれに対向する位置にある別のガス放出口
までの距離(H面に平行な方向の間隔)であり、距離l
gは距離lwより長くなっている。
In FIG. 4A, lg is a distance (an interval in a direction parallel to the H plane) from one gas discharge port to another gas discharge port at a position facing the gas discharge port.
g is longer than the distance lw.

【0059】lsは1つのスロット長さを示しており、
lsoは一方のスロットの外端から該スロットに対向す
る位置にある他方のスロットの外端までの距離(H面に
平行な方向の間隔)を示しており、lso≒lgの関係
を満足する。
Ls represents the length of one slot,
lso indicates a distance (an interval in a direction parallel to the H plane) from the outer end of one slot to the outer end of the other slot at a position facing the slot, and satisfies the relationship lsoslg.

【0060】そして、本発明の実施の形態においてより
好ましくは、スロットが設けられたH面に垂直な方向に
おいて、l1 <l2 なる関係を満足するとよい。ここで
1はスロット直下にある誘電体窓下面(内面)からガ
ス放出口7aまでの距離(法線方向間隔)を示す。
More preferably, in the embodiment of the present invention, the relationship of l 1 <l 2 should be satisfied in the direction perpendicular to the H plane where the slots are provided. Wherein l 1 denotes the distance from the dielectric window lower surface (inner surface) immediately below the slot until gas outlet 7a (normal direction interval).

【0061】l2 はガス放出口7aから被処理体Wの被
処理面までの距離(法線方向間隔)を示す。
Reference numeral 2 denotes a distance from the gas discharge port 7a to the surface to be processed of the workpiece W (interval in the normal direction).

【0062】このように、ガス放出口の位置を被処理体
Wよりも誘電体窓4により近い位置に定めることによ
り、ガスの励起効率又は分解効率をより一層高めること
ができる。
As described above, by setting the position of the gas discharge port closer to the dielectric window 4 than the workpiece W, the gas excitation efficiency or decomposition efficiency can be further improved.

【0063】図4の(b)は、図4の(b)同様にマイ
クロ波供給手段のスロットの位置と、ガス放出口の位置
と、被処理体の位置とを示しており、図4の(a)の例
の変更例である。
FIG. 4B shows the position of the slot of the microwave supply means, the position of the gas discharge port, and the position of the object to be processed, as in FIG. 4B. It is a modification example of the example of (a).

【0064】lwは、被処理体Wの一端から他端までの
距離を示しており、Siウエハのようにディスク状の被
処理体であれば、その口径に相当する。12インチウエ
ハであればlwは約300mmである。ガラス基板のよ
うに四角形の被処理体であれば、その辺即ち縦又は横の
長さに相当する。
1w indicates the distance from one end to the other end of the object W, and corresponds to the diameter of a disk-shaped object such as a Si wafer. For a 12-inch wafer, lw is about 300 mm. In the case of a square object to be processed such as a glass substrate, the length corresponds to the side, that is, the vertical or horizontal length.

【0065】lgは、一方のガス放出口からそれに対向
する位置にあるガス放出口までの距離であり、距離lg
は距離lwより短くなっている。こうして、放出された
ガスがスロット直下のプラズマ密度の高い領域を介して
中心0付近に容易に流れつく。
Lg is the distance from one gas outlet to the gas outlet at a position opposite to it, and the distance lg
Is shorter than the distance lw. Thus, the released gas easily flows to the vicinity of the center 0 through the region having a high plasma density immediately below the slot.

【0066】lsは1つのスロットの長さを示してお
り、lsoは一方のスロットの外端から該スロットに対
向する位置にある他方のスロットの外端までの距離を示
しており、lso<lgの関係を満足する。
Ls indicates the length of one slot, lso indicates the distance from the outer end of one slot to the outer end of the other slot at a position facing the slot, and lso <lg Satisfy the relationship.

【0067】そして、本発明の実施の形態においてより
好ましくは、スロットが設けられたH面に垂直な方向に
おいて、l1 <l2 なる関係を満足するとよい。
In the embodiment of the present invention, it is more preferable that the relationship of l 1 <l 2 is satisfied in a direction perpendicular to the H plane where the slots are provided.

【0068】ここでl1 はスロット直下にある誘電体窓
下面(内面)からガス放出口7aまでの距離を示す。
Here, l 1 indicates the distance from the lower surface (inner surface) of the dielectric window immediately below the slot to the gas outlet 7a.

【0069】l2 はガス放出口7aから、被処理体Wの
被処理面までの距離を示す。
Reference numeral 2 denotes a distance from the gas discharge port 7a to the surface of the workpiece W to be processed.

【0070】このように、ガス放出口の位置を被処理体
Wよりも誘電体窓4により近い位置に定めることによ
り、ガスの励起効率又は分解効率をより一層高めること
ができる。
As described above, by setting the position of the gas discharge port closer to the dielectric window 4 than the target object W, the gas excitation efficiency or decomposition efficiency can be further improved.

【0071】特に図4の(b)の関係を満たす装置は、
図4の(a)に比べて直径300mm以上のウエハー又
はそれに相当する基板のような大面積被処理体の処理に
より適している。
In particular, an apparatus satisfying the relationship shown in FIG.
It is more suitable for processing a large-area object such as a wafer having a diameter of 300 mm or more or a substrate corresponding thereto as compared with FIG.

【0072】(マイクロ波供給手段)本発明に用いられ
るマイクロ波供給手段としては図1〜図3に示したよう
に矩形断面をもち、そのH面に複数のスロットを有する
環状導波路(環状導波管)が好ましく用いられる。より
好ましくは無終端であることが望ましい。
(Microwave Supply Means) As a microwave supply means used in the present invention, as shown in FIGS. 1 to 3, an annular waveguide (annular waveguide) having a rectangular cross section and having a plurality of slots on its H surface. Tube) is preferably used. More preferably, it is desirable to have no end.

【0073】図1の例は、矩形導波管を曲げて環状にし
たリング状の外形であったが、本発明に用いられるマイ
クロ波供給手段は、図5に示すように円盤状の外形をも
つものであってもよい。
The example of FIG. 1 has a ring-shaped outer shape obtained by bending a rectangular waveguide into an annular shape, but the microwave supply means used in the present invention has a disk-shaped outer shape as shown in FIG. You may have.

【0074】図5は、参考例によるマイクロ波供給器を
示す。
FIG. 5 shows a microwave supplier according to a reference example.

【0075】図5のマイクロ波供給器は、無終端環状の
凹部33とマイクロ波導入口13となる開口が形成され
た第1の導電性部材32と、複数のスロット3bが形成
された比較的薄い円板状の第2の導電性部材31との組
み立て体である。
The microwave supply device shown in FIG. 5 has a first conductive member 32 in which an endless annular concave portion 33 and an opening serving as a microwave introduction port 13 are formed, and a relatively thin member in which a plurality of slots 3b are formed. It is an assembly with a disc-shaped second conductive member 31.

【0076】(a)は断面を、(b)は第1の導電部材
32を、(c)は第2の導電部材31をそれぞれ示して
いる。
(A) shows a cross section, (b) shows a first conductive member 32, and (c) shows a second conductive member 31, respectively.

【0077】このようにスロット3bを有するH面を取
りはずし可能に構成すれば次のような効果を奏する。
If the H-plane having the slot 3b can be detached, the following effects can be obtained.

【0078】スロットの形状、スロットの大きさ、スロ
ットの数、スロットの分布、等が異なる複数種の第2の
導電部材31を予じめ作製しておけば、第2導電部材3
1を必要とするマイクロ波の放射強度やプラズマ処理に
応じて適切なものに交換して用いることができる。これ
によりマイクロ波供給器の設計の自由度が増し、且つ低
コストでマイクロ波供給器を作製できる。
If a plurality of types of second conductive members 31 having different shapes, sizes, the number of slots, distribution of slots, etc. are prepared in advance, the second conductive members 3
1 can be replaced with an appropriate one in accordance with the microwave radiation intensity or plasma treatment that requires the use of the same. As a result, the degree of freedom in designing the microwave feeder is increased, and the microwave feeder can be manufactured at low cost.

【0079】又、円板状の第2導電部材31は、破線D
Lにおいて、中心部をくり抜いてドーナツ形に加工して
も良いことは勿論である。
The disk-shaped second conductive member 31 is indicated by a broken line D
In L, of course, the center portion may be hollowed out and processed into a donut shape.

【0080】そして、この第2導電部材31は、第1導
電部材32と前述した誘電体窓とにより挟持されて組み
立てられる。
The second conductive member 31 is assembled by being sandwiched between the first conductive member 32 and the above-described dielectric window.

【0081】図6は、本発明に用いられる別のマイクロ
波供給手段を示している。図6の(a)はその縦の断面
を、図6の(b)はDD′線における横の断面を示して
いる。
FIG. 6 shows another microwave supply means used in the present invention. FIG. 6A shows a vertical cross section, and FIG. 6B shows a horizontal cross section taken along the line DD '.

【0082】このマイクロ波供給器3は、(b)に示す
ように角がとれた四角形の環状導波路3aを有してお
り、フラットパネルディスプレイ用のガラス基板等、四
角形の基板或いは太陽電池用のウエブ基板を被処理体と
する場合に好適である。
This microwave supplier 3 has a rectangular annular waveguide 3a with a sharp corner as shown in FIG. 3B, and is used for a rectangular substrate such as a glass substrate for a flat panel display or a solar cell. This is suitable when the web substrate described above is used as the object to be processed.

【0083】このマイクロ波供給手段3においては、矩
形導波管5から導入されたマイクロ波は、分配器10に
より時計回りd2 と反時計回りd1 とに分かれて進行し
つつ、平面状のH面3cに設けられたスロット3bより
マイクロ波を放出する。両方向d1 ,d2 に進むマイク
ロ波は互いに干渉しながら進み減衰していく。導波路3
a内のマイクロ波の伝搬が安定すると、導波路3a内で
は定在波が生じる。
In the microwave supply means 3, the microwave introduced from the rectangular waveguide 5 is divided into a clockwise d 2 and a counterclockwise d 1 by the distributor 10 while traveling in a plane. Microwaves are emitted from slots 3b provided on the H-plane 3c. Microwaves traveling in both directions d 1 and d 2 attenuate while interfering with each other. Waveguide 3
When the propagation of the microwave in a becomes stable, a standing wave is generated in the waveguide 3a.

【0084】スロット3bから放射されたマイクロ波
は、図3を参照して説明したような原理に基づいて、誘
電体窓4を透して容器1内のプラズマ発生空間内に供給
される。
The microwave radiated from the slot 3b is supplied to the plasma generating space in the container 1 through the dielectric window 4 based on the principle described with reference to FIG.

【0085】容器1内では、ガスが斜めに設けられたガ
ス放出口7aから放出されており、誘電体窓4の直下で
励起され図中の矢印GFで示すように流れる。
In the container 1, gas is discharged from the gas discharge port 7a provided obliquely, and is excited immediately below the dielectric window 4 and flows as indicated by an arrow GF in the figure.

【0086】以上説明した本発明のマイクロ波プラズマ
処理装置に用いられる環状導波路を構成する部材の材質
は、導電体であれば使用可能であるが、マイクロ波の伝
搬ロスをできるだけ抑えるため導電率の高いAl,C
u,Ag/Cuメッキしたステンレス鋼などが最適であ
る。本発明に用いられる環状導波路への導入口は、環状
導波路内のマイクロ波伝搬空間に効率よくマイクロ波を
導入できるものであれば、以上説明したようにH面に設
けてH面に垂直にマイクロ波を導入し導入部で伝搬空間
の左右方向に二分配するものでもよいが、H面に平行な
伝搬空間の接線方向から導入することも可能である。
As the material of the member constituting the annular waveguide used in the microwave plasma processing apparatus of the present invention described above, any material can be used as long as it is a conductor. Al, C with high
u, Ag / Cu-plated stainless steel or the like is optimal. The inlet to the annular waveguide used in the present invention is provided on the H-plane as described above and is perpendicular to the H-plane as long as microwaves can be efficiently introduced into the microwave propagation space in the annular waveguide. The microwave may be introduced into the transmission space and divided into two in the left and right direction of the propagation space by the introduction unit, but may be introduced from the tangential direction of the propagation space parallel to the H plane.

【0087】本発明に用いられるスロットの形状は、マ
イクロ波の伝搬方向に垂直な方向の長さが導波路内の波
長の(管内波長)の1/4以上であれば、矩形でも楕円
形でもS字形でも十字形でもアレイ状でもなんでもよ
い。本発明に用いられるスロット間隔は、特に限定され
るわけではないが、干渉によりスロットを横切る電界が
強め合うように、少なくとも、管内波長の1/2間隔で
スロットが配されるようにする。特に、管内波長の1/
2が最適である。なお、スロットは、たとえば、1〜1
0mm幅、40〜50mm長さの縦長の開口が好まし
い。また、スロットの配置としては、たとえば、環状導
波路の環の中心に対して放射状配置とすることも好まし
いものである。
The shape of the slot used in the present invention may be rectangular or elliptical as long as the length in the direction perpendicular to the microwave propagation direction is at least 1 / of the wavelength in the waveguide (wavelength in the tube). It may be S-shaped, cross-shaped or array-shaped. The slot interval used in the present invention is not particularly limited, but the slots are arranged at least at intervals of a half of the guide wavelength so that the electric field crossing the slot due to interference is strengthened. In particular, 1/1 of the guide wavelength
2 is optimal. The slots are, for example, 1 to 1
A vertically long opening having a width of 0 mm and a length of 40 to 50 mm is preferable. It is also preferable that the slots are arranged radially with respect to the center of the ring of the annular waveguide, for example.

【0088】具体例を図を参照して説明する。A specific example will be described with reference to the drawings.

【0089】図7は本発明のマイクロ波供給手段に用い
られる各種スロットの形状を示す。
FIG. 7 shows the shapes of various slots used in the microwave supply means of the present invention.

【0090】図7の(a)はマイクロ波の進行方向d1
(d2 )に対して、その長手方向が交差する長さll
スロットであり、ピッチlP をもって互いに離間して設
けられている。
FIG. 7A shows the traveling direction d 1 of the microwave.
(D 2 ) is a slot having a length l 1 where the longitudinal direction intersects with (d 2 ), and is provided apart from each other with a pitch l P.

【0091】図7の(b)はマイクロ波の進行方向d1
(d2 )に対して、チルト角θをもって交差するスロッ
トであり、進行方向d1 (d2 )と垂直な方向の成分l
l をもち、ピッチlP をもって互いに離間して設けられ
ている。
FIG. 7B shows the traveling direction d 1 of the microwave.
(D 2 ) is a slot that intersects with a tilt angle θ and has a component l in a direction perpendicular to the traveling direction d 1 (d 2 ).
1 and are spaced apart from each other with a pitch l P.

【0092】図7の(c)はS字形のスロットを示して
いる。
FIG. 7C shows an S-shaped slot.

【0093】スロットの配置間隔即ちピッチlP は前述
したとおり導波路内波長(管内波長)の1/2又は1/
4にするとより好ましい。
As described above, the slot arrangement interval, that is, the pitch l P, is 1 / or 1/1 of the waveguide wavelength (guide wavelength).
A value of 4 is more preferable.

【0094】そしてスロットのマイクロ波の進行方向に
垂直な方向の長さll は管内波長の1/4乃至3/8の
範囲にするとより好ましい。
It is more preferable that the length l 1 of the slot in the direction perpendicular to the traveling direction of the microwave is in the range of 1 / to / of the guide wavelength.

【0095】又、スロットは全て等間隔、例えば管内波
長の1/2ピッチで配される必要はなく、図8に示すよ
うに、等ピッチで配されたスロット群が、管内波長の1
/2より長い間隔をおいて配されていてもよい。
Further, it is not necessary that all the slots are arranged at equal intervals, for example, at a half pitch of the guide wavelength, and as shown in FIG.
They may be arranged at intervals longer than / 2.

【0096】図中破線3gは、環状導波路の中心を結ん
で形成される環(隔)であり、この周長を管内波長の整
数倍にするとよい。
A broken line 3g in the figure is a ring (distance) formed by connecting the centers of the annular waveguides, and it is preferable that the circumference is an integral multiple of the guide wavelength.

【0097】又、投入されるマイクロ波の電力はマイク
ロ波放出強度の均一性向上の為に、環状導波路内を1周
以上より好ましくは2周以上伝搬し得る程度にするとよ
い。この場合は、分配器10を省いた方がよいこともあ
る。
Further, in order to improve the uniformity of the microwave emission intensity, it is preferable that the power of the inputted microwave is such that it can propagate in the annular waveguide one or more rounds, more preferably two or more rounds. In this case, it may be better to omit the distributor 10.

【0098】(誘電体窓)本発明に用いられる誘電体窓
としては、0.8乃至20GHzのマイクロ波を透過し
得るが、ガスを透過させることはない形状又は材料で構
成される。
(Dielectric Window) The dielectric window used in the present invention is formed of a shape or material that can transmit microwaves of 0.8 to 20 GHz but does not transmit gas.

【0099】その形状は、図1に示したようにH面下方
全てを覆うような円板、又はドーナツ状のものであって
もよいし、或いはスロット部分のみを塞ぐように各スロ
ットに対応して設けられてもよい。しかしながら、真空
容器の組み立てを容易にし、スロットの設計の自由度を
幅広くする為には、各スロット共通の板状の部材で構成
した方がより好ましいものである。
The shape may be a disk that covers the entire lower surface of the H plane as shown in FIG. 1, or a donut shape, or may correspond to each slot so as to cover only the slot portion. May be provided. However, in order to facilitate the assembly of the vacuum container and to increase the degree of freedom in designing the slot, it is more preferable to configure the slot with a plate-shaped member common to the slots.

【0100】本発明のマイクロ波プラズマ処理装置及び
処理方法において用いられる誘電体としては、酸化シリ
コン系の石英や各種ガラス、Si34 ,NaCl,K
Cl,LiF,CaF2 ,BaF2 ,Al23 ,Al
N,MgOなどの無機物が適当であるが、ポリエチレ
ン,ポリエステル,ポリカーボネート,セルロースアセ
テート,ポリプロピレン,ポリ塩化ビニル,ポリ塩化ビ
ニリデン,ポリスチレン,ポリアミド,ポリイミドなど
の有機物のフィルム、シートなども適用可能である。
As the dielectric used in the microwave plasma processing apparatus and the processing method of the present invention, silicon oxide-based quartz, various glasses, Si 3 N 4 , NaCl, K
Cl, LiF, CaF 2 , BaF 2 , Al 2 O 3 , Al
Inorganic substances such as N and MgO are suitable, but films and sheets of organic substances such as polyethylene, polyester, polycarbonate, cellulose acetate, polypropylene, polyvinyl chloride, polyvinylidene chloride, polystyrene, polyamide, and polyimide are also applicable.

【0101】特に石英、アルミナ等からなる板状のもの
が好ましく用いられる。
In particular, a plate made of quartz, alumina or the like is preferably used.

【0102】(容器)本発明に用いられる容器として
は、ガスを置換する目的又はプラズマを内部で発生させ
る目的の為に、大気圧より低い圧力に減圧し得る容器が
用いられる。
(Container) As the container used in the present invention, a container capable of reducing the pressure to a pressure lower than the atmospheric pressure is used for the purpose of replacing gas or generating plasma inside.

【0103】容器を構成する部材としては、アルミニウ
ム、ステンレス等の導電体、又は石英やシリコンカーバ
イド等の絶縁体、或いは導電性部材と絶縁性部材との組
み合わせ等により作製される。
The container is made of a conductor such as aluminum or stainless steel, an insulator such as quartz or silicon carbide, or a combination of a conductive member and an insulating member.

【0104】絶縁体を用いる場合には、前述した誘電体
窓と一体化して容器を構成することもできる。
In the case where an insulator is used, a container can be formed integrally with the above-described dielectric window.

【0105】又、導電性容器の内面を絶縁体の膜で被覆
したものであってもよい。
The inner surface of the conductive container may be covered with an insulating film.

【0106】そして、内部を少なくとも0.1mTor
r(約1.33×10-2Pa)程度に減圧できるように
構成する。
Then, the inside is at least 0.1 mTorr.
The pressure is reduced to about r (about 1.33 × 10 −2 Pa).

【0107】(ガス供給手段)本発明に用いられるガス
供給手段としては、マイクロ波供給手段のH面に向けて
ガスを放出する放出口を備えたものが用いられる。ガス
放出口に連通するガス放出路をH面に対して垂直又は斜
めに形成することで、容易にガス放出口の向きを定めら
れる。
(Gas Supply Means) As the gas supply means used in the present invention, a gas supply means having a discharge port for discharging gas toward the H surface of the microwave supply means is used. By forming the gas discharge path communicating with the gas discharge port perpendicularly or obliquely to the H plane, the direction of the gas discharge port can be easily determined.

【0108】ガス放出口は図1に示すように環状導波路
に沿って、互いに離間して設けられた複数の開口であっ
てもよいし、図9の(a)のように環状導波路に沿って
設けられたスリットであってもよい。
The gas outlet may be a plurality of openings provided apart from each other along the annular waveguide as shown in FIG. 1 or may be formed in the annular waveguide as shown in FIG. It may be a slit provided along.

【0109】或いは、図9の(b)のように複数のガス
供給管とすることも出来る。
Alternatively, a plurality of gas supply pipes can be provided as shown in FIG.

【0110】ガスの放出口のH面と平行な方向の位置
は、図4に示したようにすることが、より好ましもので
あり、これにより大面積の均一処理が容易になる。
It is more preferable that the position of the gas discharge port in the direction parallel to the H plane is as shown in FIG. 4, thereby facilitating uniform processing of a large area.

【0111】そして、これらのガス供給手段は、不図示
のマスフローコントローラーやバルブや継手等を介して
ガスボンベ又はベーパライザーに接続される。
These gas supply means are connected to a gas cylinder or a vaporizer via a mass flow controller (not shown), a valve, a joint or the like.

【0112】被処理体の保持手段は、その保持面が平面
であってもよいし、ピン等により数点で保持されるもの
であってもよく、保持面ないし保持点は導電体又は絶縁
体等の各種材料により構成し得る。保持手段には更に、
加熱手段や冷却手段が併設されていてもよい。又、被処
理体の搬入、搬出を容易にすべく、昇降可能なリフトピ
ンを有する構成も保持手段として好ましいものである。
The holding means for the object to be processed may have a flat holding surface or may be held at several points by pins or the like. The holding surface or the holding point may be a conductor or an insulator. And other various materials. The holding means further includes
A heating unit and a cooling unit may be provided. Further, in order to facilitate loading and unloading of the object to be processed, a configuration having lift pins that can be moved up and down is also preferable as the holding means.

【0113】加えて、プラズマ中の粒子の動きや位置を
制御すべく、バイアス印加手段を保持手段に設け、被処
理体に直流ないし交流バイアスを印加し得る構成にする
ことも好ましい。
In addition, in order to control the movement and position of the particles in the plasma, it is preferable to provide a bias applying means in the holding means so that a DC or AC bias can be applied to the object to be processed.

【0114】本発明のマイクロ波プラズマ処理装置及び
処理方法において、より低圧で処理するために、磁界発
生手段を用いても良い。本発明のプラズマ処理装置及び
処理方法において用いられる磁界としては、ミラー磁界
なども適用可能であるが、環状導波路の複数のスロット
の中心を結ぶ曲線上にループ磁界を発生しスロット近傍
の磁界の磁束密度は基板近傍の磁界の磁束密度よりも大
きいマグネトロン磁界が最適である。磁界発生手段とし
ては、コイル以外でも、永久磁石でも使用可能である。
コイルを用いる場合には過熱防止のため水冷機構や空冷
など他の冷却手段を用いてもよい。
In the microwave plasma processing apparatus and the processing method of the present invention, a magnetic field generating means may be used for processing at a lower pressure. As the magnetic field used in the plasma processing apparatus and the processing method of the present invention, a mirror magnetic field or the like can be applied. The magnetic flux density is optimally a magnetron magnetic field larger than the magnetic flux density of the magnetic field near the substrate. As the magnetic field generating means, a permanent magnet other than a coil can be used.
When using a coil, other cooling means such as a water cooling mechanism or air cooling may be used to prevent overheating.

【0115】また、処理のより高品質化のため、紫外光
を基体表面に照射してもよい。光源としては、被処理基
体もしくは基体上に付着したガスに吸収される光を放射
するものなら適用可能で、ArF又はKrF或いはXe
Cl等のダイマーを用いるエキシマレーザ、エキシマラ
ンプ、希ガス共鳴線ランプ、低圧水銀ランプなどが適当
である。
In order to improve the quality of the treatment, the surface of the base may be irradiated with ultraviolet light. As a light source, any light source that emits light absorbed by a substrate to be processed or a gas attached to the substrate can be used. ArF, KrF, or Xe
An excimer laser using a dimer such as Cl, an excimer lamp, a rare gas resonance line lamp, a low-pressure mercury lamp and the like are suitable.

【0116】そして、本発明においては、0.8GHz
乃至20GHzの範囲から選択されるマイクロ波を発生
するマグネトロン等のプラズマ発生器を用いることが好
ましく、チューナーやアイソレーターやモード変換器等
を付設して、所定のモードのマイクロ波を、上述したマ
イクロ波供給器まで伝搬・供給する。
In the present invention, 0.8 GHz
It is preferable to use a plasma generator such as a magnetron that generates a microwave selected from the range of from about 20 GHz to about 20 GHz. Propagation and supply to the feeder.

【0117】マイクロ波供給器の導入口に導入されるマ
イクロ波としてはTEモードのマイクロ波が好ましく用
いられ、特にTEn0モード又はH0nモードと呼ばれる
(ここでnは自然数)マイクロ波を導入することがより
好ましい。
As the microwave introduced into the inlet of the microwave supply device, a TE mode microwave is preferably used. In particular, a microwave called TE n0 mode or H 0n mode (where n is a natural number) is introduced. Is more preferable.

【0118】そして、環状導波路内においても、マイク
ロ波の電界ベクトルはスロット付の平面に垂直なものと
なり、この平面がH面となる。
In the annular waveguide, the electric field vector of the microwave is perpendicular to the plane with the slots, and this plane becomes the H plane.

【0119】環状導波路3a内においても、マイクロ波
はTE10モード(H01モード)で伝搬するが、最終的に
は定在波が生じる場合もあるので、この場合の導波路3
a内のマイクロ波の伝搬モードは別のモードと見なすこ
とも可能である。
[0119] Also in the annular waveguide 3a, but the microwave is propagated in the TE 10 mode (H 01 mode), since eventually sometimes standing waves occur, the waveguide 3 in this case
The propagation mode of the microwave in a can be regarded as another mode.

【0120】次に本発明による処理方法について述べ
る。
Next, the processing method according to the present invention will be described.

【0121】まず、容器1を開けて、被処理体保持手段
2上に被処理体を載せて、容器1を閉じる(図10の工
程S1)。
First, the container 1 is opened, the object is placed on the object holding means 2, and the container 1 is closed (step S1 in FIG. 10).

【0122】次に、容器1内を不図示の真空ポンプによ
り大気圧から約1.3Pa以下になるまで減圧する(図
10の工程S2)。
Next, the pressure in the container 1 is reduced from atmospheric pressure to about 1.3 Pa or less by a vacuum pump (not shown) (step S2 in FIG. 10).

【0123】そして、ガス供給手段7のガス放出口7a
から容器1内にガスを放出する(図10の工程S3)。
The gas outlet 7a of the gas supply means 7
To release the gas into the container 1 (step S3 in FIG. 10).

【0124】容器1内の圧力が安定したら、不図示のマ
イクロ波発振器をオンしてマイクロ波を発生させ、本発
明マイクロ波導入手段3より容器1内にマイクロ波を供
給する(図10の工程S4)。
When the pressure in the container 1 is stabilized, a microwave oscillator (not shown) is turned on to generate a microwave, and the microwave is supplied into the container 1 from the microwave introducing means 3 of the present invention (step shown in FIG. 10). S4).

【0125】容器1に必要に応じて設けられたモニター
窓を透してプラズマ発光を観察する。
The plasma emission is observed through a monitor window provided as necessary in the container 1.

【0126】所定の処理時間が経過したら、マイクロ波
の供給を停止する(図10の工程S5)。
When a predetermined processing time has elapsed, the supply of the microwave is stopped (step S5 in FIG. 10).

【0127】容器1内のガスをパージ用の窒素Ar,H
e,Ne又はクリーンエア等のガスに置換し、大気圧ま
でもどす(図10の工程S6)。
The gas in the container 1 is purged with nitrogen for purging Ar, H
The gas is replaced with a gas such as e, Ne or clean air, and the pressure is returned to the atmospheric pressure (step S6 in FIG. 10).

【0128】そして、容器1を開けて、被処理体を搬出
する(図10の工程S7)。
Then, the container 1 is opened and the object is carried out (step S7 in FIG. 10).

【0129】以上の処理を一枚の被処理体毎にくり返し
行えばよい。
The above processing may be repeated for each object to be processed.

【0130】本発明のマイクロ波プラズマ処理方法にお
けるプラズマ処理室内の圧力は0.1mTorr(約
0.133Pa)乃至10Torr(約1330Pa)
の範囲、より好ましくは、CVDやプラズマ重合や表面
改質の場合1mTorr(約0.133Pa)乃至10
0mTorr(約13.3Pa)、エッチングの場合
0.5mTorr(約0.067Pa)から50mTo
rr(約6.67Pa)、アッシングの場合範囲100
mTorr(約13.3pa)から10Torr(約1
330Pa)の範囲から選択することができる。又、ク
リーニングの場合は0.067Pa〜13.3Paにす
るとよい。
In the microwave plasma processing method of the present invention, the pressure in the plasma processing chamber is 0.1 mTorr (about 0.133 Pa) to 10 Torr (about 1330 Pa).
, More preferably 1 mTorr (about 0.133 Pa) to 10 m in the case of CVD, plasma polymerization or surface modification.
0 mTorr (about 13.3 Pa), and 0.5 mTorr (about 0.067 Pa) to 50 mTorr for etching.
rr (approximately 6.67 Pa), ashing range 100
mTorr (about 13.3 pa) to 10 Torr (about 1
330 Pa). In the case of cleaning, the pressure is preferably set to 0.067 Pa to 13.3 Pa.

【0131】本発明のマイクロ波プラズマ処理方法によ
る堆積膜の形成は、使用するガスを適宜選択することに
よりSi34 ,SiO2 ,Ta25 ,TiO2 ,T
iN,Al23 ,AlN,MgF2 ,AlF3 などの
絶縁膜、a−Si(アモルファスシリコン),poly
−Si(ポリシリコン),SiC,GaAsなどの半導
体膜、Al,W,Mo,Ti,Taなどの金属膜等、T
iN,TiW,TiSiN等の各種の堆積膜を効率よく
形成することが可能である。
The formation of the deposited film by the microwave plasma processing method of the present invention can be performed by appropriately selecting a gas to be used by using Si 3 N 4 , SiO 2 , Ta 2 O 5 , TiO 2 , T 2
iN, Al 2 O 3, AlN , an insulating film such as MgF 2, AlF 3, a- Si ( amorphous silicon), poly
Semiconductor films such as -Si (polysilicon), SiC, GaAs, metal films such as Al, W, Mo, Ti, Ta, etc .;
Various deposited films such as iN, TiW, and TiSiN can be efficiently formed.

【0132】本発明のプラズマ処理方法により処理する
被処理体112は、半導体であっても、導電性のもので
あっても、あるいは電気絶縁性のものであってもよい。
そして、その表面が、半導体、絶縁体、導電体或いはそ
れら3つの複合表面になっていてもよい。
The target object 112 to be processed by the plasma processing method of the present invention may be a semiconductor, a conductive one, or an electrically insulating one.
Then, the surface may be a semiconductor, an insulator, a conductor, or a composite surface of these three.

【0133】導電性基体としては、Fe,Ni,Cr,
Al,Mo,Au,Nb,Ta,V,Ti,Pt,Pb
などの金属またはこれらの合金、例えば真鍮、ステンレ
ス鋼などが挙げられる。
As the conductive substrate, Fe, Ni, Cr,
Al, Mo, Au, Nb, Ta, V, Ti, Pt, Pb
And alloys thereof, such as brass and stainless steel.

【0134】絶縁性基体としては、SiO2 系の石英や
各種ガラス、Si34 ,NaCl,KCl,LiF,
CaF2 ,BaF2 ,Al23 ,AlN,MgOなど
の無機物、ポリエチレン,ポリエステル,ポリカーボネ
ート,セルロースアセテート,ポリプロピレン,ポリ塩
化ビニル,ポリ塩化ビニリデン,ポリスチレン,ポリア
ミド,ポリイミドなどの有機物のフィルム、シートなど
が挙げられる。
Examples of the insulating substrate include SiO 2 -based quartz and various glasses, Si 3 N 4 , NaCl, KCl, LiF,
Inorganic substances such as CaF 2 , BaF 2 , Al 2 O 3 , AlN, MgO, etc., films and sheets of organic substances such as polyethylene, polyester, polycarbonate, cellulose acetate, polypropylene, polyvinyl chloride, polyvinylidene chloride, polystyrene, polyamide, polyimide, etc. Is mentioned.

【0135】CVD法により基板上に薄膜を形成する場
合に用いられるガスとしては、a−Si、poly−s
i、SiCなどのSi系半導体薄膜を形成する場合:S
i原子を含有する原料ガスとしては、SiH4 ,Si2
6 などの無機シラン類,テトラエチルシラン(TE
S),テトラメチルシラン(TMS),ジメチルシラン
(DMS),ジメチルジフルオロシラン(DMDF
S),ジメチルジクロルシラン(DMDCS)などの有
機シラン類、SiF4 ,Si26 ,Si38 ,Si
HF3 ,SiH22 ,SiCl4 ,Si2 Cl6 ,S
iHCl3 ,SiH2Cl2 ,SiH3 Cl,SiCl2
2 などのハロシラン類等、常温常圧でガス状態であ
るものまたは容易にガス化し得るものが挙げられる。ま
た、この場合のSi原料ガスと混合して導入してもよい
添加ガスまたはキャリアガスとしては、H2 ,He,N
e,Ar,Kr,Xe,Rnが挙げられる。
As a gas used for forming a thin film on a substrate by the CVD method, a-Si, poly-s
i, when forming a Si-based semiconductor thin film such as SiC: S
Source gases containing i atoms include SiH 4 , Si 2
Inorganic silanes such as H 6 , tetraethylsilane (TE
S), tetramethylsilane (TMS), dimethylsilane (DMS), dimethyldifluorosilane (DMDF)
S), organic silanes such as dimethyldichlorosilane (DMDCS), SiF 4 , Si 2 F 6 , Si 3 F 8 , Si
HF 3 , SiH 2 F 2 , SiCl 4 , Si 2 Cl 6 , S
iHCl 3 , SiH 2 Cl 2 , SiH 3 Cl, SiCl 2
Examples include halosilanes such as F 2 and the like, which are in a gaseous state at normal temperature and normal pressure, or those which can be easily gasified. In this case, the additive gas or carrier gas which may be introduced by being mixed with the Si source gas is H 2 , He, N
e, Ar, Kr, Xe, and Rn.

【0136】Si34 ,SiO2 などのSi化合物系
薄膜を形成する場合のSi原子を含有する原料として
は、SiH4 ,Si26 などの無機シラン類,テトラ
エトキシシラン(TEOS),テトラメトキシシラン
(TMOS),オクタメチルシクロテトラシラン(OM
CTS),ジメチルジフルオロシラン(DMDFS),
ジメチルジクロルシラン(DMDCS)などの有機シラ
ン類、SiF4 ,Si2 6 ,Si38 ,SiHF
3 ,SiH22 ,SiCl4 ,Si2 Cl6 ,SiH
Cl3 ,SiH2 Cl2 ,SiH3 Cl,SiCl2
2 などのハロシラン類等、常温常圧でガス状態であるも
のまたはベーパライザーやバグラーにより容易にガス化
し得るものが挙げられる。また、この場合の同時に導入
する窒素原料ガスまたは酸素原料ガスとしては、N2
NH3 ,N24 ,ヘキサメチルジシラザン(HMD
S),O2 ,O3 ,H2 O,NO,N2 O,NO2 など
が挙げられる。
SiThree NFour , SiOTwo Si compound such as
As a raw material containing Si atoms when forming thin films
Is SiHFour , SiTwo H6 Inorganic silanes, such as tetra
Ethoxysilane (TEOS), tetramethoxysilane
(TMOS), octamethylcyclotetrasilane (OM
CTS), dimethyldifluorosilane (DMDFS),
Organic sila such as dimethyldichlorosilane (DMDCS)
, SiFFour , SiTwo F 6 , SiThree F8 , SiHF
Three , SiHTwo FTwo , SiClFour , SiTwo Cl6 , SiH
ClThree , SiHTwo ClTwo , SiHThree Cl, SiClTwo F
Two Such as halosilanes are in a gaseous state at normal temperature and pressure
Easier to gasify by or vaporizer or bagler
What can be done. Also in this case introduced at the same time
The nitrogen source gas or oxygen source gas isTwo ,
NHThree , NTwo HFour , Hexamethyldisilazane (HMD
S), OTwo , OThree , HTwo O, NO, NTwo O, NOTwo Such
Is mentioned.

【0137】Al,W,Mo,Ti,Ta,TiWなど
の金属薄膜を形成する場合の金属原子を含有する原料と
しては、トリメチルアルミニウム(TMAl),トリエ
チルアルミニウム(TEAl),トリイソブチルアルミ
ニウム(TIBAl),ジメチルアルミニウムハイドラ
イド(DMAlH),タングステンカルボニル(W(C
O)6 ),モリブデンカルボニル(Mo(CO)6 ),
トリメチルガリウム(TMGa),トリエチルガリウム
(TEGa)などの有機金属、AlCl3 ,WF6 ,T
iCl3 ,TaCl5 などのハロゲン化金属等が挙げら
れる。また、この場合、上述したSi原料ガスと混合し
て導入してもよい。又、添加ガスまたはキャリアガスと
しては、H2 ,He,Ne,Ar,Kr,Xe,Rnが
挙げられる。
When forming a metal thin film such as Al, W, Mo, Ti, Ta, and TiW, the raw materials containing metal atoms include trimethylaluminum (TMAl), triethylaluminum (TEAl), and triisobutylaluminum (TIBAl). , Dimethylaluminum hydride (DMAlH), tungsten carbonyl (W (C
O) 6 ), molybdenum carbonyl (Mo (CO) 6 ),
Organic metals such as trimethylgallium (TMGa) and triethylgallium (TEGa), AlCl 3 , WF 6 , T
Metal halides such as iCl 3 and TaCl 5 are exemplified. In this case, it may be introduced by being mixed with the above-mentioned Si source gas. Examples of the additive gas or the carrier gas include H 2 , He, Ne, Ar, Kr, Xe, and Rn.

【0138】Al23 ,AlN,Ta25 ,TiO
2 ,TiN,WO3 ,TiW,TiSiNなどの金属化
合物薄膜を形成する場合の金属原子を含有する原料とし
ては、トリメチルアルミニウム(TMAl),トリエチ
ルアルミニウム(TEAl),トリイソブチルアルミニ
ウム(TIBAl),ジメチルアルミニウムハイドライ
ド(DMAlH),タングステンカルボニル(W(C
O)6 ),モリブデンカルボニル(Mo(CO)6 ),
トリメチルガリウム(TMGa),トリエチルガリウム
(TEGa)などの有機金属、AlCl3 ,WF6 ,T
iCl3 ,TaCl5 などのハロゲン化金属等が挙げら
れる。また、この場合の同時に導入する酸素原料ガスま
たは窒素原料ガスとしては、O2 ,O3 ,H2 O,N
O,N2 O,NO2 ,N2 ,NH3 ,N24 ,ヘキサ
メチルジシラザン(HMDS)などが挙げられる。
Al 2 O 3 , AlN, Ta 2 O 5 , TiO
Raw materials containing metal atoms when forming a metal compound thin film such as 2 , TiN, WO 3 , TiW, TiSiN include trimethyl aluminum (TMAl), triethyl aluminum (TEAl), triisobutyl aluminum (TIBAl), and dimethyl aluminum. Hydride (DMAlH), tungsten carbonyl (W (C
O) 6 ), molybdenum carbonyl (Mo (CO) 6 ),
Organic metals such as trimethylgallium (TMGa) and triethylgallium (TEGa), AlCl 3 , WF 6 , T
Metal halides such as iCl 3 and TaCl 5 are exemplified. In this case, the oxygen source gas or the nitrogen source gas introduced simultaneously may be O 2 , O 3 , H 2 O, N
O, N 2 O, NO 2 , N 2 , NH 3 , N 2 H 4 , hexamethyldisilazane (HMDS) and the like.

【0139】基体表面をエッチングする場合の処理用ガ
ス導入口115から導入するエッチング用ガスとして
は、F2 ,CF4 ,CH22 ,C26 ,CF2 Cl
2 ,SF6 ,NF3 ,Cl2 ,CCl4 ,CH2 Cl
2 ,C2 Cl6 などが挙げられる。
As the etching gas introduced from the processing gas inlet 115 for etching the substrate surface, F 2 , CF 4 , CH 2 F 2 , C 2 F 6 , CF 2 Cl
2 , SF 6 , NF 3 , Cl 2 , CCl 4 , CH 2 Cl
2 , C 2 Cl 6 and the like.

【0140】フォトレジストなど基体表面上の有機成分
をアッシング除去する場合の処理用ガス導入口115か
ら導入するアッシング用ガスとしては、O2 ,O3 ,H
2 O,NO,N2 O,NO2 などが挙げられる。
As the ashing gas introduced from the processing gas inlet 115 for removing ashing such as photoresist from organic components on the substrate surface, O 2 , O 3 , H
2 O, NO, N 2 O, NO 2 and the like.

【0141】又、クリーニングの場合は、上記エッチン
グ用ガス又はアッシング用ガス或いは水素ガスや不活性
ガスが用いられる。
In the case of cleaning, the above etching gas, ashing gas, hydrogen gas or inert gas is used.

【0142】また、本発明のマイクロ波プラズマ処理装
置及び処理方法を表面改質にも適用する場合、使用する
ガスを適宜選択することにより、例えばSi,Al,T
i,Zn,Taなどからなる基体もしくは表面層の酸化
処理あるいは窒化処理さらにはB,As,Pなどのドー
ピング処理等を行うこともできる。更に本発明において
採用する成膜技術は上述したとおりクリーニング方法に
も適用できる。その場合酸化物あるいは有機物や重金属
などのクリーニングに使用することもできる。
When the microwave plasma processing apparatus and the processing method of the present invention are applied to surface modification, for example, Si, Al, T
Oxidation treatment or nitridation treatment of the substrate or surface layer made of i, Zn, Ta, or the like, and doping treatment of B, As, P, or the like can also be performed. Further, the film forming technique employed in the present invention can be applied to the cleaning method as described above. In that case, it can be used for cleaning oxides, organic substances, heavy metals, and the like.

【0143】基体を酸化表面処理する場合の酸化性ガス
としては、O2 ,O3 ,H2 O,NO,N2 O,NO2
などが挙げられる。また、基体を窒化表面処理する場合
の窒化性ガスとしてはN2 ,NH3 ,N24 、ヘキサ
メチルジシラザン(HMDS)などが挙げられる。
The oxidizing gas used when the substrate is subjected to an oxidizing surface treatment is O 2 , O 3 , H 2 O, NO, N 2 O, NO 2
And the like. In addition, as the nitriding gas when the substrate is subjected to the nitriding surface treatment, N 2 , NH 3 , N 2 H 4 , hexamethyldisilazane (HMDS) and the like can be mentioned.

【0144】特に、基体表面の有機物をクリーニングす
る場合、またはフォトレジストなど基体表面上の有機成
分をアッシング除去する場合のガス導入口105から導
入するクリーニング/アッシング用ガスとしては、O
2 ,O3 ,H2 O,NO,N2O,NO2 などが挙げら
れる。また、基体表面の無機物をクリーニングする場合
のプラズマ発生用ガス導入口から導入するクリーニング
用ガスとしては、F2 ,CF4 ,CH22 ,C2
6 ,CF2 Cl2 ,SF6 ,NF3 などが挙げられる。
In particular, the gas for cleaning / ashing introduced from the gas inlet 105 when cleaning organic substances on the surface of the substrate or ashing and removing organic components such as photoresist on the surface of the substrate is O
2 , O 3 , H 2 O, NO, N 2 O, NO 2 and the like. The cleaning gas introduced from the gas introduction port for plasma generation when cleaning the inorganic substance on the substrate surface is F 2 , CF 4 , CH 2 F 2 , C 2 F
6 , CF 2 Cl 2 , SF 6 , NF 3 and the like.

【0145】又、マイクロ波電源より供給するマイクロ
波電力は、マイクロ波放射強度の均一性向上の為に、環
状導波路内を1周以上より好ましくは2周以上スロット
よりマイクロ波を放射しつつ伝搬できるに充分な値とす
るとよい。この場合、分配器は設けない方がよい。
The microwave power supplied from the microwave power source radiates the microwave from the slot in the annular waveguide one or more rounds, preferably two or more rounds, in order to improve the uniformity of the microwave radiation intensity. The value should be sufficient to allow propagation. In this case, it is better not to provide a distributor.

【0146】(プラズマ処理装置)以下マイクロ波プラ
ズマ処理装置をより具体的に説明する。
(Plasma Processing Apparatus) Hereinafter, the microwave plasma processing apparatus will be described more specifically.

【0147】(第1のプラズマ処理装置)第1のプラズ
マ処理装置の具体例は図1、図2を参照して説明したと
おりのものである。
(First Plasma Processing Apparatus) A specific example of the first plasma processing apparatus is as described with reference to FIGS.

【0148】(第2のプラズマ処理装置)マイクロ波プ
ラズマ処理装置の、二分配干渉型平板状スロット付環状
導波管を用いた装置例を、図11を用いて説明する。1
09は容器101内のプラズマ発生室、104はプラズ
マ処理室109を大気側から分離する誘電体窓、103
はマイクロ波をプラズマ発生室109内に供給するため
のマイクロ波供給手段管、105は平板状スロット付H
面をもつ環状導波路103b内にマイクロ波を導入する
為の矩形導波管、103aはマイクロ派供給手段103
内をマイクロ波が伝搬する矩形断面をもつマイクロ波伝
搬空間である導波路、103bはマイクロ波を導入する
スロット、Wは被処理体、102は保持手段、114は
加熱手段としてのヒーター、107はガス供給手段、1
08は排気口である。
(Second Plasma Processing Apparatus) An example of a microwave plasma processing apparatus using a two-partition interference type flat annular waveguide with slots will be described with reference to FIG. 1
09 is a plasma generation chamber in the container 101, 104 is a dielectric window for separating the plasma processing chamber 109 from the atmosphere side, 103
Is a microwave supply means tube for supplying microwaves into the plasma generation chamber 109, and 105 is a flat slotted H
A rectangular waveguide 103a for introducing microwaves into the annular waveguide 103b having a surface;
A waveguide, which is a microwave propagation space having a rectangular cross section through which microwaves propagate, 103b is a slot for introducing microwaves, W is an object to be processed, 102 is a holding unit, 114 is a heater as a heating unit, and 107 is a heater. Gas supply means, 1
08 is an exhaust port.

【0149】このプラズマ処理装置においては、ガス供
給系は、少なくともガスボンベ又は気化器或いはバブラ
ー等のガス源21と、バルブ22と、マスフローコント
ローラー23とを有しており、マスフローコントローラ
ー23によりプラズマ発生室109への供給ガス量が制
御される。そして、ガスは斜め上方を向いたガス放出口
107aより放出される。
In this plasma processing apparatus, the gas supply system has at least a gas source 21 such as a gas cylinder or a vaporizer or a bubbler, a valve 22, and a mass flow controller 23. The amount of gas supplied to 109 is controlled. Then, the gas is discharged from the gas discharge port 107a facing obliquely upward.

【0150】又、ガス排気系は、少なくとも排気コンダ
クタンス制御バルブ26と開閉バルブ25と真空ポンプ
24とを有しており、排気コンダクタンス制御バルブ2
6によって、プラズマ発生室109内の処理時の圧力が
制御される。
The gas exhaust system has at least an exhaust conductance control valve 26, an opening / closing valve 25, and a vacuum pump 24.
The pressure at the time of processing in the plasma generation chamber 109 is controlled by 6.

【0151】そして6はマイクロ波電源を示しており、
マグネトロン等のマイクロ波発振器を有し、加えて、そ
こにはチューナーやアイソレーターやモード変換器等の
調整手段が必要に応じて付設されている。
Reference numeral 6 denotes a microwave power supply.
It has a microwave oscillator such as a magnetron, and in addition, adjusting means such as a tuner, an isolator, and a mode converter are additionally provided as necessary.

【0152】プラズマの発生及び処理は以下のようにし
て行なう。被処理体Wを基体保持手段102上に設置
し、ヒーター114を用いて基体Wを所望の温度まで加
熱する。排気系(不図示)を介してプラズマ発生室10
9内を真空排気する。続いて、プラズマ処理用ガスをガ
ス供給手段107を介して所定の流量でプラズマ発生室
109内に導入する。次に、排気系(不図示)に設けら
れたコンダクタンスバルブ(不図示)を調整し、プラズ
マ発生室109内を所定の圧力に保持する。マイクロ波
電源(不図示)より所望の電力を、導波管105よりT
10モードで環状導波管103内に導入する。導入され
たマイクロ波は、分配器110で二分配され空間103
a内を伝搬する。二分配されたマイクロ波は干渉し合
い、定在波が生じる。マイクロ波は、管内波長の1/2
毎にスロット103bを横切る電界を強め、スロット1
03bを介し誘電体窓104を透してプラズマ発生室1
09に供給される。プラズマ発生室109内に供給され
たマイクロ波の電界により電子が加速され、例えばプラ
ズマ処理室109の上部にプラズマPが発生する。この
際、処理用ガスは、発生した高密度プラズマにより励起
され、保持手段102上に載置された被処理基体Wの表
面を処理する。
The generation and processing of plasma are performed as follows. The object W is placed on the substrate holding means 102, and the substrate W is heated to a desired temperature using the heater 114. Plasma generation chamber 10 via an exhaust system (not shown)
The inside of 9 is evacuated. Subsequently, a plasma processing gas is introduced into the plasma generation chamber 109 at a predetermined flow rate via the gas supply means 107. Next, a conductance valve (not shown) provided in an exhaust system (not shown) is adjusted to maintain the inside of the plasma generation chamber 109 at a predetermined pressure. A desired power is supplied from a microwave power supply (not shown) to the T
It is introduced into the circular waveguide 103 E 10 mode. The introduced microwave is split into two by the splitter 110 and the space 103
Propagate in a. The divided microwaves interfere with each other to generate a standing wave. The microwave is 1 / of the guide wavelength
In each case, the electric field across slot 103b is
Plasma generation chamber 1 through dielectric window 104
09. The electrons are accelerated by the electric field of the microwave supplied into the plasma generation chamber 109, and a plasma P is generated, for example, in the upper part of the plasma processing chamber 109. At this time, the processing gas is excited by the generated high-density plasma, and processes the surface of the processing target substrate W placed on the holding means 102.

【0153】誘電体窓104は、直径299mm、厚さ
12mmの合成石英で作製する。平板状スロット付環状
導波管103は、内壁断面の寸法が27mm×96mm
であって、中心径が202mmのTE10モードのマイク
ロ波を伝搬し得るものである。平板状スロット付環状導
波管103の材質は、マイクロ波の伝搬損失を抑えるた
め、すべてA1を用いる。平板状スロット付環状導波管
103のH面には、マイクロ波をプラズマ発生室109
へ導入するためのスロットが形成されている。スロット
の形状は長さ42mm、幅3mmの矩形であり、管内波
長の1/2間隔に放射状に形成されている。管内波長
は、使用するマイクロ波の周波数と、導波管の断面の寸
法とに依存するが、周波数2.45GHZ のマイクロ波
と、上記の寸法の導波管とを用いた場合には約159m
mである。使用した平板状スロット付環状導波管103
では、スロット103bは約79.5mm間隔で8個形
成されている。平板状スロット付環状導波管103に
は、4Eチューナ、方向性結合器、アイソレータ、2.
45GHZ の周波数を持つマイクロ波電源(不図示)が
順に接合されており、TE10モードのマイクロ波を導入
するようになっている。
The dielectric window 104 is made of synthetic quartz having a diameter of 299 mm and a thickness of 12 mm. The annular waveguide 103 with a flat slot has an inner wall cross-sectional dimension of 27 mm × 96 mm.
A is a central diameter it is capable of propagating microwaves of TE 10 mode 202 mm. A1 is used for the material of the annular waveguide 103 with a slot in the form of a plate in order to suppress the propagation loss of the microwave. Microwaves are applied to the H-plane of the annular waveguide 103 with a slot in the form of a plate.
A slot is formed for introduction into the device. The shape of the slot is a rectangle having a length of 42 mm and a width of 3 mm, and is radially formed at a half interval of the guide wavelength. Guide wavelength is a frequency of microwave to be used depends on the dimensions of the cross section of the waveguide, approximately in the case of using a microwave frequency 2.45 GHz Z, and a waveguide of the dimensions 159m
m. Used annular waveguide 103 with flat slot
In the figure, eight slots 103b are formed at intervals of about 79.5 mm. In the annular waveguide 103 with a flat slot, a 4E tuner, a directional coupler, an isolator, and 2.
45GH microwave power having a frequency of Z (not shown) are bonded in this order, so as to introduce microwave TE 10 mode.

【0154】図11に示したマイクロ波プラズマ処理装
置を使用して、Ar流量500sccm、圧力10mT
orrと1Torr、マイクロ波パワー1.5kWの条
件でプラズマを発生させ、得られたプラズマの計測を行
った。プラズマ計測は、シングルプローブ法により以下
のようにして行った。プローブに印加する電圧を−50
から+100Vの範囲で変化させ、プローブに流れる電
流をI−V測定器により測定し、得られたI−V曲線か
らラングミュアらの方法により電子密度、電子温度、プ
ラズマ電位を算出した。その結果、電子密度は、10m
Torrの場合1.3×1012/cm3 ±2.1%(φ
200面内)、1Torrの場合7.2×1011/cm
3 ±5.3%(φ200面内)であり、高圧領域でも高
密度で均一なプラズマが形成されていることが確認され
た。
Using the microwave plasma processing apparatus shown in FIG. 11, an Ar flow rate of 500 sccm and a pressure of 10 mT
Plasma was generated under the conditions of orr, 1 Torr, and microwave power of 1.5 kW, and the obtained plasma was measured. The plasma measurement was performed by the single probe method as follows. Apply a voltage of -50 to the probe.
The current flowing through the probe was measured by an IV measuring instrument, and the electron density, electron temperature and plasma potential were calculated from the obtained IV curve by the method of Langmuir et al. As a result, the electron density is 10 m
In the case of Torr, 1.3 × 10 12 / cm 3 ± 2.1% (φ
Within 200 planes) 7.2 × 10 11 / cm at 1 Torr
3 ± 5.3% (within φ200 plane), and it was confirmed that high-density and uniform plasma was formed even in a high-pressure region.

【0155】(第3のプラズマ処理装置)マイクロ波プ
ラズマ処理装置の、接線導入型平板状スロット付環状導
波管を用いた装置例を、図12を用いて説明する。10
9はプラズマ発生室、104はプラズマ処理室109を
大気側から分離する誘電体窓、103はマイクロ波をプ
ラズマ発生室109に供給するためのマイクロ波供給手
段、205は平板状スロット付環状導波管103の外周
の正面に設けられたマイクロ波を導入する導入部、10
3aは平板状スロット付環状導波管103内をマイクロ
波が伝搬する矩形断面をもつマイクロ波伝搬空間、10
3bは平板状スロット付環状導波管103のH面に設け
られたマイクロ波を放射するスロット、102は被処理
体Wの保持手段、114は被処理体Wを加熱するヒー
タ、107は処理用ガス導入手段、108は排気口であ
る。
(Third Plasma Processing Apparatus) An example of a microwave plasma processing apparatus using a tangentially-introduced flat annular waveguide with a slot will be described with reference to FIG. 10
9 is a plasma generation chamber, 104 is a dielectric window for separating the plasma processing chamber 109 from the atmosphere side, 103 is a microwave supply means for supplying microwaves to the plasma generation chamber 109, and 205 is an annular waveguide with a flat slot. An introduction unit 10 for introducing microwaves provided in front of the outer periphery of the tube 103;
Reference numeral 3a denotes a microwave propagation space having a rectangular cross section through which the microwave propagates in the annular waveguide 103 having a slot with a flat plate, and 10;
3b is a slot for emitting microwaves provided on the H-plane of the annular waveguide 103 with a slot in the form of a plate, 102 is holding means for the object W, 114 is a heater for heating the object W, and 107 is a member for processing. The gas introduction means 108 is an exhaust port.

【0156】このプラズマ処理装置においては、ガス供
給系は、少なくともガスボンベ又は気化器或いはバブラ
ー等のガス源21と、バルブ22と、マスフローコント
ローラー23とを有しており、マスフローコントローラ
ー23によりプラズマ発生室109への供給ガス量が制
御される。ガスは斜め上方を向いたガス放出口107a
より誘電体窓に向けて放出される。 又、ガス排気系
は、少なくとも排気コンダクタンス制御バルブ26と開
閉バルブ25と真空ポンプ24とを有しており、排気コ
ンダクタンス制御バルブ26によって、プラズマ発生室
109内の処理時の圧力が制御される。
In this plasma processing apparatus, the gas supply system has at least a gas source 21 such as a gas cylinder or a vaporizer or a bubbler, a valve 22, and a mass flow controller 23. The amount of gas supplied to 109 is controlled. The gas is discharged from the gas outlet 107a facing obliquely upward.
It is emitted more towards the dielectric window. Further, the gas exhaust system has at least an exhaust conductance control valve 26, an opening / closing valve 25, and a vacuum pump 24, and the exhaust conductance control valve 26 controls the pressure in the plasma generation chamber 109 during processing.

【0157】そして、6はマイクロ波電源を示してお
り、マグネトロン等のマイクロ波発振器を有し、加え
て、そこにはチューナーやアイソレーターやモード変換
器等の調整手段が必要に応じて付設されている。
Reference numeral 6 denotes a microwave power supply, which has a microwave oscillator such as a magnetron, and further has adjusting means such as a tuner, an isolator, and a mode converter if necessary. I have.

【0158】プラズマ処理は以下のとおりである。The plasma processing is as follows.

【0159】被処理体Wを保持手段102上に載せ、ヒ
ーター144にて被処理体Wを所定の温度まで加熱す
る。
The object W is placed on the holding means 102, and the object W is heated by the heater 144 to a predetermined temperature.

【0160】排気系(不図示)を介してプラズマ発生室
109内を真空排気する。続いて、プラズマ処理用ガス
を処理用ガス放出口107aを介して所定の流量でプラ
ズマ発生室109内に導入する。次に、排気系(不図
示)に設けられたコンダクタンスバルブ(不図示)を調
整し、室109内を所定の圧力に保持する。マイクロ波
電源(不図示)より所望の電力を、導入部205より平
板状スロット付環状導波管203内に接線導入する。導
入されたTE10モードのマイクロ波は、管内波長の1/
2毎に形成されたスロット103bを介し誘電体窓10
4を透してプラズマ発生室109内に供給される。室1
09内に供給されずに管103内を1周伝搬したマイク
ロ波は、導入部205で新たに導入されたマイクロ波と
干渉し強め合い、数周伝搬するまでにほとんどのマイク
ロ波はプラズマ発生室109内に供給される。供給され
たマイクロ波の電界により電子が加速され、プラズマ発
生109の上方にプラズマPが発生する。この際、処理
用ガスは発生した高密度プラズマにより励起され、保持
手段102上に載置された被処理体Wの表面を処理す
る。
The interior of the plasma generation chamber 109 is evacuated via an exhaust system (not shown). Subsequently, a plasma processing gas is introduced into the plasma generation chamber 109 at a predetermined flow rate through the processing gas discharge port 107a. Next, a conductance valve (not shown) provided in an exhaust system (not shown) is adjusted to maintain the inside of the chamber 109 at a predetermined pressure. A desired power from a microwave power supply (not shown) is tangentially introduced into the annular waveguide 203 with a flat slot from the introduction unit 205. The introduced TE 10 mode microwaves are 1/1 of the guide wavelength.
The dielectric window 10 is formed through the slot 103b formed every two.
4 and is supplied into the plasma generation chamber 109. Room 1
The microwaves that have not been supplied into the tube 09 but have propagated one round in the tube 103 interfere with each other and are strengthened by the microwaves newly introduced by the introduction unit 205. 109. The electrons are accelerated by the supplied electric field of the microwave, and plasma P is generated above the plasma generation 109. At this time, the processing gas is excited by the generated high-density plasma, and processes the surface of the workpiece W placed on the holding means 102.

【0161】誘電体窓104は、直径299mm、厚さ
16mmの合成石英の板である。平板状スロット付環状
導波管103は、内壁断面の寸法が27mm×96mm
の矩形断面をもち、中心径が202mmの前述したもの
と同じ導波管である。平板状スロット付環状導波管10
3の材質は、マイクロ波の伝搬損失を抑えるため、すべ
てA1を用いている。平板状スロット付環状導波管10
3のH面には、マイクロ波をプラズマ発生室109へ導
入するためのスロットが形成されている。スロットの形
状は長さ42mm、幅3mmの矩形であり、管内波長の
1/2間隔に放射状に形成されている。管内波長は、使
用するマイクロ波の周波数と、導波管の断面の寸法とに
依存するが、周波数2.45GHZ のマイクロ波と、上
記の寸法の導波管とを用いた場合には約159mmであ
る。使用した平板状スロット付環状導波管103では、
スロットは約79.5mm間隔で8個形成されている。
平板状スロット付環状導波管103には、4Eチュー
ナ、方向性結合器、アイソレータ、2.45GHZ の周
波数を持つマイクロ波電源(不図示)が順に接合されて
いる。
The dielectric window 104 is a synthetic quartz plate having a diameter of 299 mm and a thickness of 16 mm. The annular waveguide 103 with a flat slot has an inner wall cross-sectional dimension of 27 mm × 96 mm.
This is the same waveguide as described above, having a rectangular cross section and a center diameter of 202 mm. Annular waveguide 10 with flat slot
All of the materials No. 3 use A1 in order to suppress microwave propagation loss. Annular waveguide 10 with flat slot
A slot for introducing microwaves into the plasma generation chamber 109 is formed in the H plane 3. The shape of the slot is a rectangle having a length of 42 mm and a width of 3 mm, and is radially formed at a half interval of the guide wavelength. Guide wavelength is a frequency of microwave to be used depends on the dimensions of the cross section of the waveguide, approximately in the case of using a microwave frequency 2.45 GHz Z, and a waveguide of the dimensions 159 mm. In the used annular waveguide 103 with a flat slot,
Eight slots are formed at intervals of about 79.5 mm.
A flat slotted annular waveguide 103, 4E tuner, a directional coupler, an isolator, a microwave power having a frequency of 2.45 GHz Z (not shown) is joined in this order.

【0162】図12に示したマイクロ波プラズマ処理装
置を使用して、Ar流量500sccm、圧力10mT
orrと1Torr、マイクロ波パワー1.5kWの条
件でプラズマを発生させ、得られたプラズマの計測を行
った。プラズマ計測は、シングルプローブ法により以下
のようにして行った。プローブに印加する電圧を−50
から+100Vの範囲で変化させ、プローブに流れる電
流をI−V測定器により測定し、得られたI−V曲線か
らラングミュアらの方法により電子密度、電子温度、プ
ラズマ電位を算出した。その結果、電子密度は、10m
Torrの場合1.8×1012/cm3 ±2.3%(φ
200面内)、1Torrの場合7.7×1011/cm
3 ±5.6%(φ200面内)であり、高圧領域でも高
密度で均一なプラズマが形成されていることが確認され
た。
Using the microwave plasma processing apparatus shown in FIG. 12, an Ar flow rate of 500 sccm and a pressure of 10 mT
Plasma was generated under the conditions of orr, 1 Torr, and microwave power of 1.5 kW, and the obtained plasma was measured. The plasma measurement was performed by the single probe method as follows. Apply a voltage of -50 to the probe.
The current flowing through the probe was measured by an IV measuring instrument, and the electron density, electron temperature and plasma potential were calculated from the obtained IV curve by the method of Langmuir et al. As a result, the electron density is 10 m
In the case of Torr, 1.8 × 10 12 / cm 3 ± 2.3% (φ
7.7 × 10 11 / cm at 1 Torr
3 ± 5.6% (within φ200 plane), and it was confirmed that high-density and uniform plasma was formed even in a high-pressure region.

【0163】(第4のプラズマ処理装置)RFバイアス
印加機構を用いたマイクロ波プラズマ処理装置について
図13を用いて説明する。109はプラズマ発生室、1
04はプラズマ発生室109を大気側から分離する誘電
体窓、103はマイクロ波をプラズマ発生室109に供
給するためのマイクロ波供給手段、102は被処理体W
の保持手段、114は被処理体を加熱するヒータ、10
7はガス供給手段、108は排気口、302はRFバイ
アス印加手段である。
(Fourth Plasma Processing Apparatus) A microwave plasma processing apparatus using an RF bias applying mechanism will be described with reference to FIG. 109 is a plasma generation chamber, 1
04 is a dielectric window for separating the plasma generation chamber 109 from the atmosphere side, 103 is a microwave supply means for supplying microwaves to the plasma generation chamber 109, and 102 is the workpiece W
Holding means 114, a heater for heating the object to be processed,
7 is a gas supply means, 108 is an exhaust port, and 302 is an RF bias applying means.

【0164】このプラズマ処理装置においては、ガス供
給系は、少なくともガスボンベ又は気化器或いはバブラ
ー等のガス源21と、バルブ22と、マスフローコント
ローラー23とを有しており、マスフローコントローラ
ー23によりプラズマ発生室109への供給ガス量が制
御される。ガスはガス放出口107aより誘電体窓10
4に向けて斜め上方に放出される。
In this plasma processing apparatus, the gas supply system has at least a gas source 21 such as a gas cylinder or a vaporizer or a bubbler, a valve 22, and a mass flow controller 23. The amount of gas supplied to 109 is controlled. The gas is passed through the dielectric window 10 through the gas outlet 107a.
It is emitted obliquely upward toward 4.

【0165】又、ガス排気系は、少なくとも排気コンダ
クタンス制御バルブ26と開閉バルブ25と真空ポンプ
24とを有しており、排気コンダクタンス特許バルブ2
6によって、プラズマ発生室109内の処理時の圧力が
制御される。
The gas exhaust system has at least an exhaust conductance control valve 26, an opening / closing valve 25, and a vacuum pump 24.
The pressure at the time of processing in the plasma generation chamber 109 is controlled by 6.

【0166】そして、6はマイクロ波電源を示してお
り、マグネトロン等のマイクロ波発振器を有し、加え
て、そこにはチューナーやアイソレーターやモード変換
器等の調整手段が必要に応じて付設されている。
Reference numeral 6 denotes a microwave power supply, which has a microwave oscillator such as a magnetron, and in addition, adjusting means such as a tuner, an isolator, and a mode converter are additionally provided as necessary. I have.

【0167】プラズマの発生及び処理は以下のようにし
て行なう。被処理体Wを保持手段102上に設置し、ヒ
ータ114を用いて所望の温度に加熱する。排気系(2
4〜26)を介してプラズマ発生室109内を真空排気
する。続いて、プラズマ処理用ガスをガス供給手段10
7をより所定の流量でプラズマ発生室109内に導入す
る。次に、排気系(24〜26)に設けられたコンダク
タンス制御バルブ26を調整し、プラズマ発生室109
内を所定の圧力に維持する。RFバイアス印加手段30
2を用いて保持手段102にRF電力を供給するととも
に、マイクロ波電源6より所望の電力を、マイクロ波供
給手段103のスロット103bを介し誘電体窓104
を透してプラズマ発生室109に供給する。プラズマ発
生室109内に供給されたマイクロ波の電界により電子
が加速され、プラズマ発生室109内にプラズマが発生
する。この際、処理用ガスは発生した高密度プラズマに
より励起され、保持手段102上に載置された被処理体
Wの被処理面を処理する。また、RFバイアスにより被
処理体に入射するイオンの運動エネルギーを制御でき
る。
The generation and processing of plasma are performed as follows. The workpiece W is placed on the holding means 102 and heated to a desired temperature using the heater 114. Exhaust system (2
4 to 26), the inside of the plasma generation chamber 109 is evacuated. Subsequently, the plasma processing gas is supplied to the gas supply means 10.
7 is introduced into the plasma generation chamber 109 at a more predetermined flow rate. Next, the conductance control valve 26 provided in the exhaust system (24 to 26) is adjusted, and the plasma generation chamber 109 is adjusted.
Is maintained at a predetermined pressure. RF bias applying means 30
RF power is supplied to the holding means 102 by using the microwave power supply 2 and desired power is supplied from the microwave power source 6 through the slot 103 b of the microwave supply means 103.
To the plasma generation chamber 109. Electrons are accelerated by the electric field of the microwave supplied into the plasma generation chamber 109, and plasma is generated in the plasma generation chamber 109. At this time, the processing gas is excited by the generated high-density plasma, and processes the processing surface of the processing target W placed on the holding unit 102. Further, the kinetic energy of ions incident on the object can be controlled by the RF bias.

【0168】冷却手段を用いたマイクロ波プラズマ処理
装置について、図14を参照して説明する。109はプ
ラズマ発生室、104はプラズマ発生室109を大気側
から分離する誘電体窓、203はマイクロ波をプラズマ
発生室109に導入するためのマイクロ波供給手段であ
り、平板状スロット付無終端環状導波管からなる。10
2は被処理体Wの保持手段、414は被処理体を冷却す
るクーラ、107はガス供給手段、108は排気口、3
02はRFバイアス印加手段である。
A microwave plasma processing apparatus using cooling means will be described with reference to FIG. Reference numeral 109 denotes a plasma generation chamber; 104, a dielectric window for separating the plasma generation chamber 109 from the atmosphere; and 203, a microwave supply means for introducing microwaves into the plasma generation chamber 109. Consists of a waveguide. 10
2 is a holding means for the object W, 414 is a cooler for cooling the object, 107 is a gas supply means, 108 is an exhaust port,
02 is an RF bias applying means.

【0169】このプラズマ処理装置においては、ガス供
給系は、少なくともガスボンベ又は気化器或いはバブラ
ー等のガス源21と、バルブ22と、マスフローコント
ローラー23とを有しており、マスフローコントローラ
ー23によりプラズマ発生室109への供給ガス量が制
御される。ガスはガス放出口より斜め上方に放出され
る。
In this plasma processing apparatus, the gas supply system has at least a gas source 21 such as a gas cylinder or a vaporizer or a bubbler, a valve 22, and a mass flow controller 23. The amount of gas supplied to 109 is controlled. The gas is discharged obliquely upward from the gas discharge port.

【0170】又、ガス排気系は、少なくとも排気コンダ
クタンス制御バルブ26と開閉バルブ25と真空ポンプ
24とを有しており、排気コンダクタンス特許バルブ2
6によって、プラズマ発生室109内の処理時の圧力が
制御される。
The gas exhaust system has at least an exhaust conductance control valve 26, an opening / closing valve 25, and a vacuum pump 24.
The pressure at the time of processing in the plasma generation chamber 109 is controlled by 6.

【0171】そして、6はマイクロ波電源を示してお
り、マグネトロン等のマイクロ波発振器を有し、加え
て、そこにはチューナーやアイソレーターやモード変換
器等の調整手段が必要に応じて付設されている。
Reference numeral 6 denotes a microwave power supply, which has a microwave oscillator such as a magnetron, and further includes adjusting means such as a tuner, an isolator, and a mode converter as necessary. I have.

【0172】冷却手段414は、冷媒を導入する導入管
415、冷媒を導出する導出管416とを有するヒート
パイプ417を備えている。
The cooling means 414 includes a heat pipe 417 having an inlet pipe 415 for introducing the refrigerant and an outlet pipe 416 for extracting the refrigerant.

【0173】被処理体Wのエッチングやスパッタリング
現像により昇温し発生した熱はヒートパイプ417によ
り外部に放出される。
The heat generated by heating the workpiece W by etching or sputtering development is released to the outside by the heat pipe 417.

【0174】又、このプラズマ処理装置においては、マ
イクロ波供給手段203のスロットの長さを、導波路2
03aのH面の幅hと等しくしているが、前述した各実
施の形態のマイクロ波供給手段のスロットのように、ス
ロット203b長さを幅hより短くすることもできる。
Further, in this plasma processing apparatus, the length of the slot of the microwave
Although the width h of the H-plane of 03a is equal to the width h of the slot 203b, it is also possible to make the length of the slot 203b shorter than the width h as in the slot of the microwave supply means of each embodiment described above.

【0175】プラズマの発生及び処理は以下のようにし
て行う。被処理体Wを保持手段102上に設置し、クー
ラ114を用いて冷却する。排気系(24〜26)を介
してプラズマ発生室108内を真空排気する。続いて、
プラズマ処理用ガスをガス供給手段107を介して所定
の流量でプラズマ発生室109内に供給する。次に、排
気系(24〜26)に設けられたコンダクタンス制御バ
ルブ(26)を調整し、プラズマ発生室109内を所定
の圧力に保持する。RFバイアス印加手段302を用い
て保持手段102にRF電力を供給するとともに、マイ
クロ波電源6より所望の電力を、マイクロ波供給手段2
03のスロット203bを介し誘電体窓104を透して
プラズマ処理室109内に導入する。プラズマ発生10
9内に供給されたマイクロ波の電界により電子が加速さ
れ、プラズマ発生室109内にプラズマが発生する。こ
の際、処理用ガスは発生した高密度プラズマにより励起
され、保持手段102上に載置された被処理体Wの表面
を処理する。また、RFバイアスにより基板に入射する
イオンの運動エネルギーを制御できる。さらにクーラ4
14を用いることにより、高密度プラズマと高バイアス
を用いた場合に問題となるイオン入射による基板の過加
熱を抑制することができる。
The generation and processing of plasma are performed as follows. The object W is placed on the holding means 102 and cooled using the cooler 114. The inside of the plasma generation chamber 108 is evacuated via the exhaust system (24 to 26). continue,
The plasma processing gas is supplied into the plasma generation chamber 109 at a predetermined flow rate via the gas supply means 107. Next, the conductance control valve (26) provided in the exhaust system (24 to 26) is adjusted to maintain the inside of the plasma generation chamber 109 at a predetermined pressure. RF power is supplied to the holding means 102 using the RF bias applying means 302 and desired power is supplied from the microwave power source 6 to the microwave supplying means 2.
Introduced into the plasma processing chamber 109 through the dielectric window 104 through the slot 203b of No. 03. Plasma generation 10
Electrons are accelerated by the electric field of the microwave supplied to the inside 9, and plasma is generated in the plasma generation chamber 109. At this time, the processing gas is excited by the generated high-density plasma, and processes the surface of the workpiece W placed on the holding means 102. Further, the kinetic energy of ions incident on the substrate can be controlled by the RF bias. Cooler 4
By using 14, it is possible to suppress overheating of the substrate due to ion incidence, which is a problem when using high-density plasma and high bias.

【0176】図15は、プラズマ処理装置を示す模式的
断面図である。
FIG. 15 is a schematic sectional view showing a plasma processing apparatus.

【0177】1は被処理体Wを内部に収容し、プラズマ
Pを発生室9内部に発生し得る真空容器であり、大気開
放型の容器である。
[0177] Reference numeral 1 denotes a vacuum container which accommodates the object W to be processed and can generate plasma P inside the generation chamber 9, and is a container open to the atmosphere.

【0178】2は被処理体Wを真空容器1内に収容し、
保持する為の被処理体保持手段であり、被処理体Wを昇
降し得るリフトピン2aを有している。
Reference numeral 2 denotes a case where the object W is accommodated in the vacuum vessel 1,
It is an object holding means for holding the object, and has a lift pin 2a that can move up and down the object W to be processed.

【0179】3は真空容器1内にプラズマを発生させる
マイクロ波エネルギーを供給するマイクロ波供給手段で
ある。
Reference numeral 3 denotes microwave supply means for supplying microwave energy for generating plasma in the vacuum vessel 1.

【0180】4は真空容器1内を気密に封止するととも
にマイクロ波を透過させる誘電体窓である。
Reference numeral 4 denotes a dielectric window for hermetically sealing the inside of the vacuum vessel 1 and transmitting microwaves.

【0181】5はマイクロ波導波管、6はマイクロ波電
源である。
Reference numeral 5 denotes a microwave waveguide, and reference numeral 6 denotes a microwave power supply.

【0182】7はマイクロ波によってプラズマ化される
処理ガスを供給する為のガス供給路であり、斜め上方を
向いた放出路の先にガス放出口7aを有する。
Reference numeral 7 denotes a gas supply path for supplying a processing gas to be converted into plasma by microwaves. The gas supply path 7 has a gas discharge port 7a at the end of a discharge path directed obliquely upward.

【0183】ガス供給路7は図11〜図14のガス供給
系(21〜23)と同様のガス供給系に連通している。
The gas supply path 7 communicates with a gas supply system similar to the gas supply systems (21 to 23) in FIGS.

【0184】8は、真空容器1内を排気する為の排気路
であり図11〜図14の排気系(24〜26)と同様の
排気系に連通している。
Reference numeral 8 denotes an exhaust passage for exhausting the inside of the vacuum vessel 1, which communicates with the same exhaust system as the exhaust systems (24 to 26) shown in FIGS.

【0185】図15の装置によるプラズマ処理方法は以
下のとおりである。所定の圧力まで減圧、排気された真
空容器1内にガズ供給路7から処理ガスを供給する。
A plasma processing method using the apparatus shown in FIG. 15 is as follows. The processing gas is supplied from the gas supply path 7 into the vacuum vessel 1 evacuated and evacuated to a predetermined pressure.

【0186】処理ガスはプラズマ発生室となる空間9に
放出された後、排気路8へと流れていく。
After the processing gas is released into the space 9 serving as the plasma generation chamber, it flows into the exhaust path 8.

【0187】一方、マイクロ波電源6において発生した
マイクロ波は、同軸導波管、円筒導波管又は矩形導波管
5を介して伝搬され、マイクロ波供給手段3内に供給さ
れる。
On the other hand, the microwave generated by the microwave power supply 6 is propagated through a coaxial waveguide, a cylindrical waveguide or a rectangular waveguide 5 and supplied to the microwave supply means 3.

【0188】マイクロ波は、マイクロ波供給手段3の無
終端環状導波管3a内を伝搬する。
The microwave propagates through the endless annular waveguide 3a of the microwave supply means 3.

【0189】無終端環状導波路3aのH面3cには、マ
イクロ波の進行方向と交差する縦長のスロット3bが設
けられている為に、そのスリット3bから、空間9に向
かって、マイクロ波が放射される。
Since the H-plane 3c of the endless annular waveguide 3a is provided with a vertically elongated slot 3b intersecting with the traveling direction of the microwave, the microwave is transmitted from the slit 3b toward the space 9. Radiated.

【0190】マイクロ波は、マイクロ波透過窓4aを透
過して空間9内に供給される。
The microwave is supplied into the space 9 through the microwave transmitting window 4a.

【0191】空間9内には、処理ガスが存在しており、
この処理ガズはマイクロ波励起されプラズマPを発生さ
せる。
In the space 9, there is a processing gas.
This processing gas is microwave-excited to generate plasma P.

【0192】被処理体Wの表面には、このプラズマを利
用して表面処理が施される。プラズマPは、投入される
マイクロ波の電力や容器内の圧力に応じて、図のように
スロット下方のみに存在することもあるし、又、誘電体
窓4の下面全面に拡がることもある。
The surface of the workpiece W is subjected to a surface treatment using this plasma. The plasma P may exist only below the slot as shown in the figure, or may spread over the entire lower surface of the dielectric window 4 depending on the power of the supplied microwave or the pressure in the container.

【0193】図16は、マイクロ波供給器3の外観及び
断面を示す模式図である。
FIG. 16 is a schematic diagram showing an appearance and a cross section of the microwave supplier 3. As shown in FIG.

【0194】図17は、マイクロ波供給器3とマイクロ
波導波管5との接続部(導入部)の断面図である。
FIG. 17 is a sectional view of a connecting portion (introducing portion) between the microwave supplier 3 and the microwave waveguide 5. As shown in FIG.

【0195】図18は、マイクロ波供給器3のスロット
3bが設けられたH面を下方から見た図である。
FIG. 18 is a view of the H plane provided with the slots 3b of the microwave supplier 3 as viewed from below.

【0196】図15のマイクロ波供給手段3は、矩形導
波管のE面3dが曲面になるように、矩形導波管を曲げ
て、環状にしたものと等価である。従って対向する2つ
のH面はそれぞれ同一平面上に存在する。
The microwave supply means 3 shown in FIG. 15 is equivalent to a rectangular waveguide bent into a ring shape so that the E surface 3d of the rectangular waveguide becomes a curved surface. Therefore, the two opposing H planes are respectively on the same plane.

【0197】導波管5から例えばTE10モードで伝搬し
てきたマイクロ波は、接続部にあるE分岐ブロックのよ
うなマイクロ波分配器10により相反する方向に分配さ
れる。
The microwave propagating in the TE 10 mode, for example, from the waveguide 5 is distributed in opposite directions by a microwave distributor 10 such as an E-branch block at the connection portion.

【0198】無終端環状の導波路3aを伝搬するマイク
ロ波は、進行方向MDと交差する方向に延びるスロット
3bから放出されつつ、伝搬していく。
The microwave propagating through the endless annular waveguide 3a propagates while being emitted from the slot 3b extending in a direction intersecting the traveling direction MD.

【0199】このような、マイクロ波供給手段を、平板
状スロット付環状導波管とか、平板状マルチスロットア
ンテナ(PMA)と呼ぶ。
Such a microwave supply means is called an annular waveguide with a flat slot or a multi-slot antenna (PMA).

【0200】無終端環状の導波路3aの内では、マイク
ロ波はスロットからのエネルギー放出により減衰しなが
ら進行し伝搬する。しかも進行方向が両方向である為、
進行するマイクロ波同士が干渉し、空間9内には均一な
強度のマイクロ波が放射される。
In the endless annular waveguide 3a, the microwave propagates while attenuating due to energy emission from the slot. Moreover, since the traveling direction is both directions,
The traveling microwaves interfere with each other, and microwaves having a uniform intensity are radiated in the space 9.

【0201】以下に、特徴をまとめて示す。The features are summarized below.

【0202】高密度:周波数の高いマイクロ波を用
い、伝搬効率の高い表面波モードが生じているので、電
子密度1012cm-3台の高密度プラズマが発生する。し
たがって、高速で反応性の高い処理が可能になる。
High density: Since high-frequency microwaves are used and a surface wave mode with high propagation efficiency is generated, high-density plasma with an electron density of the order of 10 12 cm −3 is generated. Therefore, high-speed and highly reactive processing can be performed.

【0203】大口径均一:多くのスロットからマイク
ロ波を多点導入し、誘電体窓近くに誘電体窓内の表面波
の伝搬効率が高く、均一化の難しい磁場を用いずとも電
界が誘電体窓近くに局在したプラズマが形成されるの
で、大口径で均一なプラズマを発生できる。したがっ
て、大面積基板の均一処理が可能になる。
Large diameter uniformity: Microwaves are introduced from many slots at multiple points, the propagation efficiency of surface waves in the dielectric window is high near the dielectric window, and the electric field can be increased without using a magnetic field that is difficult to uniformize. Since a localized plasma is formed near the window, a large-diameter and uniform plasma can be generated. Therefore, uniform processing of a large-area substrate becomes possible.

【0204】低温度・低電位:マイクロ波吸収、即ち
プラズマ発生が誘電体窓内面近傍で行われ、電界は窓側
に局在し、拡散により基板側のプラズマが形成されるの
で、電子温度が低く抑えられる。したがって、エッチン
グの際のノッチ発生、チャージアップダメージ、DUV
ダメージが抑制される。また、電子温度が低いので、シ
ース電位も低く抑えられ、低ダメージな処理が可能にな
る。
Low temperature / low potential: microwave absorption, that is, plasma generation is performed near the inner surface of the dielectric window, and the electric field is localized on the window side, and plasma is generated on the substrate side by diffusion. Can be suppressed. Therefore, notch generation during etching, charge-up damage, DUV
Damage is reduced. In addition, since the electron temperature is low, the sheath potential is also kept low, and low-damage processing can be performed.

【0205】高閉じ込め性:誘電体窓近傍に電界を局
在化できるので、プラズマ拡散が抑制される圧力40P
a以上の高圧領域で閉じ込め性の高いプラズマが発生で
きる。したがって、高度に低ダメージな処理が可能にな
る。
High confinement: Since the electric field can be localized near the dielectric window, the pressure at which the plasma diffusion is suppressed is reduced to 40P.
Plasma having high confinement can be generated in a high pressure region of a or more. Therefore, highly low-damage processing can be performed.

【0206】容量結合プラズマ(CCP)は電子密度が
低い、電子サイクロトロン共鳴(ECR)プラズマ及び
ヘリコン波プラズマ(HWP)は電子温度が高い、大口
径均一化が難しいなどの問題があり、総合的に本発明が
次世代プラズマ源として有力である。
Capacitively coupled plasma (CCP) has problems such as low electron density, and electron cyclotron resonance (ECR) plasma and helicon wave plasma (HWP) have problems such as high electron temperature and difficulty in uniforming large diameter. The present invention is promising as a next generation plasma source.

【0207】アッシャーへ応用する場合には、基板にプ
ラズマが接触しては不可なほどの低ダメージでかつ高速
な処理が要求されるので、高密度な閉じ込めプラズマを
発生できる本発明は最適であると考えられる。
In the case of application to an asher, a high-density confined plasma can be generated since the plasma is required to be processed with low damage and high speed that cannot be achieved by contacting the plasma with the substrate. it is conceivable that.

【0208】そして、特に、本発明のプラズマ処理装置
においては、ガスをH面に向けて放出するようにしたた
め、ガスは均一且つ薄い高密度低温プラズマの発生領域
を経由して中心に流れる。こうして、被処理体の中央付
近においても処理速度が低下することなく、均一な処理
が行える。
In particular, in the plasma processing apparatus of the present invention, since the gas is emitted toward the H surface, the gas flows to the center via a uniform and thin high-density low-temperature plasma generation region. In this manner, even near the center of the object to be processed, uniform processing can be performed without reducing the processing speed.

【0209】次に、本発明の好適な実施の形態による別
のマイクロ波供給器について説明する。
Next, another microwave feeder according to a preferred embodiment of the present invention will be described.

【0210】図19は、マイクロ波供給器3の上面外観
(a)、横断面(b)及び縦断面(c)を示している。
FIG. 19 shows a top view (a), a transverse section (b) and a longitudinal section (c) of the microwave supplier 3.

【0211】このマイクロ波供給器3は直径が互いに異
なる複数の環状導波路43、44を有している。
[0211] The microwave supplier 3 has a plurality of annular waveguides 43 and 44 having different diameters.

【0212】大口径の外側環状導波路43は、E面とな
る外側壁49と、もう一つのE面となる内側壁48と、
平面状のH面となる上壁53と、もう一つの平面状のH
面となる下壁52と、で構成されている。そして、下壁
52にはスロット36’が複数設けられている。
The large-diameter outer annular waveguide 43 includes an outer wall 49 serving as an E-plane, an inner wall 48 serving as another E-plane,
An upper wall 53 serving as a planar H surface and another planar H surface
And a lower wall 52 serving as a surface. The lower wall 52 is provided with a plurality of slots 36 '.

【0213】小口径の内側環状導波路44は、同様にE
面となる最内側壁47と、上記内側壁48と、上記上壁
53、上記下壁52とにより構成されており、下壁52
にはスロット36が複数設けられている。
The small-diameter inner annular waveguide 44 is likewise E-shaped.
The lower wall 52 includes an innermost side wall 47 serving as a surface, the inner wall 48, the upper wall 53, and the lower wall 52.
Is provided with a plurality of slots 36.

【0214】そして各環状導波路43、44は前出の実
施の形態による環状導波路3a(図1他参照)と同様の
構成であり、図19の(C)に示すようにそれぞれTE
モードでマイクロ波を伝搬し得るような矩形断面を有し
ている。
Each of the annular waveguides 43 and 44 has the same configuration as the annular waveguide 3a (see FIG. 1 and the like) according to the above-described embodiment, and each has a TE structure as shown in FIG.
It has a rectangular cross section capable of transmitting microwaves in a mode.

【0215】54は、外側環状導波路43へのマイクロ
波導入口であり、より好ましくは導波路43の矩形断面
と同じ大きさの矩形断面をもつ。55は、内側環状導波
路44へのマイクロ波導入口であり、より好ましくは導
波路44の矩形断面と同じ大きさの矩形断面をもつ。
又、より好ましくは各導波路に終端面を設けずに、無終
端環状とした方がよい。
Numeral 54 is a microwave introduction port to the outer annular waveguide 43, and more preferably has a rectangular cross section of the same size as the rectangular cross section of the waveguide 43. Reference numeral 55 denotes a microwave introduction port to the inner annular waveguide 44, and more preferably, has a rectangular cross section having the same size as the rectangular cross section of the waveguide 44.
More preferably, it is preferable that each waveguide is not provided with a termination surface and is formed into a non-terminal ring.

【0216】図19の例は2つの導波管を一体化させて
構成したが、個別の環状導波管をある支持台上に円心状
に配置したものでも、後述するようにスロット付の平板
と導波路となる複数の環状溝を有する部材とを含む組み
立て体としたものでもよい。
In the example shown in FIG. 19, the two waveguides are integrated, but even if the individual annular waveguides are arranged in a concentric manner on a certain support table, as shown in FIG. An assembly including a flat plate and a member having a plurality of annular grooves serving as a waveguide may be used.

【0217】マイクロ波供給器を組み立て体で構成する
場合には、外側環状導波路43用のスロット付平板と内
側環状導波路44用のスロット付平板とを別体とするこ
ともできる。そして、前述した図5の実施の形態同様、
スロットの形や数や大きさや分布等が異なる多数のスロ
ット付平板を用意し、これを交換可能にすれば、所望の
マイクロ波放射強度分布に適したマイクロ波供給器を簡
単に作製することができる。
When the microwave feeder is formed as an assembly, a slotted flat plate for the outer annular waveguide 43 and a slotted flat plate for the inner annular waveguide 44 can be formed separately. And like the embodiment of FIG. 5 described above,
By preparing a large number of slotted flat plates with different shapes, numbers, sizes, distributions, etc., and making them interchangeable, it is possible to easily produce a microwave supplier suitable for the desired microwave radiation intensity distribution. it can.

【0218】各環状導波路43、44の形状は図19に
示したような円に限定されることはなく、図6の実施形
態と同様に四角であってもよいし、その他の多角形や星
形等であってもよい。
The shape of each of the annular waveguides 43 and 44 is not limited to a circle as shown in FIG. 19, and may be a square as in the embodiment of FIG. It may be a star shape or the like.

【0219】外側環状導波路43と内側環状導波路44
のそれぞれに設けられるスロットの形、数、大きさ、分
布は互いに同じであっても異なっていてもよい。特殊な
プラズマ処理に応用する場合を除いては、内側導波路4
4のスロット3bの数を、外側導波路43のスロット3
b′の数より少なくした方が装置設計が容易になる。
The outer annular waveguide 43 and the inner annular waveguide 44
May be the same or different from each other in the shape, number, size, and distribution of the slots provided in each of them. Except for special plasma processing applications, the inner waveguide 4
4, the number of slots 3b of the outer waveguide 43
If the number is smaller than b ', the device design becomes easier.

【0220】そして、装置構成を簡略化し、マイクロ波
電源を1つで済ます為には、マイクロ波供給器3のマイ
クロ波導入口54、55近傍に電磁波分配導入手段を構
成し、且つマイクロ波の分配比率を定める為の、H分岐
器を付設することが好ましい。
In order to simplify the apparatus configuration and use only one microwave power source, electromagnetic wave distribution and introduction means should be formed near the microwave introduction ports 54 and 55 of the microwave supply device 3 and microwave distribution should be performed. It is preferable to provide an H-branch for determining the ratio.

【0221】マイクロ波導入口55、56にそれぞれマ
イクロ波を、例えばTEnoモードで導入する。
Microwaves are introduced into the microwave introduction ports 55 and 56, for example, in a TE no mode.

【0222】環状導波路43、44内に導入されたマイ
クロ波は、分配器10にて互いに反対方向に分配され、
時計回り又は反時計回りにTEnoモードで導波路43、
44内をそれぞれ伝搬する。
The microwaves introduced into the annular waveguides 43 and 44 are distributed by the distributor 10 in opposite directions.
Waveguide 43 in clockwise or counterclockwise TE no mode,
44, respectively.

【0223】伝搬中にマイクロ波はH面に設けられたス
ロット3b、3b′より外部に放射される。
During propagation, microwaves are radiated outside from slots 3b and 3b 'provided on the H plane.

【0224】互いに反対回りで進行してきたマイクロ波
は導波路43、44内で干渉し合い、場合によっては定
在波を発生させ、マイクロ波のスロットからの放射強度
が安定化する。
The microwaves traveling in opposite directions interfere with each other in the waveguides 43 and 44, and in some cases, generate a standing wave, and the radiation intensity of the microwave from the slot is stabilized.

【0225】こうして、本発明のマイクロ波供給器によ
れば、大面積で比較的均一な強度分布のマイクロ波をほ
ぼ面状に放射できる。
As described above, according to the microwave supply device of the present invention, microwaves having a relatively large intensity in a relatively large area can be radiated in a substantially planar manner.

【0226】ここで、図20〜23を参照して、組み立
て体型のマイクロ波供給器と、電磁波分配導入手段と、
それらを用いたプラズマ処理装置について詳述する。
Here, with reference to FIGS. 20 to 23, an assembly type microwave supplier, electromagnetic wave distribution and introduction means,
A plasma processing apparatus using them will be described in detail.

【0227】図20において1はプラズマ発生室9を形
成する為の容器である。4は誘電体窓、3はマイクロ波
をプラズマ発生室9に供給する為のマイクロ波供給器と
しての平板状スロット付多重環状導波管、57は無終端
環状導波管3にマイクロ波を導入する為の導波管57、
Wは被処理体、2は被処理体Wの保持手段、7は処理用
ガス供給手段、8は排気口である。
In FIG. 20, reference numeral 1 denotes a container for forming the plasma generation chamber 9. 4 is a dielectric window, 3 is a multiple annular waveguide with a flat slot as a microwave supplier for supplying microwaves to the plasma generation chamber 9, and 57 is a microwave introducing into the endless annular waveguide 3. Waveguide 57 for
W is an object to be processed, 2 is holding means for the object to be processed W, 7 is a processing gas supply means, and 8 is an exhaust port.

【0228】マイクロ波供給器は溝付の第1導電部材3
2と、図21に示すようなスロット3b,3b′付の平
板からなる第2導電部材31と、の組み合て体である。
[0228] The microwave supply device is a grooved first conductive member 3.
2 and a second conductive member 31 formed of a flat plate with slots 3b and 3b 'as shown in FIG.

【0229】そして、マイクロ波導入口54、55の近
傍には、マイクロ波の導波路43、44への分配比率を
定める為の分配器56が設けられている。図20の装置
において電磁波分配導入手段は、分岐路をもつ導波管5
7とH分岐器のような分配器56とを含む。
In the vicinity of the microwave introduction ports 54 and 55, a distributor 56 for determining a distribution ratio of microwaves to the waveguides 43 and 44 is provided. In the apparatus shown in FIG. 20, the electromagnetic wave distribution introducing means is a waveguide 5 having a branch path.
7 and a distributor 56 such as an H branch.

【0230】分配器56としては、少なくとも2つの分
配面をもつ3角形断面の導電体で構成された例を図20
に示しているが、これに限られることはなく、板状の部
材であってもよい。
FIG. 20 shows an example in which the distributor 56 is formed of a conductor having a triangular cross section having at least two distribution surfaces.
However, the present invention is not limited to this, and may be a plate-shaped member.

【0231】そして、分配器は分配比率を可変にし得る
構成にすることもできる。
[0231] The distributor can also be configured to make the distribution ratio variable.

【0232】本発明に用いられる各導波路43、44へ
の分配機付きH分岐器の例を図22に示す。61はT型
分岐の中央に板状もしくは三角柱状の可動の分配ブロッ
ク56を設けたものである。62はY型分岐の又の部分
を回転可能に可動にしたものである。63は変型Y型分
岐の又の部分を回転可能に可動したものであり、64は
又の部分が伸縮するタイプものである。
FIG. 22 shows an example of an H-branch with a distributor to each of the waveguides 43 and 44 used in the present invention. Reference numeral 61 denotes a plate-shaped or triangular prism-shaped movable distribution block 56 provided at the center of the T-shaped branch. Reference numeral 62 denotes a rotatable movable part of the Y-shaped branch. Reference numeral 63 denotes a rotatable movable portion of the modified Y-shaped branch, and reference numeral 64 denotes a type in which the other portion expands and contracts.

【0233】分配器56は、マイクロ波の入射方向への
反射が少なく、一方に対する他方への分配比を少なくと
も0.2乃至0.5、より好ましくは0.0乃至0.6
までの調整可能であれば、適用可能である。分配器56
が伸縮するタイプの場合は、例えば、ネジを用い、ネジ
の押し込み量を調整することにより高さを調整すれば簡
便に伸縮するタイプの分配比率可変の分配器を実現でき
る。
The distributor 56 has a small reflection of the microwave in the incident direction and has a distribution ratio of one to the other of at least 0.2 to 0.5, more preferably 0.0 to 0.6.
If it can be adjusted up to, it is applicable. Distributor 56
In the case of a type that expands and contracts, for example, by using a screw and adjusting the height of the screw by adjusting the pushing amount of the screw, a distributor that can easily expand and contract can be realized with a variable distribution ratio.

【0234】一例として、可動部分が回転するチルトタ
イプにおけるチルト角度に対するマイクロ波強度の関係
を図23に示す。分配比率は、インナー側を1とすると
アウター側は約0.9〜約3.5で変化させることが可
能であった。もちろん、分配比を変えたい場合には、分
配ブロックの長さあるいは回転角度又は三角柱の形状を
適宜変化させればよい。
As an example, FIG. 23 shows the relationship between the tilt angle and the microwave intensity in the tilt type in which the movable part rotates. The distribution ratio could be changed from about 0.9 to about 3.5 on the outer side, assuming that the inner side is 1. Of course, when it is desired to change the distribution ratio, the length or rotation angle of the distribution block or the shape of the triangular prism may be appropriately changed.

【0235】なお、電磁波の分配率を調整する機構を有
するH分岐器は、プラズマ処理装置のみならず、電磁波
の分配率を調整する必要のなる他の場合においても用い
られるものである。一方、環状導波管43、44内のE
分岐器10は省略することもできる。
The H-branch having a mechanism for adjusting the distribution ratio of electromagnetic waves is used not only in the plasma processing apparatus but also in other cases where the distribution ratio of electromagnetic waves needs to be adjusted. On the other hand, E in the annular waveguides 43 and 44
The branching device 10 can be omitted.

【0236】以上説明した本発明のH分岐器、E分岐器
や多重環状導波路を構成する部材の材質は、前述したシ
ングル環状導波管の構成部材と同じであり、導電体であ
れば使用可能であるが、そしてマイクロ波の伝搬ロスを
できるだけ抑えるため、導電率の高い、例えば、Al,
Cu,Ag/Cuメッキしたステンレス鋼などが最適で
ある。本発明に用いられる多重無終端環状導波路への導
入口の向きは、多重環状導波路内のマイクロ波伝搬空間
に効率よくマイクロ波を導入できるものであれば、H面
に平行に接線方向から導入してもよいし、H面に垂直に
導入し導入口付近で内側と外側の導波路に二分配するも
のでもよい。
The materials constituting the H-branch unit, the E-branch unit, and the multiple annular waveguide of the present invention described above are the same as those of the single annular waveguide described above. Although it is possible, and in order to minimize the propagation loss of the microwave, a high conductivity such as Al,
Optimum is Cu, Ag / Cu-plated stainless steel, or the like. The direction of the introduction port to the multiple endless annular waveguide used in the present invention is parallel to the H plane from the tangential direction as long as the microwave can be efficiently introduced into the microwave propagation space in the multiple annular waveguide. It may be introduced, or it may be introduced perpendicularly to the H plane and divided into two inside and outside waveguides near the introduction port.

【0237】本発明に用いられる多重環状導波路の各導
波路に設けられたスロットの形状は、前述したシングル
環状導波路のスロット形状と同じでありマイクロ波の伝
搬方向に垂直な方向の長さが管内波長の1/4以上であ
れば、矩形でも楕円形でもS字形でも、十字形でもアレ
イ状でもなんでもよい。
The shape of the slot provided in each waveguide of the multiple annular waveguide used in the present invention is the same as the slot shape of the single annular waveguide described above, and has a length perpendicular to the microwave propagation direction. May be rectangular, elliptical, S-shaped, cross-shaped or array-shaped, as long as is equal to or more than 1 / of the guide wavelength.

【0238】本発明に用いられる多重環状導波路のスロ
ット間隔やスロット寸法も、前述したシングル環状導波
路の場合と同様に選択し設計される。
The slot spacing and slot size of the multiple annular waveguide used in the present invention are selected and designed in the same manner as in the case of the single annular waveguide described above.

【0239】そして、各々の環状導波路の矩形断面は互
いに異なる面積としてもよいが、同じモードのマイクロ
波を伝搬し得るように、マイクロ波の進行方向と垂直な
断面が同一矩形断面をもつ導波路を選ぶことが望まし
い。
The rectangular cross sections of the respective annular waveguides may have mutually different areas, but the cross sections perpendicular to the traveling direction of the microwaves have the same rectangular cross section so that microwaves of the same mode can propagate. It is desirable to choose a wave path.

【0240】そして、マイクロ波の放射強度はスロット
配置密度等で調整することが望ましい。
It is desirable that the radiation intensity of the microwave is adjusted by the slot arrangement density or the like.

【0241】本実施の形態のマイクロ波供給器及びそれ
を用いたプラズマ処理装置によれば、複数の大きさの異
なる環状導波路を同心状に配置しその平面部にスロット
を設けた多重環状導波管を用いることにより、直径30
0mmのウエハ或いはそれに相当するもの以上の大面積
基板の処理を行う場合に好適な大面積プラズマを発生す
ることができる。これによりより低温で高品質な処理を
より均一に行うことが可能になる。
According to the microwave supply device of the present embodiment and the plasma processing apparatus using the same, a multiple annular waveguide in which a plurality of annular waveguides having different sizes are concentrically arranged and a slot is provided in a plane portion thereof. By using a wave tube, the diameter 30
A large-area plasma suitable for processing a 0-mm wafer or a large-area substrate equal to or larger than the wafer can be generated. This makes it possible to perform high-quality processing at a lower temperature more uniformly.

【0242】特に、環状導波路のH面が同一平面になる
ように複数の導波路を配設すると、高圧条件下でも大面
積基板であっても均一に高密度低電位プラズマを効率的
に発生させ得る。また、かかる効果は、磁界を用いずと
も達成可能である。
In particular, when a plurality of waveguides are arranged so that the H-plane of the annular waveguide is on the same plane, high-density low-potential plasma is efficiently generated uniformly even under a high-pressure condition and a large-area substrate. I can make it. Such effects can be achieved without using a magnetic field.

【0243】(第6のプラズマ処理装置)本発明のマイ
クロ波供給手段として多重無終端導波管を用いたプラズ
マ処理装置を、図20を用いて説明する。
(Sixth Plasma Processing Apparatus) A plasma processing apparatus using a multiple endless waveguide as a microwave supply means of the present invention will be described with reference to FIG.

【0244】1はプラズマ処理室、4はプラズマ発生室
9を大気側から分離する誘電体、3はマイクロ波をプラ
ズマ処理室101に導入するための多重無終端環状導波
管、56は多重無終端環状導波管3にマイクロ波を分配
導入する分配率調整機構付きH分岐器、43、44はマ
イクロ波が伝搬するマイクロ波伝搬空間である導波路、
3b、3b′は多重無終端環状導波管3からプラズマ発
生室9内にマイクロ波を供給するスロット、Wは被処理
体、2は保持手段、114は被処理体Wを加熱するヒー
タ、7は処理用ガス供給手段、8は排気口である。ガス
放出口7aをH面に向けることもより好ましい。
Reference numeral 1 denotes a plasma processing chamber, 4 denotes a dielectric separating the plasma generation chamber 9 from the atmosphere side, 3 denotes a multiple endless annular waveguide for introducing a microwave into the plasma processing chamber 101, and 56 denotes a multi-endless waveguide. An H-branch with a distribution ratio adjusting mechanism for distributing and introducing microwaves to the terminal annular waveguide 3; 43 and 44 are waveguides which are microwave propagation spaces through which microwaves propagate;
3b, 3b 'are slots for supplying microwaves from the multiple endless annular waveguide 3 into the plasma generation chamber 9, W is the object to be processed, 2 is a holding means, 114 is a heater for heating the object to be processed W, 7 Denotes a processing gas supply means, and 8 denotes an exhaust port. It is more preferable that the gas outlet 7a is directed to the H plane.

【0245】プラズマの発生及び処理は以下のようにし
て行なう。被処理体Wを保持手段2上に設置し、必要に
応じてヒータ114を用いて被処理体Wを所望の温度ま
で加熱する。排気系(不図示)を介してプラズマ発生室
9内を真空排気する。
Generation and processing of plasma are performed as follows. The target object W is set on the holding means 2 and the target object W is heated to a desired temperature using the heater 114 as necessary. The inside of the plasma generation chamber 9 is evacuated via an exhaust system (not shown).

【0246】続いて、プラズマ処理用ガスを処理用ガス
放出口7aを介して所定の流量でプラズマ発生室9内に
放出する。次に、排気系(不図示)に設けられたコンダ
クタンスバルブ(不図示)を調整し、プラズマ発生室9
内を所定の圧力に保持する。マイクロ波電源6より所望
の電力を、分配率調整機構付きH分岐器56より環状導
波路43、44内に導入する。導入されたマイクロ波
は、H分岐器56で二分配され伝搬空間である導波路4
3、44内を時計回り及び反時計回りに数周伝搬する。
Subsequently, the plasma processing gas is discharged into the plasma generation chamber 9 at a predetermined flow rate through the processing gas discharge port 7a. Next, the conductance valve (not shown) provided in the exhaust system (not shown) is adjusted, and the plasma generation chamber 9 is adjusted.
Is maintained at a predetermined pressure. Desired power from the microwave power supply 6 is introduced into the annular waveguides 43 and 44 from the H-branch 56 with the distribution ratio adjusting mechanism. The introduced microwave is split into two by the H-branch 56, and the waveguide 4 is a propagation space.
It propagates several times clockwise and counterclockwise in 3, 44.

【0247】二分配されたマイクロ波は干渉し、例えば
管内波長の1/2毎設けられたスロット3b,3b′を
横切る電界を強め、スロット3b,3b′を介し誘電体
窓4を透してプラズマ発生室9に供給される。プラズマ
発生室9内に供給されたマイクロ波の電界により電子が
加速され、プラズマ発生室9内にプラズマが発生する。
この際、処理用ガスは発生した高密度プラズマにより励
起され、保持手段2上に載置された被処理体Wの表面を
処理する。
The microwaves divided into two interfere with each other, for example, strengthen the electric field crossing the slots 3b, 3b 'provided for every half of the guide wavelength, and pass through the dielectric window 4 through the slots 3b, 3b'. It is supplied to the plasma generation chamber 9. Electrons are accelerated by the electric field of the microwave supplied into the plasma generation chamber 9, and plasma is generated in the plasma generation chamber 9.
At this time, the processing gas is excited by the generated high-density plasma, and processes the surface of the processing target W placed on the holding unit 2.

【0248】例えば誘電体窓4として、材質が合成石英
で、直径299mm、厚さ12mmのものを用いる。無
終端環状導波路3b,3b′,は、マイクロ波の進行方
向と垂直な内壁断面の寸法が27mm×96mmであっ
て、内側環状導波路44の中心径が152mm(周長3
λg)、外側環状導波路43の中心径が354mm(周
長7λg)とする。多重無終端環状導波管部材31、3
2の材質は、マイクロ波の伝搬損失を抑えるため、すべ
て導電体としてのA1を用いている。
For example, the dielectric window 4 is made of synthetic quartz having a diameter of 299 mm and a thickness of 12 mm. The endless annular waveguides 3b and 3b 'have a cross section of 27 mm x 96 mm in the inner wall perpendicular to the direction in which the microwave travels, and the center diameter of the inner annular waveguide 44 is 152 mm (perimeter 3).
λg), and the center diameter of the outer annular waveguide 43 is 354 mm (perimeter 7λg). Multiple endless annular waveguide members 31, 3
As for the material of No. 2, A1 is used as a conductor in order to suppress the propagation loss of the microwave.

【0249】多重無終端環状導波管3のH面を構成する
部材31には、マイクロ波をプラズマ発生室9へ供給す
るためのスロットが形成されている。1つのスロット形
状は長さ45mm、幅4mmの矩形であり、管内波長の
1/2間隔に放射状に形成されている。管内波長は、使
用するマイクロ波の周波数と、導波管の断面の寸法とに
依存するが、周波数2.45GHzのマイクロ波と、上
記の寸法の導波管とを用いた場合には約159mmとな
る。
The member 31 constituting the H-plane of the multiple endless annular waveguide 3 has a slot for supplying microwaves to the plasma generation chamber 9. One slot shape is a rectangle having a length of 45 mm and a width of 4 mm, and is formed radially at a half interval of the guide wavelength. The guide wavelength depends on the frequency of the microwave used and the size of the cross section of the waveguide, and when the microwave having the frequency of 2.45 GHz and the waveguide having the above dimensions are used, about 159 mm is used. Becomes

【0250】図20多重無終端環状導波管3では、図2
1に示すようにスロットは約79.5mm間隔で内側導
波路に6個、外側導波路に14個形成されている。多重
無終端環状導波管3には、4Eチューナ、方向性結合
器、アイソレータを付設した2.45GHzの周波数を
持つマイクロ波電源6が順に接続されている。
FIG. 20 shows the structure of the multiple endless annular waveguide 3 shown in FIG.
As shown in FIG. 1, six slots are formed in the inner waveguide and 14 slots are formed in the outer waveguide at intervals of about 79.5 mm. A microwave power supply 6 having a frequency of 2.45 GHz and having a 4E tuner, a directional coupler, and an isolator is connected to the multiple endless annular waveguide 3 in this order.

【0251】図20に示したマイクロ波プラズマ処理装
置を使用して、Ar流量500sccm,圧力10mT
orrと1Torr,マイクロ波パワー1.5kWの条
件でプラズマを発生させ、得られたプラズマの計測を行
った。
Using the microwave plasma processing apparatus shown in FIG. 20, an Ar flow rate of 500 sccm and a pressure of 10 mT
Plasma was generated under the conditions of orr, 1 Torr, and microwave power of 1.5 kW, and the obtained plasma was measured.

【0252】プラズマ計測は、シングルプローブ法によ
り以下のようにして行った。プローブに印加する電圧を
−50から+100Vの範囲で変化させ、プローブに流
れる電流をI−V測定器により測定し、得られたI−V
曲線からラングミュアらの方法により電子密度,電子温
度,プラズマ電位を算出した。
The plasma measurement was performed by the single probe method as follows. The voltage applied to the probe was changed in the range of -50 to +100 V, and the current flowing through the probe was measured by an IV measuring instrument.
The electron density, electron temperature, and plasma potential were calculated from the curves by the method of Langmuir et al.

【0253】その結果、電子密度は、10mTorrの
場合1.1×1012/cm3 ±2.7%(φ300面
内)、1Torrの場合5.7×1011/cm3 ±4.
2%(φ300面内)であり、大口径空間に高密度で均
一なプラズマが形成されていることが確認された。
As a result, the electron density was 1.1 × 10 12 / cm 3 ± 2.7% at 10 mTorr (within φ300 plane) and 5.7 × 10 11 / cm 3 ± 4 at 1 Torr.
It was 2% (within φ300 plane), and it was confirmed that high-density and uniform plasma was formed in the large-diameter space.

【0254】(第7のプラズマ処理装置)図24は多重
環状導波路の一方143にマイクロ波を接線導入し、他
方144に分配器110に向けて導入する方式のプラズ
マ処理装置を示す。
(Seventh Plasma Processing Apparatus) FIG. 24 shows a plasma processing apparatus of a system in which microwaves are tangentially introduced into one of the multiple annular waveguides 143 and introduced into the other 144 toward the distributor 110.

【0255】101は内部プラズマ発生室109をもつ
真空容器、102は被処理体Wを載置し保持する保持手
段であり、必要に応じてヒーター114を有している。
Reference numeral 101 denotes a vacuum vessel having an internal plasma generation chamber 109, and reference numeral 102 denotes holding means for mounting and holding the object W to be processed, and has a heater 114 as required.

【0256】103はマイクロ波供給手段であり、ここ
では図20例と同様に複数の環状導波路143、144
をもち、そのH面にスロット103b,103b′を有
する多重無終端環状導波管が採用されている。マイクロ
波電源6からのマイクロ波は、接線導入口105bと法
線導入口105aからそれぞれ導波路103b′,10
3bに導入される。
Numeral 103 denotes a microwave supply means. Here, a plurality of annular waveguides 143 and 144 are provided as in the example of FIG.
And a multiple endless annular waveguide having slots 103b and 103b 'in the H plane. Microwaves from the microwave power supply 6 pass through the waveguides 103b 'and 103 from the tangential inlet 105b and the normal inlet 105a, respectively.
3b.

【0257】マイクロ波はスロット103b,103
b′より誘電体窓104を透して室109内に放射され
る。この装置によるプラズマ処理の方法は以下のとおり
である。保持手段102上に被処理体Wを載せ、ヒータ
ー114により所定の温度まで加熱する。
The microwaves are transmitted through the slots 103b, 103
b ′ is radiated into the chamber 109 through the dielectric window 104. The method of plasma processing by this apparatus is as follows. The object W is placed on the holding means 102 and heated to a predetermined temperature by the heater 114.

【0258】一方、排気系(24、25、26)を用い
て容器101内を排気する。続いてガス供給系(21、
22、23)よりガスを供給手段107に所定の流量で
導入すると、ガス放出口107aよりガスが放出され
る。ガス放出口107aの向きをH面に向けることもよ
り好ましい。
On the other hand, the inside of the container 101 is evacuated using the exhaust system (24, 25, 26). Subsequently, the gas supply system (21,
22 and 23), when a gas is introduced into the supply means 107 at a predetermined flow rate, the gas is released from the gas discharge port 107a. It is more preferable that the direction of the gas outlet 107a is directed to the H plane.

【0259】次に排気系(24、25、26)のコンダ
クタンス制御バルブ26を調整して、室109内を所定
の圧力に維持する。
Next, the conductance control valve 26 of the exhaust system (24, 25, 26) is adjusted to maintain the inside of the chamber 109 at a predetermined pressure.

【0260】マイクロ波電源6より所望の電力を、多重
無終端環状導波管103内に導入する。導入されたマイ
クロ波は、管内波長の1/2または1/4毎に形成され
たスロット103b、103b′を介し誘電体窓104
を透してプラズマ発生室109内に導入される。接線導
入された後スロットから放出されずに1周伝搬したマイ
クロ波は、新たに導入されたマイクロ波と干渉し強め合
い、数周伝搬するまでにほとんどのマイクロ波はプラズ
マ発生室109内に放出される。
A desired electric power is introduced from the microwave power supply 6 into the multiple endless annular waveguide 103. The introduced microwaves pass through the dielectric windows 104 via slots 103b and 103b 'formed every 1/2 or 1/4 of the guide wavelength.
And is introduced into the plasma generation chamber 109. The microwave propagated one round without being emitted from the slot after being introduced tangentially interferes and strengthens with the newly introduced microwave, and most of the microwave is emitted into the plasma generation chamber 109 until it propagates several times. Is done.

【0261】プラズマ発生室109内に導入されたマイ
クロ波の電界により電子が加速され、プラズマ発生室1
09内にプラズマが発生する。この際、処理用ガスは発
生した高密度プラズマにより励起され、保持手段102
上に載置された被処理体Wの表面を処理する。
The electrons are accelerated by the electric field of the microwave introduced into the plasma generation chamber 109, and
In 09, plasma is generated. At this time, the processing gas is excited by the generated high-density plasma, and the holding means 102
The surface of the object to be processed W placed thereon is processed.

【0262】誘電体窓104の形状、大きさ、材質は図
20の誘電体窓4と同じものが用いられる。
The shape, size and material of the dielectric window 104 are the same as those of the dielectric window 4 in FIG.

【0263】各導波路143、144の形状や寸法,ス
ロット103b、103b′の形状や寸法や配置密度等
も図20の対応する部分と同じである。
The shapes and dimensions of the waveguides 143 and 144, the shapes and dimensions of the slots 103b and 103b ', the arrangement density, and the like are the same as those of the corresponding parts in FIG.

【0264】図24に示したマイクロ波プラズマ処理装
置を使用して、Ar流量500sccm、圧力10mT
orrと1Torr、マイクロ波パワー1.5kWの条
件でプラズマを発生させ、得られたプラズマの計測を行
った。プラズマ計測は、シングルプローブ法により以下
のようにして行った。プローブに印加する電圧を−50
から+100Vの範囲で変化させ、プローブに流れる電
流をI−V測定器により測定し、得られたI−V曲線か
らラングミュアらの方法により電子密度,電子温度,プ
ラズマ電位を算出した。
Using the microwave plasma processing apparatus shown in FIG. 24, the Ar flow rate was 500 sccm, and the pressure was 10 mT.
Plasma was generated under the conditions of orr, 1 Torr, and microwave power of 1.5 kW, and the obtained plasma was measured. The plasma measurement was performed by the single probe method as follows. Apply a voltage of -50 to the probe.
The current flowing through the probe was measured by an IV measuring instrument, and the electron density, electron temperature, and plasma potential were calculated from the obtained IV curve by the method of Langmuir et al.

【0265】その結果、電子密度は、10mTorrの
場合1.3×1012/cm3 ±3.3%(φ300面
内)、1Torrの場合6.2×1011/cm3 ±4.
6%(φ300面内)であり、大口径空間に高密度で均
一なプラズマが形成されていることが確認された。
As a result, the electron density was 1.3 × 10 12 / cm 3 ± 3.3% (within φ300 plane) at 10 mTorr and 6.2 × 10 11 / cm 3 ± 4 at 1 Torr.
It was 6% (within φ300 plane), and it was confirmed that high-density and uniform plasma was formed in the large-diameter space.

【0266】(第8のプラズマ処理装置)図25のプラ
ズマ処理装置は、図20に示したプラズマ処理装置の保
持手段102にRFバイアスを印加するバイアス印加機
構302を設けたものである。
(Eighth Plasma Processing Apparatus) The plasma processing apparatus shown in FIG. 25 is provided with a bias applying mechanism 302 for applying an RF bias to the holding means 102 of the plasma processing apparatus shown in FIG.

【0267】プラズマの発生及び処理は以下のようにし
て行なう。被処理体Wを保持手段102上に設置し、ヒ
ータ114を用いて所望の温度に加熱する。排気系(2
4、25、26)を介してプラズマ発生室109内を真
空排気する。
Generation and processing of plasma are performed as follows. The workpiece W is placed on the holding means 102 and heated to a desired temperature using the heater 114. Exhaust system (2
The inside of the plasma generation chamber 109 is evacuated via (4, 25, 26).

【0268】続いて、プラズマ処理用ガスを処理用ガス
放出口107aを介して所定の流量でプラズマ発生室1
09内に放出する。ガス放出口107aの向きをH面に
向けることもより好ましい。
Subsequently, the plasma processing gas is supplied at a predetermined flow rate through the processing gas discharge port 107a into the plasma generation chamber 1.
Release in 09. It is more preferable that the direction of the gas outlet 107a is directed to the H plane.

【0269】次に、排気系(24、25、26)に設け
られたコンダクタンス制御バルブ26を調整し、プラズ
マ発生室109内を所定の圧力に保持する。RFバイア
ス印加手段302を用いて保持手段102にRF電力を
供給するとともに、マイクロ波電源6により所望の電力
を、分配率調整機構付きH分岐器56に向けて、導波路
57より供給する。分配されたマイクロ波は各導波路1
43、144内を伝搬し、スロット103b、103
b′を介し誘電体302を透してプラズマ発生室109
に供給される。プラズマ発生室109内に導入されたマ
イクロ波の電界により電子が加速され、室内にプラズマ
が発生する。
Next, the conductance control valve 26 provided in the exhaust system (24, 25, 26) is adjusted to maintain the inside of the plasma generation chamber 109 at a predetermined pressure. RF power is supplied to the holding means 102 using the RF bias applying means 302, and desired power is supplied from the microwave power supply 6 to the H-branch 56 with a distribution ratio adjusting mechanism from the waveguide 57. The distributed microwave is applied to each waveguide 1
43, 144, and slots 103b, 103
b 'through the dielectric 302 and the plasma generation chamber 109
Supplied to Electrons are accelerated by the electric field of the microwave introduced into the plasma generation chamber 109, and plasma is generated in the chamber.

【0270】この際、処理用ガスは発生した高密度プラ
ズマにより励起され、保持手段102上に載置された被
処理体Wの表面を処理する。また、RFバイアスにより
基板に入射するイオンの運動エネルギーを制御できる。
At this time, the processing gas is excited by the generated high-density plasma, and processes the surface of the workpiece W placed on the holding means 102. Further, the kinetic energy of ions incident on the substrate can be controlled by the RF bias.

【0271】(第9のプラズマ処理装置)図26のプラ
ズマ処理装置は、図25のプラズマ処理装置に冷却手段
としてのクーラー414を設けたものである。
(Ninth Plasma Processing Apparatus) In the plasma processing apparatus of FIG. 26, a cooler 414 as cooling means is provided in the plasma processing apparatus of FIG.

【0272】プラズマの発生及び処理は以下のようにし
て行なう。被処理体Wを保持手段102上に設置し、ク
ーラー414を用いて冷却する。排気系(24、25、
26)を介してプラズマ発生室109内を真空排気す
る。
The generation and processing of plasma are performed as follows. The object W is placed on the holding means 102 and cooled using the cooler 414. Exhaust system (24, 25,
The inside of the plasma generation chamber 109 is evacuated via 26).

【0273】続いて、プラズマ処理用ガスを処理用ガス
放出口107aを介して所定の流量でプラズマ発生室1
09内に放出する。
Subsequently, the plasma processing gas is supplied at a predetermined flow rate through the processing gas discharge port 107a into the plasma generation chamber 1.
Release in 09.

【0274】次に、排気系(24、25、26)に設け
られたコンダクタンス制御バルブ26を調整し、室10
9内を所定の圧力に保持する。RFバイアス印加手段3
02を用いて保持手段102にRF電力を供給するとと
もに、マイクロ波電源6より所望の電力を、分配率調整
機構付きH分岐器56、多重無終端環状導波管103の
スロット103b、103b′を介し誘電体窓104を
透してプラズマ発生室109に供給する。プラズマ発生
室109内に導入されたマイクロ波の電界により電子が
加速され、室内にプラズマが発生する。
Next, the conductance control valve 26 provided in the exhaust system (24, 25, 26) is adjusted to
9 is maintained at a predetermined pressure. RF bias applying means 3
02, RF power is supplied to the holding means 102, and desired power is supplied from the microwave power supply 6 to the H-branch unit 56 with the distribution ratio adjusting mechanism and the slots 103b and 103b 'of the multiple endless annular waveguide 103. The plasma is supplied to the plasma generation chamber 109 through the dielectric window 104. Electrons are accelerated by the electric field of the microwave introduced into the plasma generation chamber 109, and plasma is generated in the chamber.

【0275】この際、処理用ガスは発生した高密度プラ
ズマにより励起され、クーラー414付の保持手段10
2上に載置され昇温が抑制された被処理体Wの表面を処
理する。
At this time, the processing gas is excited by the generated high-density plasma, and is held by the holding means 10 with the cooler 414.
The surface of the object to be processed W, which is placed on the substrate 2 and whose temperature rise is suppressed, is processed.

【0276】また、RFバイアスにより基板に入射する
イオンの運動エネルギーを制御できる。さらにクーラー
414を用いることにより、高密度プラズマと高バイア
スを用いた場合に問題となるイオン入射による基板の過
加熱を抑制することができる。
Also, the kinetic energy of ions incident on the substrate can be controlled by the RF bias. Further, by using the cooler 414, overheating of the substrate due to ion incidence, which is a problem when high-density plasma and high bias are used, can be suppressed.

【0277】(図10のプラズマ処理装置)図27のプ
ラズマ処理装置は、前述した装置同様に、2つの無終端
環状導波路43、44が同心状に配されたマイクロ波供
給器103を有している。
(Plasma Processing Apparatus in FIG. 10) The plasma processing apparatus in FIG. 27 has a microwave supplier 103 in which two endless annular waveguides 43 and 44 are concentrically arranged, similarly to the above-described apparatus. ing.

【0278】マイクロ波供給器103は、溝付の導電部
材32とスロット103b、103b′を有する板状の
導電部材31との組み立て体である。
The microwave supplier 103 is an assembly of a grooved conductive member 32 and a plate-shaped conductive member 31 having slots 103b and 103b '.

【0279】マイクロ波導入口付近には、H分岐器56
が設けられその設置角度が調整可能に配されている。
[0279] In the vicinity of the microwave introduction port, an H branch 56
Are provided and the installation angle is arranged so as to be adjustable.

【0280】導波管57から導入されるマイクロ波はH
分岐器56により内側導波路44と外側導波路43とに
分配されて導入される。
The microwave introduced from the waveguide 57 is H
The light is distributed and introduced into the inner waveguide 44 and the outer waveguide 43 by the branching device 56.

【0281】各導波路43、44内では、マイクロ波は
分配器110により時計回り方向と反時計回り方向とに
分配され、無終端の各導波路43、44内を伝搬し干渉
し合う。各導波路43、44内に導入されたマイクロ波
は、スロット103b、103b′より、容器101内
のプラズマ発生室兼プラズマ処理室109内に誘電体窓
104を透して供給される。そして、環状導波路43、
44内をそれぞれ2〜3周伝搬するとプラズマを生じ得
ない程に減衰する。室109内には、ガス供給手段10
7の複数のガス放出口107aが設けられている。
In each of the waveguides 43 and 44, the microwave is distributed in the clockwise direction and the counterclockwise direction by the distributor 110, and propagates through the endless waveguides 43 and 44 to interfere with each other. The microwave introduced into each of the waveguides 43 and 44 is supplied from the slots 103b and 103b 'through the dielectric window 104 into the plasma generation chamber / plasma processing chamber 109 in the container 101. Then, the annular waveguide 43,
Propagating through the inside 44 for two or three rounds, respectively, attenuates so that plasma cannot be generated. The gas supply means 10 is provided in the chamber 109.
Seven gas outlets 107a are provided.

【0282】斜め上方を向いたガス放出路の先にあるガ
ス放出口107aからガスが誘電体窓104及び各導波
路43、44のH面に向けて放出される。
Gas is discharged from the gas discharge port 107a at the end of the gas discharge path directed obliquely upward toward the dielectric window 104 and the H surface of each of the waveguides 43 and 44.

【0283】容器101の周囲の内壁に、その放出口1
07aが斜めに複数設けられている為、ガスはプラズマ
領域P内を経由して室109の中心に向けて放出され
る。このガス放出口107aの構造は、図1、図2、図
6、図9に示した実施の形態と同様に選択し設計し得
る。
[0283] The discharge port 1 is placed on the inner wall around the container 101.
Since a plurality of 07a are provided diagonally, the gas is discharged toward the center of the chamber 109 via the plasma region P. The structure of the gas outlet 107a can be selected and designed in the same manner as in the embodiment shown in FIGS. 1, 2, 6, and 9.

【0284】7Pはパージガスの供給手段であり、斜め
上方を向いたガス放出路の先の放出口は誘電体窓104
に向けられている。パージガス供給手段7Pは、窒素、
アルゴン等のパージガスの供給系(27〜29)に接続
されており、ボンベ27内のパージガスはバルブ28及
びマスフローコントローラー29を介して室内に供給さ
れる。
Reference numeral 7P denotes a purge gas supply means. The discharge port at the end of the gas discharge path which is directed obliquely upward is a dielectric window 104.
Is aimed at. The purge gas supply means 7P includes nitrogen,
It is connected to a supply system (27 to 29) for a purge gas such as argon, and the purge gas in the cylinder 27 is supplied to the room via a valve 28 and a mass flow controller 29.

【0285】図27の装置を用いた処理は次のとおりで
ある。
The processing using the apparatus shown in FIG. 27 is as follows.

【0286】まず保持手段102を下降させて容器を開
ける。
First, the holding means 102 is lowered to open the container.

【0287】リフトピン102aを上昇させたところ
に、被処理体Wを載せる。
The target object W is placed on the place where the lift pins 102a are raised.

【0288】リフトピン102aを下降させて、保持手
段102直上に被処理体Wを配し、保持手段102を上
昇させて、容器を閉じる。
By lowering the lift pins 102a, the workpiece W is placed immediately above the holding means 102, the holding means 102 is raised, and the container is closed.

【0289】真空ポンプ24を動かして、排気口108
より容器内を排気し、減圧する。
By operating the vacuum pump 24,
The inside of the container is further evacuated to reduce the pressure.

【0290】ガス供給系(21〜23)より所定の流量
で処理用ガスを容器内に供給する。こうして、処理ガス
は複数のガス放出口107aからスロット付のH面に向
けて放出される。
The processing gas is supplied from the gas supply system (21 to 23) into the container at a predetermined flow rate. In this manner, the processing gas is released from the plurality of gas discharge ports 107a toward the H surface having the slots.

【0291】マイクロ波電源6を動かし、マイクロ波を
マイクロ波供給器103に供給する。この時、マイクロ
波をTE10モードで供給し、その電力は、各導波路4
3、44を2〜3周伝搬し得るような値例えば1.0k
W以上とする。
The microwave power supply 6 is operated to supply microwaves to the microwave supplier 103. At this time, the microwave is supplied in the TE 10 mode, and the power is supplied to each waveguide 4.
A value such as 1.0 k that can propagate 2, 3 rounds of 3, 44
W or more.

【0292】この値は、導波路の周長やスロットの大き
さ等に依存するので、この値に限定されることはない。
Since this value depends on the circumference of the waveguide, the size of the slot, and the like, it is not limited to this value.

【0293】スロット103b、103b′から放射さ
れたマイクロ波は誘電体窓を透して容器101内のプラ
ズマ発生室間109に供給され処理ガスをプラズマ化す
る。
The microwaves radiated from the slots 103b and 103b 'are supplied to the space 109 between the plasma generating chambers in the container 101 through the dielectric window to turn the processing gas into plasma.

【0294】このプラズマのラジカルやイオンや電子を
利用して被処理体Wに処理を施す。
The object to be processed W is processed using the radicals, ions and electrons of the plasma.

【0295】処理が終了したら、パージガスを導入し、
大気圧まで容器内圧力を上昇させる。
When the processing is completed, a purge gas is introduced,
Increase the pressure in the vessel to atmospheric pressure.

【0296】保持手段102を下降して、容器を開き、
リフトピン102aを上昇させて、被処理体Wを取り出
す。
The holding means 102 is lowered to open the container,
The workpiece W is taken out by raising the lift pins 102a.

【0297】[0297]

【実施例】以下実施例を挙げて本発明のマイクロ波プラ
ズマ処理装置及び処理方法をより具体的に説明するが、
本発明はこれら実施例に限定されるものではない。
EXAMPLES Hereinafter, the microwave plasma processing apparatus and the processing method of the present invention will be described more specifically with reference to examples.
The present invention is not limited to these examples.

【0298】(参考例1)図11に示したマイクロ波プ
ラズマ処理装置を使用し、フォトレジストのアッシング
を行った。
Reference Example 1 Photoresist ashing was performed using the microwave plasma processing apparatus shown in FIG.

【0299】被処理体Wとしては、ホトレジストパター
ンより露出した層間絶縁膜をエッチングし、ビアホール
が形成された直後の8インチシリコンウエハを使用し
た。まず、Siウエハを保持手段102上に設置した
後、排気系(24〜26)を介してプラズマ発生室10
9内を真空排気し、10-5Torrまで減圧させた。プ
ラズマ処理用ガス供給手段107を介して酸素ガスを2
slmの流量で室内に導入した。ついで、排気系(24
〜26)に設けられたコンダクタンスバルブ26を調整
し、発生室109内を2Torrに保持した。マイクロ
波電源6より2.45GHz1.5kWのマイクロ波電
力をTE10モードで平板状スロット付環状導波管103
に導入した。かくして、スロット103bからマイクロ
波が放射されプラズマを室109内に発生させた。この
際、プラズマ処理用ガス供給口107を介して導入され
た酸素ガスはプラズマ発生室109で励起、分解、反応
してオゾンとなり、SiウエハWの方向に輸送され、S
iウエハ表面のホトレジストを酸化し、酸化されたホト
レジストは気化・除去された。こうしたアッシング後、
アッシング速度と基板表面電荷密度などについて評価し
た。
As the object to be processed W, an 8-inch silicon wafer immediately after a via hole was formed by etching an interlayer insulating film exposed from a photoresist pattern was used. First, after the Si wafer is set on the holding means 102, the plasma generation chamber 10 is set via the exhaust system (24 to 26).
The inside of 9 was evacuated, and the pressure was reduced to 10 -5 Torr. Oxygen gas is supplied through the plasma processing gas supply means 107.
It was introduced into the room at a flow rate of slm. Then, the exhaust system (24
26), the inside of the generation chamber 109 was maintained at 2 Torr. A microwave power of 2.45 GHz 1.5 kW from the microwave power source 6 is applied to the annular waveguide 103 with a flat slot in TE 10 mode.
Was introduced. Thus, the microwave was radiated from the slot 103b to generate plasma in the chamber 109. At this time, the oxygen gas introduced through the plasma processing gas supply port 107 is excited, decomposed and reacted in the plasma generation chamber 109 to become ozone, transported in the direction of the Si wafer W, and
The photoresist on the surface of the i-wafer was oxidized, and the oxidized photoresist was vaporized and removed. After such ashing,
The ashing speed and the substrate surface charge density were evaluated.

【0300】得られたアッシング速度は、8.6μm/
min±8.5%と極めて大きく、表面電荷密度も−
1.3×1011/cm2 と充分低い値を示した。
The ashing speed obtained was 8.6 μm /
min ± 8.5%, and the surface charge density is −
The value was a sufficiently low value of 1.3 × 10 11 / cm 2 .

【0301】(参考例2)図12に示したマイクロ波プ
ラズマ処理装置を使用し、フォトレジストのアッシング
を行った。
Reference Example 2 Photoresist ashing was performed using the microwave plasma processing apparatus shown in FIG.

【0302】被処理体Wとしては、ホトレジストパター
ンより露出した層間絶縁膜をエッチングし、ビアホール
が形成された直後の8インチシリコンウエハを使用し
た。まずSiウエハを保持手段102上に設置した後、
排気系(24〜26)を介してプラズマ発生室109内
を真空排気し、10-5Torrまで減圧させた。プラズ
マ処理用ガス供給手段107を介して酸素ガスを2sl
mの流量で室内に導入した。ついで、排気系(24〜2
6)に設けられたコンダクタンスバルブ26を調整し、
室内を2Torrに保持した。マイクロ波電源6より
2.45GHz1.5kWのマイクロ波電力を平板状ス
ロット付環状導波管103内に接線導入した。かくし
て、スロットからマイクロ波が放射されプラズマ発生室
109内にプラズマを発生させた。この際、プラズマ処
理用ガス供給口107を介して導入された酸素ガスはプ
ラズマ発生室109内で励起、分解、反応してオゾンと
なり、SiウエハWの方向に輸送され、Siウエハ表面
のホトレジストを酸化し、酸化されたホトレジストが気
化・除去された。こうしたアッシング後、アッシング速
度と基板表面電荷密度などについて評価した。
As an object to be processed W, an 8-inch silicon wafer immediately after a via hole was formed by etching an interlayer insulating film exposed from a photoresist pattern was used. First, after setting the Si wafer on the holding means 102,
The inside of the plasma generation chamber 109 was evacuated through the exhaust system (24 to 26) to reduce the pressure to 10 -5 Torr. 2 sl of oxygen gas was supplied through the plasma processing gas supply means 107.
m into the room. Then, the exhaust system (24-2
6) adjust the conductance valve 26 provided in
The room was kept at 2 Torr. A microwave power of 2.45 GHz and 1.5 kW from the microwave power supply 6 was tangentially introduced into the annular waveguide 103 having a slot with a flat plate. Thus, microwaves were radiated from the slots to generate plasma in the plasma generation chamber 109. At this time, the oxygen gas introduced through the plasma processing gas supply port 107 is excited, decomposed and reacted in the plasma generation chamber 109 to become ozone, transported in the direction of the Si wafer W, and removes the photoresist on the surface of the Si wafer. The oxidized and oxidized photoresist was vaporized and removed. After such ashing, the ashing speed and the substrate surface charge density were evaluated.

【0303】得られたアッシング速度は、8.9μm/
min±9.4%を極めて大きく、表面電荷密度も−
1.4×1011/cm2 と充分低い値を示した。
The obtained ashing speed was 8.9 μm /
min ± 9.4% is extremely large, and the surface charge density is also −
It was a sufficiently low value of 1.4 × 10 11 / cm 2 .

【0304】(参考例3)図11に示したマイクロ波プ
ラズマ処理装置を使用し、半導体素子保護用窒化シリコ
ン膜の形成を行った。
Reference Example 3 Using the microwave plasma processing apparatus shown in FIG. 11, a silicon nitride film for protecting a semiconductor element was formed.

【0305】被処理体Wとしては、0.5μm巾及び
0.5μmピッチのラインアンドスペースのAl配線パ
ターンが形成された層間絶縁膜付きP型単結晶シリコン
基板(面方位〈100〉,抵抗率10Ωcm)を使用し
た。まず、シリコン基板Wを保持手段102上に設置し
た後、排気系(24〜26)を介してプラズマ発生室1
09内を真空排気し、10-7Torrの値まで減圧させ
た。続いてヒータ114に通電し、シリコン基板Wを3
00℃に加熱し、該基板をこの温度に保持した。プラズ
マ処理用ガス供給手段107を介して窒素ガスを600
sccmの流量で、また、モノシランガスを200sc
cmの流量で室内に導入した。ついで、排気系(24〜
26)に設けられたコンダクタンスバルブ26を調整
し、室内を20mTorrに保持した。ついで、マイク
ロ波電源6より2.45GHz3.0kWのマイクロ波
電力をTM10モードで平板状スロット付環状導波管10
3に導入した。かくして、プラズマ発生室109内にプ
ラズマを発生させた。この際、プラズマ処理用ガス供給
口107を介して供給された窒素ガスはプラズマ発生室
109内で励起、分解されて活性種となり、シリコン基
板Wの方向に輸送され、モノシランガスと反応し、窒化
シリコン膜がシリコン基板W上に1.0μmの厚さで形
成した。成膜後、成膜速度、応力などの膜質について評
価した。応力は成膜前後の基板の反り量の変化をレーザ
干渉計Zygo(商品名)で測定し求めた。
As the object to be processed W, a P-type single-crystal silicon substrate with an interlayer insulating film on which a line and space Al wiring pattern having a width of 0.5 μm and a pitch of 0.5 μm is formed (plane orientation <100>, resistivity 10 Ωcm). First, after the silicon substrate W is set on the holding means 102, the plasma generation chamber 1 is set via the exhaust system (24 to 26).
09 was evacuated and evacuated to a value of 10 -7 Torr. Subsequently, a current is supplied to the heater 114 so that the silicon substrate W
The substrate was heated to 00 ° C. and kept at this temperature. Nitrogen gas is supplied through the plasma processing gas supply
At a flow rate of sccm, monosilane gas is supplied at 200 sc
cm into the room. Then, the exhaust system (24 ~
The conductance valve 26 provided in 26) was adjusted to maintain the room at 20 mTorr. Then, a microwave power of 2.45 GHz 3.0 kW from the microwave power source 6 is supplied to the annular waveguide 10 with a flat slot in the TM 10 mode.
3 was introduced. Thus, plasma was generated in the plasma generation chamber 109. At this time, the nitrogen gas supplied through the plasma processing gas supply port 107 is excited and decomposed into an active species in the plasma generation chamber 109, is transported in the direction of the silicon substrate W, reacts with the monosilane gas, and reacts with the silicon nitride. The film was formed on the silicon substrate W with a thickness of 1.0 μm. After the film formation, film quality such as film formation speed and stress was evaluated. The stress was determined by measuring the change in the amount of warpage of the substrate before and after film formation using a laser interferometer Zygo (trade name).

【0306】得られた窒化シリコン膜の成膜速度は、5
40nm/minと極めて大きく、膜質も応力1.1×
109 dyne/cm2 (圧縮)、リーク電流1.2×
10 -10 A/cm2 、絶縁耐圧9MV/cmの極めて良
質な膜であることが確認された。
The rate of film formation of the obtained silicon nitride film is 5
Extremely large at 40 nm / min, and the film quality is stress 1.1 ×
109 dyne / cmTwo (Compression), leak current 1.2 ×
10 -Ten A / cmTwo , Very good withstand voltage 9MV / cm
It was confirmed that the film was a quality film.

【0307】(参考例4)図12に示したマイクロ波プ
ラズマ処理装置を使用し、プラスチックレンズ反射防止
用酸化シリコン膜及び窒化シリコン膜の形成を行った。
Reference Example 4 Using the microwave plasma processing apparatus shown in FIG. 12, a silicon oxide film and a silicon nitride film for preventing reflection of a plastic lens were formed.

【0308】被処理体としては、直径50mmプラスチ
ック凸レンズを使用した。レンズを保持手段102上に
設置した後、排気系(24〜26)を介してプラズマ発
生室109内を真空排気し、10-7Torrの値まで減
圧させた。プラズマ処理用ガス供給手段107を介して
窒素ガスを150sccmの流量で、また、モノシラン
ガスを100sccmの流量で室内に導入した。つい
で、排気系(24〜26)に設けられたコンダクタンス
バルブ26を調整し、室内を5mTorrに保持した。
ついでマイクロ波電源6より2.45GHz,3.0k
Wのマイクロ波電力を平板状スロット付環状導波管10
3に接線導入した。
As the object to be processed, a plastic convex lens having a diameter of 50 mm was used. After placing the lens on the holding means 102, the inside of the plasma generation chamber 109 was evacuated via the exhaust system (24 to 26) to reduce the pressure to 10 -7 Torr. Nitrogen gas was introduced into the room at a flow rate of 150 sccm, and monosilane gas was introduced at a flow rate of 100 sccm via the plasma processing gas supply means 107. Next, the conductance valve 26 provided in the exhaust system (24 to 26) was adjusted to maintain the room at 5 mTorr.
Then, 2.45 GHz, 3.0 k from microwave power supply 6
W microwave power is applied to the annular waveguide 10
3 was tangentially introduced.

【0309】かくして、マイクロ波はスロットより放射
され室内にプラズマを発生させた。この際、プラズマ処
理用ガス供給口107を介して供給された窒素ガスは、
室内で励起、分解されて窒素原子などの活性種となり、
レンズWの方向に輸送され、モノシランガスと反応し、
窒化シリコン膜がレンズW上に21nmの厚さで形成さ
れた。
[0309] Thus, the microwave was radiated from the slot to generate plasma in the room. At this time, the nitrogen gas supplied through the plasma processing gas supply port 107 is
Excited and decomposed indoors to become active species such as nitrogen atoms,
It is transported in the direction of the lens W and reacts with monosilane gas,
A silicon nitride film was formed on the lens W with a thickness of 21 nm.

【0310】次に、プラズマ処理用ガス供給手段107
を介して酸素ガスを200sccmの流量で、また、モ
ノシランガスを100sccmの流量で室内に導入し
た。ついで、排気系(24〜26)に設けられたコンダ
クタンス制御バルブ26を調整し、室内を1mTorr
に保持した。ついで、マイクロ波電源(不図示)より
2.45GHz2.0kWのマイクロ波電力を平板状ス
ロット付環状導波管103内に接線導入した。かくし
て、プラズマ発生室内にプラズマを発生させた。この
際、プラズマ処理用ガス供給口107を介して供給され
た酸素ガスは、プラズマ発生室109内で励起、分解さ
れて酸素原子などの活性種となり、レンズの方向に輸送
され、モノシランガスと反応し、酸化シリコン膜がレン
ズ上に86nmの厚さで形成された。成膜後、成膜速
度、反射特性について評価した。
Next, plasma processing gas supply means 107
, Oxygen gas was introduced into the room at a flow rate of 200 sccm, and monosilane gas was introduced into the room at a flow rate of 100 sccm. Next, the conductance control valve 26 provided in the exhaust system (24 to 26) is adjusted, and the room is adjusted to 1 mTorr.
Held. Next, a microwave power of 2.45 GHz and 2.0 kW was tangentially introduced from a microwave power supply (not shown) into the annular waveguide 103 having a flat slot. Thus, plasma was generated in the plasma generation chamber. At this time, the oxygen gas supplied via the plasma processing gas supply port 107 is excited and decomposed in the plasma generation chamber 109 to become active species such as oxygen atoms, is transported in the direction of the lens, and reacts with the monosilane gas. A silicon oxide film was formed on the lens with a thickness of 86 nm. After the film formation, the film formation speed and the reflection characteristics were evaluated.

【0311】得られた窒化シリコン膜及び酸化シリコン
膜の成膜速度はそれぞれ300nm/min、360n
m/minと良好で、膜質も、500nm付近の反射率
が0.3%と極めて良好な光学特性であることが確認さ
れた。
[0311] The film formation rates of the obtained silicon nitride film and silicon oxide film were 300 nm / min and 360 n, respectively.
m / min, and the film quality was confirmed to be very good optical characteristics, with a reflectivity at around 500 nm of 0.3%.

【0312】(参考例5)図13に示したマイクロ波プ
ラズマ処理装置を使用し、半導体素子の層間絶縁用酸化
シリコン膜の形成を行った。
Reference Example 5 A silicon oxide film for interlayer insulation of a semiconductor element was formed using the microwave plasma processing apparatus shown in FIG.

【0313】被処理体としては、最上部に幅0.5μ
m、ピッチ0.5μmのラインアンドスペースのA1パ
ターンが形成されたP型の単結晶シリコン基板(面方位
〈100〉,抵抗率10Ωcm)を使用した。まず、シ
リコン基板Wを保持手段102上に設置した。排気系
(24〜26)を介してプラズマ発生室109内を真空
排気し、10-7Torrの値まで減圧させた。続いてヒ
ータ114に通電し、シリコン基板を300℃に加熱
し、該基板をこの温度に保持した。プラズマ処理用ガス
供給手段107を介して酸素ガスを500sccmの流
量で、また、モノシランガスを200sccmの流量で
室内に導入した。ついで、排気系(24〜26)に設け
られたコンダクタンス制御バルブ26を調整し、プラズ
マ発生室301内を30mTorrに保持した。つい
で、13.56MHz300Wの高周波電力を保持手段
102に印加するとともに、マイクロ波電源より2.4
5GHz、2.0kWのマイクロ波電力を平板状スロッ
ト付環状導波管103にTM10モードで導入した。かく
して、スロットからマイクロ波が放射されプラズマ発生
室109内にプラズマを発生させた。プラズマ処理用ガ
ス供給口107を介して供給された酸素ガスは室内で励
起、分解されて活性種となり、シリコン基板の方向に輸
送され、モノシランガスと反応し、酸化シリコン膜がシ
リコン基板W上に0.8μmの厚さで形成された。この
時、イオン種はRFバイアスにより加速されて基板に入
射しパターン上の膜を削り平坦性を向上させ作用を生じ
させている。処理後、成膜速度、均一性、絶縁耐圧、及
び段差被覆性ついて評価した。段差被覆性は、Al配線
パターン上に成膜した酸化シリコン膜の断面を走査型電
子顕微鏡(SEM)で観測し、ボイドを観測することに
より評価した。
The object to be processed has a width of 0.5 μm at the top.
A P-type single-crystal silicon substrate (plane orientation <100>, resistivity 10 Ωcm) on which a line and space A1 pattern having a pitch of 0.5 μm was formed. First, the silicon substrate W was set on the holding means 102. The inside of the plasma generation chamber 109 was evacuated via the exhaust system (24 to 26) to reduce the pressure to a value of 10 -7 Torr. Subsequently, electricity was supplied to the heater 114 to heat the silicon substrate to 300 ° C., and the substrate was kept at this temperature. Oxygen gas was introduced into the room at a flow rate of 500 sccm, and monosilane gas was introduced at a flow rate of 200 sccm via the plasma processing gas supply means 107. Next, the conductance control valve 26 provided in the exhaust system (24 to 26) was adjusted to maintain the inside of the plasma generation chamber 301 at 30 mTorr. Next, while applying a high frequency power of 13.56 MHz and 300 W to the holding means 102, the microwave power supply 2.4.
5 GHz, was introduced in the TM 10 mode microwave power of 2.0kW to flat slotted annular waveguide 103. Thus, microwaves were radiated from the slots to generate plasma in the plasma generation chamber 109. Oxygen gas supplied through the plasma processing gas supply port 107 is excited and decomposed into active species in the room, is transported in the direction of the silicon substrate, reacts with the monosilane gas, and the silicon oxide film is deposited on the silicon substrate W. It was formed with a thickness of 0.8 μm. At this time, the ion species are accelerated by the RF bias and are incident on the substrate to cut the film on the pattern to improve the flatness, thereby producing an effect. After the treatment, the film formation rate, uniformity, dielectric strength, and step coverage were evaluated. The step coverage was evaluated by observing a cross section of the silicon oxide film formed on the Al wiring pattern with a scanning electron microscope (SEM) and observing voids.

【0314】得られた酸化シリコン膜の成膜速度と均一
性は240nm/min±2.5%と良好で、膜質も絶
縁耐圧8.5MV/cm、ボイドフリーであって良質な
膜であることが確認された。
The film formation rate and uniformity of the obtained silicon oxide film are as good as 240 nm / min ± 2.5%, the film quality is 8.5 MV / cm in dielectric strength, and the film is void-free and of good quality. Was confirmed.

【0315】(参考例6)図14に示したマイクロ波プ
ラズマ処理装置を使用し、半導体素子の層間の絶縁膜の
エッチングを行った。
Reference Example 6 The microwave plasma processing apparatus shown in FIG. 14 was used to etch an insulating film between layers of a semiconductor element.

【0316】被処理体としては、0.35μm幅と0.
35μmピッチのラインアンドスペースのAlパターン
上に1μm厚の酸化シリコン膜が形成されたP型単結晶
シリコン基板(面方位〈100〉,抵抗率10Ωcm)
を使用した。まず、シリコン基板を保持手段102上に
設置した後、排気系(24〜26)を介してプラズマ発
生室109内を真空排気し、10-7Torrの値まで減
圧させた。プラズマ処理用ガス供給手段107を介して
CF4 を300sccmの流量でプラズマ発生室内に導
入した。ついで、排気系(24〜26)に設けられたコ
ンダクタンス制御バルブ26を調整し、プラズマ発生室
109内を5mTorrの圧力に保持した。ついで、1
3.56MHz300Wの高周波電力を保持手段に印加
するとともに、マイクロ波電源6より2.45GHz
2.0kWのマイクロ波電力を平板状スロット付環状導
波管203内にTM10モードで導入した。かくして、ス
ロットからマイクロ波が放射されプラズマ発生室109
内にプラズマを発生させた。プラズマ処理用ガス供給口
107を介して供給されたCF4 ガスはプラズマ発生室
109内で励起、分解されて活性種となり、シリコン基
板Wの方向に輸送され、自己バイアスによって加速され
たイオンによって酸化シリコン膜がエッチングされた。
クーラ414により基板温度は90℃を越えて上昇する
ことはなかった。エッチング後、エッチング速度、選択
比、及びエッチング形状について評価した。エッチング
形状は、エッチングされた酸化シリコン膜の断面を走査
型電子顕微鏡(SEM)で観測し、評価した。
The object to be processed has a width of 0.35 μm and a thickness of 0.35 μm.
P-type single crystal silicon substrate having a 1 μm thick silicon oxide film formed on a 35 μm pitch line-and-space Al pattern (plane orientation <100>, resistivity 10 Ωcm)
It was used. First, after the silicon substrate was set on the holding means 102, the inside of the plasma generation chamber 109 was evacuated via the exhaust system (24 to 26) to reduce the pressure to a value of 10 -7 Torr. CF 4 was introduced into the plasma generation chamber at a flow rate of 300 sccm via the plasma processing gas supply means 107. Next, the conductance control valve 26 provided in the exhaust system (24 to 26) was adjusted to maintain the inside of the plasma generation chamber 109 at a pressure of 5 mTorr. Then 1
A high frequency power of 3.56 MHz 300 W is applied to the holding means, and a microwave power source 6 supplies 2.45 GHz.
Microwave power of 2.0kW was introduced in TM 10 mode in the flat slotted annular waveguide 203. Thus, microwaves are radiated from the slots and the plasma generation chamber 109
A plasma was generated inside. The CF 4 gas supplied through the plasma processing gas supply port 107 is excited and decomposed into an active species in the plasma generation chamber 109, is transported in the direction of the silicon substrate W, and is oxidized by ions accelerated by a self-bias. The silicon film has been etched.
The cooler 414 did not raise the substrate temperature beyond 90 ° C. After the etching, the etching rate, the selectivity, and the etching shape were evaluated. The etched shape was evaluated by observing the cross section of the etched silicon oxide film with a scanning electron microscope (SEM).

【0317】エッチング速度は600nm/min、対
ポリシリコン選択比20と良好であり、エッチング形状
もほぼ垂直で、マイクロローディング効果も少ないこと
が確認された。
The etching rate was as good as 600 nm / min and the selectivity to polysilicon was 20. The etching shape was almost vertical, and it was confirmed that the microloading effect was small.

【0318】(参考例7)図14に示したマイクロ波プ
ラズマ処理装置を使用し、半導体素子のゲート電極用ポ
リシリコン膜のエッチングを行った。
Reference Example 7 A polysilicon film for a gate electrode of a semiconductor element was etched using the microwave plasma processing apparatus shown in FIG.

【0319】被処理体としては、最上部にポリシリコン
膜が形成されたP型単結晶シリコン基板(面方位〈10
0〉,抵抗率10Ωcm)を使用した。まず、シリコン
基板を保持手段102上に設置した後、排気系(24〜
26)を介してプラズマ発生室109内を真空排気し、
10-7Torrの値まで減圧させた。プラズマ処理用ガ
ス供給手段107を介してCF4 ガスを300scc
m、酸素を20sccmの流量でプラズマ発生室109
内に導入した。ついで、排気系(24〜26)に設けら
れたコンダクタンス制御バルブ26を調整し、プラズマ
発生室109内を2mTorrの圧力に保持した。つい
で、400kHz、300Wの高周波電力を保持手段1
02に印加するとともに、2.45GHz1.5kWの
マイクロ波電力を平板状スロット付環状導波管203内
にTM10モードで導入した。かくして、スロットからマ
イクロ波が放射されプラズマ発生室109内にプラズマ
を発生させた。プラズマ処理用ガス供給口107を介し
て供給されたCF4 ガス及び酸素はプラズマ発生室40
1内で励起、分解されて活性種となり、シリコン基板W
の方向に輸送され、自己バイアスにより加速されたイオ
ンによりポリシリコン膜がエッチングされた。クーラ4
14により、基板温度は80℃を越えて上昇することは
なかった。エッチング後、エッチング速度、選択比、及
びエッチング形状について評価した。エッチング形状
は、エッチングされたポリシリコン膜の断面を走査型電
子顕微鏡(SEM)で観測し、評価した。
As the object to be processed, a P-type single crystal silicon substrate having a polysilicon film formed on the uppermost portion (plane orientation <10
0>, resistivity 10 Ωcm). First, after the silicon substrate is set on the holding means 102, the exhaust system (24 to
The inside of the plasma generation chamber 109 is evacuated via 26),
The pressure was reduced to a value of 10 -7 Torr. The CF 4 gas is supplied at 300 scc via the plasma processing gas supply means 107.
m and oxygen at a flow rate of 20 sccm.
Introduced within. Next, the conductance control valve 26 provided in the exhaust system (24 to 26) was adjusted to maintain the inside of the plasma generation chamber 109 at a pressure of 2 mTorr. Then, the holding means 1 holds the high frequency power of 400 kHz and 300 W.
It is applied with 02, introduced in TM 10 mode microwave power 2.45GHz1.5kW in tabular slotted annular waveguide 203. Thus, microwaves were radiated from the slots to generate plasma in the plasma generation chamber 109. The CF 4 gas and oxygen supplied through the plasma processing gas supply port 107 are supplied to the plasma generation chamber 40.
1, is excited and decomposed into active species, and the silicon substrate W
And the polysilicon film was etched by ions accelerated by the self-bias. Cooler 4
According to No. 14, the substrate temperature did not rise above 80 ° C. After the etching, the etching rate, the selectivity, and the etching shape were evaluated. The etched shape was evaluated by observing the cross section of the etched polysilicon film with a scanning electron microscope (SEM).

【0320】エッチング速度は800nm/min、対
SiO2 選択比は30と良好であり、エッチング形状も
垂直で、マイクロローディング校かも少ないことが確認
された。
The etching rate was 800 nm / min and the selectivity to SiO 2 was as good as 30. The etching shape was vertical, and it was confirmed that the microloading was small.

【0321】(参考例8)図15に示すプラズマ処理装
置を用いて、実施例1と同様にプラズマを用いたホトレ
ジストのアッシングを行った。その結果、均一で残渣の
ないアッシングが短時間で行えた。
Reference Example 8 Photoresist ashing using plasma was performed in the same manner as in Example 1 using the plasma processing apparatus shown in FIG. As a result, uniform and residue-free ashing was performed in a short time.

【0322】(実施例1)図20に示したマイクロ波プ
ラズマ処理装置を使用し、ホトレジストのアッシングを
行った。
(Example 1) Ashing of photoresist was performed using the microwave plasma processing apparatus shown in FIG.

【0323】被処理体Wとしては、ホトレジストパター
ンから露出したSiO2 膜をエッチングし、ビアホール
を形成した直後のシリコンウエハ(300mmウエハ)
を使用した。
As the object to be processed W, a silicon wafer (300 mm wafer) immediately after forming a via hole by etching the SiO 2 film exposed from the photoresist pattern
It was used.

【0324】まず、Siウエハを保持手段2上に設置し
た後、排気系(不図示)を介して室内を真空排気し、1
-5Torrまで減圧された。プラズマ処理用ガス供給
手段7を介して酸素ガスを2slmの流量で室内に導入
した。
First, after the Si wafer is set on the holding means 2, the room is evacuated via an exhaust system (not shown) to
The pressure was reduced to 0 -5 Torr. Oxygen gas was introduced into the room at a flow rate of 2 slm through the plasma processing gas supply means 7.

【0325】ついで、排気系(不図示)に設けられたコ
ンダクタンス制御バルブ(不図示)を調整し、室内を2
Torrに保持した。
Next, the conductance control valve (not shown) provided in the exhaust system (not shown) was adjusted to
Torr.

【0326】室内に、2.45GHzのマイクロ波電源
より2.0kWの電力を、分配率が内側0.5/外側
0.5になるように調整されたH分岐器56を利用して
多重無終端環状導波管3を介して供給した。
In a room, power of 2.0 kW from a microwave power supply of 2.45 GHz is not multiplexed by using an H splitter 56 adjusted so that the distribution ratio becomes 0.5 inside / 0.5 outside. It was supplied via a terminating annular waveguide 3.

【0327】かくして、室内にプラズマを発生させた。
この際、供給された酸素ガスはプラズマ発生室9内で励
起、分解、反応してオゾンとなり、シリコンウエハの方
向に輸送され、ウエハ上のフォトレジストを酸化し、気
化・除去された。アッシング後、アッシング速度と基板
表面電荷密度などについて評価した。
[0327] Thus, plasma was generated in the room.
At this time, the supplied oxygen gas was excited, decomposed and reacted in the plasma generation chamber 9 to become ozone, transported in the direction of the silicon wafer, oxidized the photoresist on the wafer, and was vaporized and removed. After the ashing, the ashing speed and the substrate surface charge density were evaluated.

【0328】得られたアッシング速度は、8.2μm/
min±7.2%を極めて大きく、表面電荷密度も1.
3×1011/cm2 と充分低い値を示した。
The resulting ashing speed was 8.2 μm /
min ± 7.2% is extremely large, and the surface charge density is also 1.
This was a sufficiently low value of 3 × 10 11 / cm 2 .

【0329】(実施例2)図24に示したマイクロ波プ
ラズマ処理装置を使用し、ホトレジストのアッシングを
行った。
Example 2 Ashing of photoresist was performed using the microwave plasma processing apparatus shown in FIG.

【0330】被処理体として前記実施例1と同じものを
使用した。
The same object as in Example 1 was used as the object to be processed.

【0331】まず、Siウエハを保持手段102上に設
置した後、排気系(24〜26)を介してプラズマ処理
室内を真空排気し、10-5Torrまで減圧させた。プ
ラズマ処理用ガス放出口107aを介して酸素ガスを2
slmの流量でプラズマ処理室内に導入した。
First, after the Si wafer was set on the holding means 102, the plasma processing chamber was evacuated via the exhaust system (24 to 26) to reduce the pressure to 10 -5 Torr. Oxygen gas is supplied through the plasma processing gas discharge port 107a.
It was introduced into the plasma processing chamber at a flow rate of slm.

【0332】ついで、排気系(24〜26)に設けられ
たバルブ26を調整し、処理室内を2Torrに保持し
た。プラズマ処理室内に、2.45GHzのマイクロ波
電源より2.0kWの電力を、分配率が内側0.6/外
側0.4に調整された多重無終端環状導波管103を介
して供給した。かくして、プラズマ処理室内にプラズマ
を発生させた。この際、プラズマ処理用ガス放出口10
7aを介して放出された酸素ガスはプラズマ処理室内で
励起、分解、反応してオゾンとなり、シリコンウエハの
方向に輸送され、シリコンウエハ上のホトレジストを酸
化し、気化・除去された。アッシング後、アッシング速
度と基板表面電荷密度などについて評価した。
Next, the valve 26 provided in the exhaust system (24 to 26) was adjusted to maintain the inside of the processing chamber at 2 Torr. 2.0 kW of power was supplied from a microwave power supply of 2.45 GHz into the plasma processing chamber through the multiple endless annular waveguide 103 whose distribution ratio was adjusted to 0.6 inside / 0.4 outside. Thus, plasma was generated in the plasma processing chamber. At this time, the plasma processing gas discharge port 10
Oxygen gas released via 7a was excited, decomposed and reacted in the plasma processing chamber to become ozone, transported in the direction of the silicon wafer, oxidized the photoresist on the silicon wafer, and was vaporized and removed. After the ashing, the ashing speed and the substrate surface charge density were evaluated.

【0333】得られたアッシング速度は、8.6μm/
min±7.8%を極めて大きく、表面電荷密度も1.
2×1011/cm2 と充分低い値を示した。
The ashing speed obtained was 8.6 μm /
min ± 7.8% is extremely large, and the surface charge density is also 1.
The value was a sufficiently low value of 2 × 10 11 / cm 2 .

【0334】(実施例3)図20に示したマイクロ波プ
ラズマ処理装置を使用し、半導体素子保護用窒化シリコ
ン膜の形成を行った。
Example 3 Using the microwave plasma processing apparatus shown in FIG. 20, a silicon nitride film for protecting a semiconductor element was formed.

【0335】被処理体としては、Alの幅0.5μm、
ピッチ0.5μmのラインアンドスペースパターンが形
成された層間絶縁膜付き直径約300mmのP型単結晶
シリコンウエハ(面方位〈100〉,抵抗率10Ωc
m)を使用した。
As the object to be processed, the width of Al was 0.5 μm,
P-type single crystal silicon wafer of about 300 mm diameter with an interlayer insulating film on which a line and space pattern with a pitch of 0.5 μm is formed (plane orientation <100>, resistivity 10Ωc)
m) was used.

【0336】まず、シリコンウエハを保持手段2上に設
置した後、排気系(不図示)を介してプラズマ処理室内
を真空排気し、10-7Torrの値まで減圧させた。続
いてヒーター114に通電し、シリコンウエハを300
℃に加熱し、該基板をこの温度に保持した。プラズマ処
理ガス放出口7aを介して窒素ガスを600sccmの
流量で、また、モノシランガスを200sccmの流量
で処理室内に導入した。
First, after the silicon wafer was set on the holding means 2, the plasma processing chamber was evacuated via an exhaust system (not shown) to reduce the pressure to 10 -7 Torr. Subsequently, a current is supplied to the heater 114 so that the silicon wafer is
C. and the substrate was kept at this temperature. Nitrogen gas was introduced into the processing chamber at a flow rate of 600 sccm, and monosilane gas was introduced at a flow rate of 200 sccm through the plasma processing gas discharge port 7a.

【0337】ついで、排気系(不図示)に設けられたコ
ンダクタンス制御バルブ(不図示)を調整し、処理室内
を20mTorrに保持した。
Next, the conductance control valve (not shown) provided in the exhaust system (not shown) was adjusted to maintain the inside of the processing chamber at 20 mTorr.

【0338】ついで、2.45GHzのマイクロ波電源
(不図示)より3.0kWの電力を、分配率が内側0.
45/外側0.55に調整された多重無終端環状導波管
3を介して供給した。
Next, power of 3.0 kW was supplied from a microwave power supply (not shown) of 2.45 GHz, and the distribution ratio was set to be 0.
It was fed through a multiple endless annular waveguide 3 adjusted to 45 / outer 0.55.

【0339】かくして、プラズマ処理室内にプラズマを
発生させた。この際、プラズマ処理用ガス放出口7aを
介して導入された窒素ガスはプラズマ処理室内で励起、
分解されて活性種となり、シリコンウエハの方向に輸送
され、モノシランガスと反応し、窒化シリコン膜がシリ
コンウエハ上に1.0μmの厚さで形成した。成膜後、
成膜速度、応力などの膜質について評価した。応力は成
膜前後の基板の反り量の変化をレーザ干渉計Zygo
(商品名)で測定し求めた。
[0339] Thus, plasma was generated in the plasma processing chamber. At this time, the nitrogen gas introduced through the plasma processing gas discharge port 7a is excited in the plasma processing chamber,
It was decomposed into active species, transported in the direction of the silicon wafer, reacted with the monosilane gas, and formed a silicon nitride film with a thickness of 1.0 μm on the silicon wafer. After film formation,
The film quality such as film formation speed and stress was evaluated. The stress is obtained by measuring the change in the amount of warpage of the substrate before and after film formation using a laser interferometer Zygo.
(Trade name).

【0340】得られた窒化シリコン膜の成膜速度は、5
40nm/minと極めて大きく、膜質も応力1.1×
109 dyne/cm2 (圧縮)、リーク電源1.3×
10 -10 A/cm2 、絶縁耐圧9.7MV/cmの極め
て良質な膜であることが確認された。
The film formation rate of the obtained silicon nitride film is 5
Extremely large at 40 nm / min, and the film quality is stress 1.1 ×
109 dyne / cmTwo (Compression), leak power 1.3x
10 -Ten A / cmTwo , Withstand voltage of 9.7MV / cm
It was confirmed that the film was of good quality.

【0341】(実施例4)図24に示したマイクロ波プ
ラズマ処理装置を使用し、プラスチックレンズ反射防止
用膜として酸化シリコン膜及び窒化シリコン膜の形成を
行った。
Example 4 Using the microwave plasma processing apparatus shown in FIG. 24, a silicon oxide film and a silicon nitride film were formed as a plastic lens antireflection film.

【0342】被処理体Wとしては、直径50mmプラス
チック凸レンズを使用した。レンズ保持手段102上に
設置した後、排気系(24〜26)を介してプラズマ処
理室内を真空排気し、10-7Torrの値まで減圧させ
た。プラズマ処理用ガス放出口107aを介して窒素ガ
スを150sccmの流量で、また、モノシランガスを
100sccmの流量で処理室内に導入した。
As the workpiece W, a plastic convex lens having a diameter of 50 mm was used. After being set on the lens holding means 102, the inside of the plasma processing chamber was evacuated via the exhaust system (24 to 26) to reduce the pressure to 10 -7 Torr. Nitrogen gas was introduced into the processing chamber at a flow rate of 150 sccm, and monosilane gas was introduced at a flow rate of 100 sccm through the plasma processing gas discharge port 107a.

【0343】ついで、排気系(24〜26)に設けられ
たバルブ26を調整し、処理室内を5mTorrに保持
した。ついで、2.45GHzのマイクロ波電源6より
3.0kwの電力を、分配率が内側0.7/外側0.3
に調整された多重無終端環状導波管103を介してプラ
ズマ処理室内に供給した。
Next, the valve 26 provided in the exhaust system (24 to 26) was adjusted to maintain the inside of the processing chamber at 5 mTorr. Then, 3.0 kW of electric power was supplied from the microwave power source 6 of 2.45 GHz, and the distribution ratio was 0.7 inside / 0.3 outside.
And supplied to the plasma processing chamber through the multi-endless annular waveguide 103 adjusted to.

【0344】かくして、プラズマ処理室内にプラズマを
発生させた。この際、プラズマ処理用ガス放出口107
aを介して導入された窒素ガスは、プラズマ処理室内で
励起、分解されて窒素原子などの活性種となり、レンズ
の方向に輸送され、モノシランガスと反応し、窒化シリ
コン膜がレンズ上に21nmの厚さで形成された。
[0344] Thus, plasma was generated in the plasma processing chamber. At this time, the plasma processing gas discharge port 107
The nitrogen gas introduced through a is excited and decomposed in the plasma processing chamber to become active species such as nitrogen atoms, is transported in the direction of the lens, reacts with the monosilane gas, and a silicon nitride film having a thickness of 21 nm is formed on the lens. It was formed with.

【0345】次に、プラズマ処理用ガス放出口107a
を介して酸素ガスを200sccmの流量で、また、モ
ノシランガスを100sccmの流量で処理室内に導入
した。ついで、排気系(24〜26)に設けられたバル
ブ26を調整し、処理室内を1mTorrに保持した。
ついで、2.45GHzのマイクロ波電源6より2.0
kWの電力を、分配率が内側0.7/外側0.3に調整
された多重無終端環状導波管103を介してプラズマ発
生室内に供給した。
Next, the plasma processing gas discharge port 107a
, An oxygen gas was introduced into the processing chamber at a flow rate of 200 sccm, and a monosilane gas was introduced into the processing chamber at a flow rate of 100 sccm. Next, the valve 26 provided in the exhaust system (24 to 26) was adjusted to maintain the processing chamber at 1 mTorr.
Then, from the microwave power source 6 of 2.45 GHz, 2.0
kW of power was supplied to the plasma generation chamber through the multiple endless annular waveguide 103 whose distribution ratio was adjusted to 0.7 inside / 0.3 outside.

【0346】かくして、プラズマ処理室内にプラズマを
発生させた。この際、プラズマ処理用ガス放出口107
aを介して導入された酸素ガスは、プラズマ処理室内で
励起、分解されて酸素原子などの活性種となり、レンズ
の方向に輸送され、モノシランガスと反応し、酸化シリ
コン膜がレンズ上に86nmの厚さで形成された。成膜
後、成膜速度、反射特性について評価した。
[0346] Thus, plasma was generated in the plasma processing chamber. At this time, the plasma processing gas discharge port 107
The oxygen gas introduced via a is excited and decomposed in the plasma processing chamber to become active species such as oxygen atoms, is transported in the direction of the lens, reacts with the monosilane gas, and a silicon oxide film is formed on the lens with a thickness of 86 nm. It was formed with. After the film formation, the film formation speed and the reflection characteristics were evaluated.

【0347】得られた窒化シリコン膜及び酸化シリコン
膜の成膜速度はそれぞれ320nm/min、380n
m/minと良好で、膜質も、500nm付近の反射率
が0.25%と極めて良好な光学特性であることが確認
された。
The deposition rates of the obtained silicon nitride film and silicon oxide film were 320 nm / min and 380 n, respectively.
m / min, and the film quality was confirmed to be extremely good optical characteristics with a reflectivity around 500 nm of 0.25%.

【0348】(実施例5)図25に示したマイクロ波プ
ラズマ処理装置を使用し、半導体素子の層間絶縁膜用の
酸化シリコン膜の形成を行った。
(Example 5) A silicon oxide film for an interlayer insulating film of a semiconductor element was formed using the microwave plasma processing apparatus shown in FIG.

【0349】被処理体Wとしては、最上部にAlパター
ン(ラインアンドスペース0.5μm)が形成された直
径約300mmののP型単結晶シリコンウエハ(面方位
〈100〉,抵抗率10Ωcm)を使用した。
As the workpiece W, a P-type single crystal silicon wafer (plane orientation <100>, resistivity 10 Ωcm) having an Al pattern (line and space 0.5 μm) formed on the uppermost portion and having a diameter of about 300 mm was used. used.

【0350】まず、シリコンウエハを保持手段102上
に設置した。排気系(24〜26)を介してプラズマ処
理室内を真空排気し、10-7Torrの値まで減圧させ
た。続いてヒータ−114に通電し、シリコンウエハを
300℃に加熱し、該基板をこの温度に保持した。プラ
ズマ処理用ガス放出口107aを介して酸素ガスを50
0sccmの流量で、また、モノシランガスを200s
ccmの流量で処理室内に導入した。
First, a silicon wafer was set on the holding means 102. The plasma processing chamber was evacuated through the exhaust system (24 to 26) to reduce the pressure to a value of 10 -7 Torr. Subsequently, the heater-114 was energized to heat the silicon wafer to 300 ° C., and the substrate was kept at this temperature. Oxygen gas is supplied through the plasma processing gas discharge port 107a.
At a flow rate of 0 sccm, and a monosilane gas of 200 s
It was introduced into the processing chamber at a flow rate of ccm.

【0351】ついで、排気系(24〜26)に設けられ
たバルブ26を調整し、プラズマ処理室内を30mTo
rrに保持した。ついで、13.56MHzの高周波印
加手段を介して300Wの電力を保持手段102に印加
するとともに、2.45GHzのマイクロ波電源より
2.0kWの電力を、分配率が内側0.5/外側0.5
に調整された多重無終端環状導波管103を介してプラ
ズマ処理室内に供給した。
Next, the valve 26 provided in the exhaust system (24 to 26) was adjusted, and the inside of the plasma processing chamber was 30 mTo
rr. Then, 300 W of power is applied to the holding means 102 through a 13.56 MHz high frequency applying means, and 2.0 kW of power is supplied from a 2.45 GHz microwave power supply, with a distribution ratio of 0.5 inside / 0.5 outside. 5
And supplied to the plasma processing chamber through the multi-endless annular waveguide 103 adjusted to.

【0352】かくして、プラズマ処理室内にプラズマを
発生させた。プラズマ処理用ガス供給手段107を介し
て導入された酸素ガスはプラズマ処理室内で励起、分解
されて活性種となり、シリコンウエハの方向に輸送さ
れ、モノシランガスと反応し、酸化シリコン膜がシリコ
ンウエハ上に0.8μmの厚さで形成された。
[0352] Thus, plasma was generated in the plasma processing chamber. The oxygen gas introduced through the plasma processing gas supply means 107 is excited and decomposed into active species in the plasma processing chamber, transported in the direction of the silicon wafer, reacts with the monosilane gas, and forms a silicon oxide film on the silicon wafer. It was formed with a thickness of 0.8 μm.

【0353】この時、イオン種はRFバイアスにより加
速されて基板に入射しパターン上の膜を削り平坦性を向
上させる。処理後、成膜速度、均一性、絶縁耐圧、及び
段差被覆性について評価した。段差被覆性は、Al配線
パターン上に成膜した酸化シリコン膜の断面を走査型電
子顕微鏡(SEM)で観測し、ボイドを観測することに
より評価した。
At this time, the ion species are accelerated by the RF bias and are incident on the substrate to cut the film on the pattern and improve the flatness. After the treatment, the film forming speed, uniformity, dielectric strength, and step coverage were evaluated. The step coverage was evaluated by observing a cross section of the silicon oxide film formed on the Al wiring pattern with a scanning electron microscope (SEM) and observing voids.

【0354】得られた酸化シリコン膜の成膜速度と均一
性は270nm/min±2.3%と良好で、膜質も絶
縁耐圧9.3MV/cm、ボイドフリーであって良質な
膜であることが確認された。
The obtained silicon oxide film has a good film forming rate and uniformity of 270 nm / min ± 2.3%, a film quality of 9.3 MV / cm, a void-free film and a good film. Was confirmed.

【0355】(実施例6)図26に示したマイクロ波プ
ラズマ処理装置を使用し、半導体素子用の層間絶縁膜の
エッチングを行った。
(Example 6) Using the microwave plasma processing apparatus shown in FIG. 26, the interlayer insulating film for a semiconductor element was etched.

【0356】被処理体としては、Alパターン(ライン
アンドスペース0.35μm)上に1μm厚の層間Si
2 膜が形成された直径約300mmのP型単結晶シリ
コンウエハ(面方位〈100〉,抵抗率10Ωcm)を
使用した。
As an object to be processed, a 1 μm thick interlayer Si was formed on an Al pattern (line and space 0.35 μm).
A P-type single crystal silicon wafer (plane orientation <100>, resistivity 10 Ωcm) having a diameter of about 300 mm and an O 2 film formed thereon was used.

【0357】まず、シリコンウエハを保持手段102上
に設置した後、排気系(24〜26)を介してプラズマ
発生室内を真空排気し、10-7Torrの値まで減圧さ
せた。プラズマ処理用ガス放出口107aを介してCF
4 を300sccmの流量でプラズマ処理室内に導入し
た。ついで、排気系(24〜26)に設けられたバルブ
26を調整し、プラズマ処理室内を5mTorrの圧力
にい保持した。
First, after the silicon wafer was set on the holding means 102, the inside of the plasma generation chamber was evacuated via the exhaust system (24 to 26) to reduce the pressure to 10 -7 Torr. CF through the plasma processing gas emission port 107a
4 was introduced into the plasma processing chamber at a flow rate of 300 sccm. Next, the valve 26 provided in the exhaust system (24 to 26) was adjusted, and the pressure in the plasma processing chamber was maintained at 5 mTorr.

【0358】ついで、13.56MHzの高周波印加手
段302を介して300Wの電力保持手段102に印加
するとともに、2.45GHzのマイクロ波電源6より
2.0kWの電力を、分配率が内側0.4/外側0.6
に調整された多重無終端環状導波管103を介してプラ
ズマ処理室内に供給した。かくして、プラズマ処理室内
にプラズマを発生させた。
Next, a power of 2.0 kW is applied from the microwave power source 6 of 2.45 GHz to the power holding means 102 of 300 W via a high frequency applying means 302 of 13.56 MHz, and the distribution ratio is set to 0.4 on the inner side. / Outside 0.6
And supplied to the plasma processing chamber through the multi-endless annular waveguide 103 adjusted to. Thus, plasma was generated in the plasma processing chamber.

【0359】プラズマ処理用ガス放出口107aを介し
て導入されたCF4 ガスはプラズマ処理室内で励起、分
解されて活性種となり、シリコンウエハの方向に輸送さ
れ、自己バイアスによって加速されたイオンによって酸
化シリコン膜がエッチングされた。クーラー414によ
り基板温度は90℃までしか上昇しなかった。エッチン
グ後、エッチング速度、選択比、及びエッチング形状に
ついて評価した。エッチング形状は、エッチングされた
酸化シリコン膜の断面を走査型電子顕微鏡(SEM)で
観測し、評価した。
The CF 4 gas introduced through the plasma processing gas discharge port 107a is excited and decomposed into active species in the plasma processing chamber, transported in the direction of the silicon wafer, and oxidized by ions accelerated by a self-bias. The silicon film has been etched. The cooler 414 raised the substrate temperature only to 90 ° C. After the etching, the etching rate, the selectivity, and the etching shape were evaluated. The etched shape was evaluated by observing the cross section of the etched silicon oxide film with a scanning electron microscope (SEM).

【0360】エッチング速度と対ポリシリコン選択比6
90nm/min、21と良好で、エッチング形状もほ
ぼ垂直で、マイクロローディング効果も少ないことが確
認された。
Etching Rate and Selectivity to Polysilicon 6
It was confirmed to be 90 nm / min, 21 which was good, the etching shape was almost vertical, and the microloading effect was small.

【0361】(実施例7)図26に示したマイクロ波プ
ラズマ処理装置を使用し、半導体素子用ゲート電極とな
るポリシリコン膜のエッチングを行った。
Example 7 A polysilicon film serving as a gate electrode for a semiconductor element was etched using the microwave plasma processing apparatus shown in FIG.

【0362】被処理としては、最上部にポリシリコン膜
が形成された直径300mmのP型単結晶シリコンウエ
ハ(面方位〈100〉,抵抗率10Ωcm)を使用し
た。
As a processing target, a P-type single crystal silicon wafer (plane orientation <100>, resistivity 10 Ωcm) having a diameter of 300 mm and a polysilicon film formed on the uppermost portion was used.

【0363】まず、シリコンウエハを保持手段102上
に設置した後、排気系(24〜26)を介してプラズマ
処理室内を真空排気し、10-7Tirrの値まで減圧さ
せた。プラズマ処理用ガス放出口107aを介してCF
4 ガスを300sccm、酸素を20sccmの流量で
プラズマ処理室内に導入した。
First, after the silicon wafer was set on the holding means 102, the inside of the plasma processing chamber was evacuated via the exhaust system (24 to 26) to reduce the pressure to 10 -7 Tirr. CF through the plasma processing gas emission port 107a
Four gases were introduced into the plasma processing chamber at a flow rate of 300 sccm and oxygen at a flow rate of 20 sccm.

【0364】ついで、排気系(24〜26)に設けられ
たバルブ26を調整し、プラズマ処理室内を2mTor
rの圧力に保持した。ついで、RFバイアス印加手段3
02を介して400kHzの高周波電力300Wをウエ
ハに印加するとともに、2.45GHzのマイクロ波電
源より1.5kwの電力を、分配率が内側0.45/外
側0.55に調整された多重無終端環状導波管103を
介してプラズマ処理室内に供給した。
Next, the valve 26 provided in the exhaust system (24 to 26) was adjusted, and the inside of the plasma processing chamber was adjusted to 2 mTorr.
r. Next, RF bias applying means 3
02, a high frequency power of 300 kHz of 400 kHz is applied to the wafer, and 1.5 kW of power is supplied from a microwave power supply of 2.45 GHz to a multi-endless terminal whose distribution ratio is adjusted to 0.45 inside / 0.55 outside. The liquid was supplied into the plasma processing chamber through the annular waveguide 103.

【0365】かくして、プラズマ処理室内にプラズマを
発生させた。プラズマ処理用ガス放出口107aを介し
て導入されたCF4 ガス及び酸素はプラズマ処理室内で
励起、分解されて活性種となり、シリコンウエハの方向
に輸送され、自己バイアスにより加速されたイオンによ
りポリシリコン膜がエッチングされた。クーラー414
により、基板温度は80℃までしか上昇しなかった。
[0365] Thus, plasma was generated in the plasma processing chamber. The CF 4 gas and oxygen introduced through the plasma processing gas discharge port 107a are excited and decomposed into active species in the plasma processing chamber, are transported in the direction of the silicon wafer, and are polysulfated by ions accelerated by a self-bias. The film was etched. Cooler 414
As a result, the substrate temperature rose only to 80 ° C.

【0366】エッチング後、エッチング速度、選択比、
及びエッチング形状について評価した。エッチング形状
は、エッチングされたポリシリコン膜の断面を走査型電
子顕微鏡(SEM)で観測し、評価した。
After the etching, the etching rate, the selectivity,
And the etching shape was evaluated. The etched shape was evaluated by observing the cross section of the etched polysilicon film with a scanning electron microscope (SEM).

【0367】エッチング速度と対SiO2 選択比はそれ
ぞれ870nm/min、26と良好で、エッチング形
状も垂直で、マイクロローディング効果も少ないことが
確認できた。
The etching rate and the selectivity ratio to SiO 2 were good at 870 nm / min and 26, respectively, and it was confirmed that the etching shape was vertical and the microloading effect was small.

【0368】(実施例8)図27に示した装置を用いて
実施例9と同様にホトレジストのアッシングを行った。
Example 8 Photoresist ashing was performed in the same manner as in Example 9 using the apparatus shown in FIG.

【0369】その結果、残渣のない均一な処理が短時間
で行えた。
[0369] As a result, uniform processing without residue could be performed in a short time.

【0370】[0370]

【発明の効果】本発明によれば、スロット付H面が同一
平面となるように複数の環状導波管を同心状に配したこ
とにより、均一且つ大面積の強度分布をもつマイクロ波
を放射・供給することができる。こうして、直径約30
0mmのウエハ担当或いはそれに相当するもの以上の大
面積の被処理体を良好にプラズマ処理できる。
According to the present invention, by arranging a plurality of annular waveguides concentrically so that the H-plane with slots is coplanar, microwaves having a uniform and large-area intensity distribution are radiated.・ Can be supplied. Thus, a diameter of about 30
It is possible to satisfactorily perform plasma processing on an object to be processed having a wafer area of 0 mm or a large area equal to or larger than the wafer.

【図面の簡単な説明】[Brief description of the drawings]

【図1】プラズマ処理装置の主要部品を示す模式図であ
る。
FIG. 1 is a schematic diagram showing main components of a plasma processing apparatus.

【図2】プラズマ処理装置の縦断面を示す模式図であ
る。
FIG. 2 is a schematic view showing a longitudinal section of the plasma processing apparatus.

【図3】マイクロ波供給器におけるマイクロ波の伝搬と
放射の様子を示す模式図である。
FIG. 3 is a schematic diagram showing a state of propagation and radiation of microwaves in a microwave supply device.

【図4】プラズマ処理装置におけるスロットとガス放出
口と被処理体の位置を示す模式図である。
FIG. 4 is a schematic diagram showing positions of a slot, a gas outlet, and an object to be processed in the plasma processing apparatus.

【図5】マイクロ波供給器を示す模式図である。FIG. 5 is a schematic view showing a microwave supply device.

【図6】マイクロ波供給器を示す模式図である。FIG. 6 is a schematic diagram showing a microwave supply device.

【図7】本発明に用いられるスロットの構成を示す平面
図である。
FIG. 7 is a plan view showing a configuration of a slot used in the present invention.

【図8】本発明に用いられる別のスロットの配置例を示
す模式図である。
FIG. 8 is a schematic diagram showing an example of another slot arrangement used in the present invention.

【図9】ガス供給手段の構成を示す模式図である。FIG. 9 is a schematic diagram illustrating a configuration of a gas supply unit.

【図10】プラズマ処理方法のフローチャートを示す図
である。
FIG. 10 is a view showing a flowchart of a plasma processing method.

【図11】別のプラズマ処理装置を示す模式図である。FIG. 11 is a schematic view showing another plasma processing apparatus.

【図12】更に別のプラズマ処理装置を示す模式図であ
る。
FIG. 12 is a schematic view showing still another plasma processing apparatus.

【図13】他のプラズマ処理装置を示す模式図である。FIG. 13 is a schematic view showing another plasma processing apparatus.

【図14】更に他のプラズマ処理装置を示す模式図であ
る。
FIG. 14 is a schematic view showing still another plasma processing apparatus.

【図15】別のプラズマ処理装置を示す模式図である。FIG. 15 is a schematic view showing another plasma processing apparatus.

【図16】マイクロ波供給器の外観及び断面を示す模式
図である。
FIG. 16 is a schematic diagram showing an appearance and a cross section of a microwave supply device.

【図17】マイクロ波供給器のマイクロ波導入部の断面
を示す模式図である。
FIG. 17 is a schematic diagram showing a cross section of a microwave introduction unit of the microwave supply device.

【図18】マイクロ波供給器のスロット付きH面を示す
模式図である。
FIG. 18 is a schematic view showing a slotted H-plane of the microwave supply device.

【図19】本発明の実施の形態によるマイクロ波供給器
を示す模式図である。
FIG. 19 is a schematic diagram showing a microwave supplier according to an embodiment of the present invention.

【図20】本発明の別の実施の形態によるマイクロ波供
給器とそれを用いたプラズマ処理装置を示す模式図であ
る。
FIG. 20 is a schematic diagram showing a microwave supply device and a plasma processing apparatus using the same according to another embodiment of the present invention.

【図21】本発明の別の実施の形態による多重環状導波
路に用いられるスロットの配置の例を示す模式図であ
る。
FIG. 21 is a schematic diagram showing an example of an arrangement of slots used in a multiple annular waveguide according to another embodiment of the present invention.

【図22】本発明に用いられるマイクロ波の分配導入手
段の各種構造を示す模式図である。
FIG. 22 is a schematic view showing various structures of a microwave distribution and introduction unit used in the present invention.

【図23】分配器のチルト角に対するマイクロ波放射強
度変化のグラスを示す図である。
FIG. 23 is a diagram illustrating a glass of a change in microwave radiation intensity with respect to a tilt angle of a distributor.

【図24】本発明の別のプラズマ処理装置を示す模式図
である。
FIG. 24 is a schematic view showing another plasma processing apparatus of the present invention.

【図25】本発明の更に別のプラズマ処理装置を示す模
式図である。
FIG. 25 is a schematic view showing still another plasma processing apparatus of the present invention.

【図26】本発明の他のプラズマ処理装置を示す模式図
である。
FIG. 26 is a schematic view showing another plasma processing apparatus of the present invention.

【図27】本発明の更に他のプラズマ処理装置を示す模
式図である。
FIG. 27 is a schematic view showing still another plasma processing apparatus of the present invention.

【図28】従来のマイクロ波供給器の模式的横断面図で
ある。
FIG. 28 is a schematic cross-sectional view of a conventional microwave supply device.

【図29】従来のマイクロプラズマ処理装置の模式的縦
断面図である。
FIG. 29 is a schematic longitudinal sectional view of a conventional microplasma processing apparatus.

【符号の説明】[Explanation of symbols]

1 容器 2 保持手段 3 マイクロ波供給器 4 誘電体窓 7 ガス供給手段 7a ガス放出口 W 被処理体 DESCRIPTION OF SYMBOLS 1 Container 2 Holding means 3 Microwave supply device 4 Dielectric window 7 Gas supply means 7a Gas discharge port W Workpiece

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 FI H01L 21/3065 H01L 21/31 C 21/31 H01Q 21/06 H01Q 21/06 H01L 21/302 B ──────────────────────────────────────────────────の Continued on the front page (51) Int.Cl. 6 Identification code FI H01L 21/3065 H01L 21/31 C 21/31 H01Q 21/06 H01Q 21/06 H01L 21/302 B

Claims (9)

【特許請求の範囲】[Claims] 【請求項1】 互いに離間して設けられた複数のスロッ
トを有する平面状のH面と、マイクロ波の進行方向に垂
直な矩形断面と、を有する環状導波路を該H面が同一平
面となるように複数同心状に備え、各環状導波路の該平
面状のH面に設けられた該複数のスロットより、マイク
ロ波を供給するマイクロ波供給器。
1. An annular waveguide having a planar H-plane having a plurality of slots provided apart from each other and a rectangular section perpendicular to the direction in which microwaves travel, the H-plane is coplanar. A plurality of concentrically arranged microwave supply devices for supplying microwaves from the plurality of slots provided on the planar H surface of each annular waveguide.
【請求項2】 前記複数の環状導波路を構成する各々の
導波路の中心を結んで形成される環の周長が管内波長の
整数倍であり、かつ、該環にそって少なくとも管内波長
の1/2間隔で該スロットが放射状に形成されている請
求項1に記載のマイクロ波供給器。
2. A ring formed by connecting the centers of the respective waveguides constituting the plurality of annular waveguides has an integral length that is an integral multiple of the guide wavelength, and along the ring at least the guide wavelength. The microwave supply according to claim 1, wherein the slots are formed radially at half intervals.
【請求項3】 前記マイクロ波の進行方向に垂直な該ス
ロットの長さは、管内波長の1/4乃至3/8の範囲に
ある請求項1に記載のマイクロ波供給器。
3. The microwave feeder according to claim 1, wherein the length of the slot perpendicular to the traveling direction of the microwave is in a range of 1 / to / of a guide wavelength.
【請求項4】 前記各環状導波路へのマイクロ波の導入
口は、他方のH面に設けられており、かつ導入部には該
マイクロ波をH面に平行な二方向に分配し該各環状導波
路内の両側に伝搬させる手段が設けられている請求項1
に記載のマイクロ波供給器。
4. An introduction port for microwaves to each of the annular waveguides is provided on the other H plane, and the introduction section distributes the microwaves in two directions parallel to the H plane. 2. A means for providing propagation on both sides in an annular waveguide.
The microwave supply device according to claim 1.
【請求項5】 前記各環状導波路は無終端導波路である
請求項1に記載のマイクロ波供給器。
5. The microwave feeder according to claim 1, wherein each of the annular waveguides is an endless waveguide.
【請求項6】 前記環状導波路には、該環状導波路を1
周以上伝搬するに充分な電力のマイクロ波が供給される
請求項1に記載のマイクロ波供給器。
6. The annular waveguide has one annular waveguide.
2. The microwave supply device according to claim 1, wherein a microwave having a power sufficient to propagate over the circumference is supplied.
【請求項7】 前記電力は、該環状導波路を2周以上伝
搬するに充分な電力のマイクロ波である請求項1に記載
のマイクロ波供給器。
7. The microwave feeder according to claim 1, wherein the power is a microwave having a power sufficient to propagate through the annular waveguide two or more times.
【請求項8】 前記環状導波路は、その内部に定在波が
生じるように、周長が定められている請求項1に記載の
マイクロ波供給器。
8. The microwave feeder according to claim 1, wherein the circumference of the annular waveguide is determined so that a standing wave is generated therein.
【請求項9】 互いに周長の異なる複数の無終端環状導
波路を同心状に配し、それらの平面状のH面をそれぞれ
同一平面とし、そこに複数のスロットを設けたマイクロ
波供給器。
9. A microwave feeder comprising a plurality of endless annular waveguides having different perimeters arranged concentrically, their planar H-planes being respectively coplanar, and a plurality of slots provided therein.
JP10359179A 1998-12-17 1998-12-17 Microwave supply container Withdrawn JPH11329792A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10359179A JPH11329792A (en) 1998-12-17 1998-12-17 Microwave supply container

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10359179A JPH11329792A (en) 1998-12-17 1998-12-17 Microwave supply container

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP10135100A Division JP2925535B2 (en) 1997-05-22 1998-05-18 Microwave supplier having annular waveguide, plasma processing apparatus and processing method having the same

Publications (1)

Publication Number Publication Date
JPH11329792A true JPH11329792A (en) 1999-11-30

Family

ID=18463157

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10359179A Withdrawn JPH11329792A (en) 1998-12-17 1998-12-17 Microwave supply container

Country Status (1)

Country Link
JP (1) JPH11329792A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6796268B2 (en) * 2000-02-07 2004-09-28 Tokyo Electron Limited Microwave plasma processing system
JP2007180034A (en) * 2000-10-13 2007-07-12 Tokyo Electron Ltd Plasma treatment device
JP2008240010A (en) * 2007-03-26 2008-10-09 National Institute Of Advanced Industrial & Technology Microwave plasma treatment device
KR101048054B1 (en) 2009-01-14 2011-07-08 한국전기연구원 Magnetron performance analyzer
JP2019046766A (en) * 2017-09-07 2019-03-22 東京エレクトロン株式会社 Plasma processing apparatus

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6796268B2 (en) * 2000-02-07 2004-09-28 Tokyo Electron Limited Microwave plasma processing system
JP2007180034A (en) * 2000-10-13 2007-07-12 Tokyo Electron Ltd Plasma treatment device
JP2008240010A (en) * 2007-03-26 2008-10-09 National Institute Of Advanced Industrial & Technology Microwave plasma treatment device
KR101048054B1 (en) 2009-01-14 2011-07-08 한국전기연구원 Magnetron performance analyzer
JP2019046766A (en) * 2017-09-07 2019-03-22 東京エレクトロン株式会社 Plasma processing apparatus

Similar Documents

Publication Publication Date Title
JP2925535B2 (en) Microwave supplier having annular waveguide, plasma processing apparatus and processing method having the same
US6497783B1 (en) Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
US6870123B2 (en) Microwave applicator, plasma processing apparatus having same, and plasma processing method
KR100554116B1 (en) Surface wave plasma treatment apparatus using multi-slot antenna
KR100234509B1 (en) Microwave plasma processing apparatus and method therefor
US6652709B1 (en) Plasma processing apparatus having circular waveguide, and plasma processing method
JP2003109941A (en) Plasma treatment device and surface treatment method
JPH11319545A (en) Plasma treatment method and method treating substrate
EP1895565A1 (en) Plasma processing apparatus and method
JPH0987851A (en) Microwave plasma treating device and method thereof
JP3907444B2 (en) Plasma processing apparatus and structure manufacturing method
JP4478352B2 (en) Plasma processing apparatus, plasma processing method, and structure manufacturing method
JP2001308071A (en) Plasma processing apparatus using waveguide having e- plane branch and method of plasma processing
JP3530788B2 (en) Microwave supplier, plasma processing apparatus and processing method
JP3118121B2 (en) Microwave plasma CVD apparatus and deposited film forming method
JPH11329792A (en) Microwave supply container
JP4298049B2 (en) Microwave plasma processing equipment using dielectric window
KR100425658B1 (en) Microwave applicator, plasma processing apparatus having same, and plasma processing method
JP3295336B2 (en) Microwave plasma processing apparatus and plasma processing method
JPH07130494A (en) Microwave plasma processing device
JP4669153B2 (en) Plasma processing apparatus, plasma processing method, and device manufacturing method
JPH10158846A (en) Batch type microwave plasma treating system and treatment
JPH11193466A (en) Plasma treating device and plasma treating method
JP2000138171A (en) Non-terminated annular waveguide with circular slot and plasma treatment device and method using it
JP2001043997A (en) Plasma processing device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050711

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050809

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20051006