JP2001308071A - Plasma processing apparatus using waveguide having e- plane branch and method of plasma processing - Google Patents

Plasma processing apparatus using waveguide having e- plane branch and method of plasma processing

Info

Publication number
JP2001308071A
JP2001308071A JP2000125792A JP2000125792A JP2001308071A JP 2001308071 A JP2001308071 A JP 2001308071A JP 2000125792 A JP2000125792 A JP 2000125792A JP 2000125792 A JP2000125792 A JP 2000125792A JP 2001308071 A JP2001308071 A JP 2001308071A
Authority
JP
Japan
Prior art keywords
plasma
microwave
gas
generation chamber
plasma processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2000125792A
Other languages
Japanese (ja)
Inventor
Nobumasa Suzuki
伸昌 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP2000125792A priority Critical patent/JP2001308071A/en
Priority to US09/697,124 priority patent/US6652709B1/en
Publication of JP2001308071A publication Critical patent/JP2001308071A/en
Withdrawn legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To suppress process along circumferential direction in an annular waveguide by refraining from destroying the balance of microwave plasma density, even under any condition. SOLUTION: This plasma processing apparatus comprises a plasma-generating chamber 9, a supporting means 2 that supports a substrate W to be processed, a gas-introducing means 7, and an exhausting means 8. Two guiding openings 25A, 25B are formed on a microwave feeder 3 that feeds a microwave through a dielectric window 4, and the microwaves divided by an E-plane T-branch 15 are so guided to the guiding openings, that the directions of the electric vectors are mutually in reverse.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、プラズマ処理装置
及びプラズマ処理方法に関する。更に詳しくは、マイク
ロ波の導入方式が改良されたマイクロ波プラズマ処理装
置及び方法に関する。
The present invention relates to a plasma processing apparatus and a plasma processing method. More specifically, the present invention relates to a microwave plasma processing apparatus and method in which a microwave introduction method is improved.

【0002】[0002]

【従来の技術】マイクロ波をプラズマ発生用の励起源と
して使用するプラズマ処理装置としては、半導体装置の
製造に用いられるところの、エッチング装置、アッシン
グ装置、CVD装置、ドーピング装置、クリーニング装
置、表面改質装置等が知られている。
2. Description of the Related Art As a plasma processing apparatus using a microwave as an excitation source for generating plasma, there are an etching apparatus, an ashing apparatus, a CVD apparatus, a doping apparatus, a cleaning apparatus, and a surface modification used in the manufacture of semiconductor devices. Quality devices and the like are known.

【0003】マイクロ波プラズマエッチング装置を使用
する被処理体のエッチング処理は、例えば次のようにし
て行われる。即ち、マイクロ波プラズマエッチング装置
のプラズマ発生室内にエッチャントガスを導入し、同時
にマイクロ波エネルギーを投入してエッチャントガスを
励起、分解して、被処理体の表面をエッチングする。
[0003] Etching processing of an object to be processed using a microwave plasma etching apparatus is performed, for example, as follows. That is, an etchant gas is introduced into a plasma generation chamber of a microwave plasma etching apparatus, and simultaneously, microwave energy is applied to excite and decompose the etchant gas, thereby etching the surface of the object to be processed.

【0004】また、マイクロ波プラズマアッシング装置
を使用する被処理体のアッシング処理は、例えば次のよ
うにして行われる。即ち、マイクロ波プラズマアッシン
グ装置のプラズマ発生室内にアッシングガスを導入し、
同時にマイクロ波エネルギーを投入してアッシングガス
を励起、分解して、被処理体の表面にあるレジスト等の
有機物をアッシングする。
An ashing process of an object to be processed using a microwave plasma ashing apparatus is performed, for example, as follows. That is, an ashing gas is introduced into a plasma generation chamber of a microwave plasma ashing apparatus,
At the same time, microwave energy is applied to excite and decompose the ashing gas, thereby ashing an organic substance such as a resist on the surface of the object to be processed.

【0005】また、マイクロ波プラズマCVD装置を使
用する被処理基体の成膜処理は、例えば次のようにして
行われる。即ち、マイクロ波プラズマCVD装置のプラ
ズマ発生室内に反応ガスを導入し、同時にマイクロ波エ
ネルギーを投入して反応ガスを励起、分解して、被処理
体上に堆積膜を形成する。
[0005] A film forming process of a substrate to be processed using a microwave plasma CVD apparatus is performed, for example, as follows. That is, a reaction gas is introduced into a plasma generation chamber of a microwave plasma CVD apparatus, and at the same time, microwave energy is applied to excite and decompose the reaction gas to form a deposited film on a target object.

【0006】また、マイクロ波プラズマドーピング装置
を使用する被処理体のドーピング処理は、例えば次のよ
うにして行われる。即ち、マイクロ波プラズマドーピン
グ装置のプラズマ発生室内にドーピングガスを導入し、
同時にマイクロ波エネルギーを投入して反応ガスを励
起、分解して、被処理体の表面にドーピングを行う。
[0006] Doping of a workpiece using a microwave plasma doping apparatus is performed, for example, as follows. That is, doping gas is introduced into the plasma generation chamber of the microwave plasma doping apparatus,
Simultaneously, microwave energy is applied to excite and decompose the reaction gas, thereby doping the surface of the object.

【0007】マイクロ波プラズマ処理装置においては、
ガスの励起源として高い周波数を持つマイクロ波を使用
することから、電子加速の回数が増加するので電子密度
が高くなり、ガス分子を効率的に電離、励起させること
ができる。それ故、マイクロ波プラズマ処理装置につい
ては、ガスの電離効率、励起効率及び分解効率が高く、
高速に低温でも高品質処理できるといった利点を有す
る。また、マイクロ波が誘電体を透過する性質を有する
ことから、プラズマ処理装置を無電極放電タイプのもの
として構成でき、これが故に高清浄なプラズマ処理を行
い得るという利点もある。
In a microwave plasma processing apparatus,
Since a microwave having a high frequency is used as a gas excitation source, the number of times of electron acceleration increases, so that the electron density increases and gas molecules can be efficiently ionized and excited. Therefore, the microwave plasma processing apparatus has high gas ionization efficiency, excitation efficiency and decomposition efficiency,
It has the advantage that high-quality processing can be performed at high speed even at low temperatures. In addition, since the microwave has a property of transmitting through the dielectric, the plasma processing apparatus can be configured as an electrodeless discharge type, and therefore, there is an advantage that a highly clean plasma processing can be performed.

【0008】マイクロ波プラズマ処理装置の例として、
近年、マイクロ波の均一で効率的な導入装置として複数
の直線状スロットが平板状H面に放射状に形成された無
終端環状導波管を用いた装置が提案されている(特開平
10−233295)。このマイクロ波プラズマ処理装
置を図6に示す。9はプラズマ発生室、9Wは被処理
体、2は被処理体Wの支持手段、11は被処理体の温度
を調節する手段、122は高周波バイアス印加手段、7
は処理用ガス導入手段、8は排気手段、4はプラズマ発
生室9を大気側と分離する誘電体窓、3はマイクロ波を
誘電体窓4を透してプラズマ発生室9に導入するための
スロット23付のマイクロ波供給器、13は無終端環状
導波路、25は無終端環状導波路13内にマイクロ波導
入し時計回り及び反時計回りに分配する導入口である。
As an example of a microwave plasma processing apparatus,
In recent years, a device using an endless annular waveguide in which a plurality of linear slots are radially formed on a flat H-plane has been proposed as a uniform and efficient microwave introduction device (Japanese Patent Laid-Open No. 10-233295). ). FIG. 6 shows this microwave plasma processing apparatus. Reference numeral 9 denotes a plasma generation chamber, 9W denotes an object to be processed, 2 denotes a means for supporting the object to be processed W, 11 denotes a means for adjusting the temperature of the object to be processed, 122 denotes a high frequency bias applying means,
Denotes a processing gas introducing means, 8 denotes an exhaust means, 4 denotes a dielectric window for separating the plasma generation chamber 9 from the atmosphere side, and 3 denotes a microwave for introducing the microwave into the plasma generation chamber 9 through the dielectric window 4. Microwave supplier with slot 23, 13 is an endless annular waveguide, 25 is an inlet for introducing microwaves into endless annular waveguide 13 and distributing them clockwise and counterclockwise.

【0009】プラズマの発生及び処理は以下のようにし
て行う。排気手段8を介してプラズマ発生室9内を真空
排気する。続いてプラズマ処理用ガスを処理用ガス導入
手段7を介して所定の流量でプラズマ発生室9内に導入
する。プラズマ発生室9内を所定の圧力に保持する。必
要に応じて、高周波バイアス印加手段122を介して被
処理体Wにバイアス電圧を印加する。マイクロ波電源6
より所望の電力を無終端環状導波路13を介してプラズ
マ発生室9内に供給する。この際、無終端環状導波路1
3内に導入されたマイクロ波は、導入口25で二分配さ
れ、自由空間よりも長い管内波長をもって導波路13内
を伝搬する。分配されたマイクロ波同士は干渉しあい、
管内波長の1/2毎に節又は腹をもつ定在波913を生
じる。電流が最大になる位置、即ち、隣接する2つの定
在波の間で無終端環状導波路13の中央、に設置された
スロット23から誘電体窓4を透してプラズマ発生室9
に導入されたマイクロ波は、スロット23近傍にプラズ
マを生成する。生成したプラズマの電子プラズマ周波数
が電源周波数を超える(例えば、電子密度が7×1010
cm-3を超える場合、電子プラズマ周波数が電源周波数
2.45GHzを超える)と、マイクロ波はプラズマ中
を伝搬できなくなる、いわゆるカットオフを生じ、さら
に。電子密度が増加し、下記式1に示す表皮厚8が十分
薄くなると、誘電体窓4の表面をマイクロ波が伝搬す
る。
The generation and processing of plasma are performed as follows. The inside of the plasma generation chamber 9 is evacuated via the exhaust means 8. Subsequently, a plasma processing gas is introduced into the plasma generation chamber 9 at a predetermined flow rate through the processing gas introduction means 7. The inside of the plasma generation chamber 9 is maintained at a predetermined pressure. If necessary, a bias voltage is applied to the object to be processed W via the high frequency bias applying unit 122. Microwave power supply 6
More desired power is supplied into the plasma generation chamber 9 via the endless annular waveguide 13. At this time, the endless annular waveguide 1
The microwave introduced into 3 is split into two at the introduction port 25 and propagates in the waveguide 13 with a longer guide wavelength than free space. The distributed microwaves interfere with each other,
A standing wave 913 having a node or an antinode is generated every half of the guide wavelength. The plasma generation chamber 9 passes through the dielectric window 4 through a slot 23 provided at the position where the current is maximized, that is, at the center of the endless annular waveguide 13 between two adjacent standing waves.
Microwaves generate plasma near the slots 23. The electron plasma frequency of the generated plasma exceeds the power supply frequency (for example, when the electron density is 7 × 10 10
If the electron plasma frequency exceeds 2.45 GHz when the electron plasma frequency exceeds cm −3 ), the microwave cannot propagate in the plasma, that is, a so-called cut-off occurs. When the electron density increases and the skin thickness 8 shown in the following equation 1 becomes sufficiently thin, microwaves propagate on the surface of the dielectric window 4.

【0010】〈数1〉δ=(2/ωμ0 σ)1/2 <Equation 1> δ = (2 / ωμ 0 σ) 1/2

【0011】ここで、ωは電源角周波数,μ0 は真空透
磁率,σはプラズマ導電率である。(例えば、電子密度
が2×1012cm-3以上になり、表皮厚は3mm以下に
なると、誘電体窓4の表面を表面波としてマイクロ波が
伝搬する。隣接するスロット23から導入された表面波
同士が干渉し、略略、下記式2で表される表面波の波長
の1/2毎に表面定在波を生じる。
Here, ω is the power supply angular frequency, μ 0 is the vacuum permeability, and σ is the plasma conductivity. (For example, when the electron density becomes 2 × 10 12 cm −3 or more and the skin thickness becomes 3 mm or less, the microwave propagates with the surface of the dielectric window 4 as a surface wave. The surface introduced from the adjacent slot 23 The waves interfere with each other, and a surface standing wave is generated substantially every half of the wavelength of the surface wave represented by the following equation (2).

【0012】〈数2〉λs =λ0 /εr -1/2 <Equation 2> λ s = λ 0 / ε r -1/2

【0013】ここで、λ0 は自由空間マイクロ波波長、
εr は誘電体比誘電率である。プラズマ発生室9にしみ
出したこの表面定在波によって電子が加速され表面波干
渉プラズマ(SIP:Surface−wave In
terfered Plasma)が生成される。この
時に処理用ガスをプラズマ発生室9内に導入しておくと
処理用ガスは発生した高密度プラズマにより励起され、
支持手段2上に載置された被処理体Wの表面を処理す
る。
Where λ 0 is the free-space microwave wavelength,
ε r is the dielectric relative permittivity. Electrons are accelerated by the surface standing wave that has permeated into the plasma generation chamber 9 and surface-wave interference plasma (SIP: Surface-wave In).
terfered Plasma) is generated. At this time, if the processing gas is introduced into the plasma generation chamber 9, the processing gas is excited by the generated high-density plasma,
The surface of the object to be processed W placed on the support means 2 is processed.

【0014】このようなマイクロ波プラズマ処理装置を
用いることにより、圧力1.3Pa、マイクロ波パワー
3kWの条件で、直径300mm以上の大口径空間に±
3%以内の均一性をもって、電子密度2×1012cm-3
以上、電子温度3eV以下、プラズマ電位15V以下の
高密度低電子温度プラズマを発生できる。これにより、
ガスを充分に反応させ活性な状態で基板に供給でき、か
つ入射イオンやチャージアップによる基板表面ダメージ
も低減するので、高品質で高速な処理が可能になる。
By using such a microwave plasma processing apparatus, a large-diameter space having a diameter of 300 mm or more can be formed at a pressure of 1.3 Pa and a microwave power of 3 kW.
Electron density of 2 × 10 12 cm -3 with uniformity within 3%
As described above, high-density low-electron-temperature plasma having an electron temperature of 3 eV or less and a plasma potential of 15 V or less can be generated. This allows
Since the gas can be sufficiently reacted and supplied to the substrate in an active state, and the substrate surface damage due to incident ions and charge-up is reduced, high-quality and high-speed processing can be performed.

【0015】また、アッシング処理などで使用する13
3Pa程度の高圧条件では電子密度1×1013cm-3
上の高密度プラズマが誘電体窓4近傍に局所的に発生す
るので、高速で極めて低ダメージな処理が可能になる。
Further, 13 used in ashing processing or the like is used.
Under a high-pressure condition of about 3 Pa, high-density plasma having an electron density of 1 × 10 13 cm −3 or more is locally generated near the dielectric window 4, so that high-speed and extremely low-damage processing can be performed.

【0016】[0016]

【発明が解決しようとする課題】しかしながら、図6に
示したような高密度低電子温度プラズマを発生するマイ
クロ波プラズマ処理装置を用いて処理を行う場合、条件
によっては、マイクロ波導波入部25とその対向部26
とでプラズマ密度のバランスが崩れ、周方向の処理ムラ
が発生する場合がある。
However, when processing is performed using a microwave plasma processing apparatus for generating high-density low-electron temperature plasma as shown in FIG. The opposite part 26
In this case, the balance of the plasma density is lost, and processing unevenness in the circumferential direction may occur.

【0017】本発明の主たる目的は、高品質な処理をよ
り高速かつ均一に行うことが可能になるように、特に導
入部と対向部のプラズマ密度バランスが崩れず、高密度
低電子温度プラズマを発生できるプラズマ処理装置及び
プラズマ処理方法を提供することにある。
The main object of the present invention is to provide a high-density, low-electron-temperature plasma without disturbing the plasma density balance, particularly between the introduction part and the opposed part, so that high-quality processing can be performed more quickly and uniformly. An object of the present invention is to provide a plasma processing apparatus and a plasma processing method that can generate the plasma.

【0018】[0018]

【課題を解決するための手段】本発明は、プラズマ発生
室と、被処理体を支持する支持手段と、該プラズマ発生
室内にガスを導入するガス導入手段と、該プラズマ発生
室内を排気する排気手段と、誘電体窓を透してマイクロ
波を該プラズマ発生室に導入するマイクロ波供給器とを
備えたプラズマ処理装置であって、該マイクロ供給器
は、H面に所定の間隔で設けられた複数のスロットを有
する環状導波路と該環状導波路に設けられた少なくとも
2個の導入口と、該導入口に電界ベクトルが互いに逆方
向を向くようにマイクロ波を導入する為のE面分岐とを
有する。
According to the present invention, there is provided a plasma generating chamber, supporting means for supporting an object to be processed, gas introducing means for introducing gas into the plasma generating chamber, and exhaust for exhausting the plasma generating chamber. Means and a microwave supply device for introducing microwaves into the plasma generation chamber through a dielectric window, wherein the microwave supply device is provided at a predetermined interval on the H plane. An annular waveguide having a plurality of slots, at least two inlets provided in the annular waveguide, and an E-plane branch for introducing microwaves into the inlet so that electric field vectors are directed in opposite directions to each other. And

【0019】[0019]

【発明の実施の形態】図1は本実施の形態によるプラズ
マ処理装置の模式的断面図、図2は本発明に用いられる
マイクロ波供給器の模式的上面図である。
FIG. 1 is a schematic sectional view of a plasma processing apparatus according to the present embodiment, and FIG. 2 is a schematic top view of a microwave supply used in the present invention.

【0020】このプラズマ処理装置は、容器1内に形成
されたプラズマ発生室9と、被処理体Wを支持する支持
手段2と、プラズマ発生室9内にガスを導入するガス導
入手段7と、プラズマ発生室9内を排気する排気手段8
と、誘電体窓4を透してマイクロ波をプラズマ発生室9
内に供給するマイクロ波供給器3を備えている。
This plasma processing apparatus includes a plasma generating chamber 9 formed in a container 1, a support means 2 for supporting a workpiece W, a gas introducing means 7 for introducing a gas into the plasma generating chamber 9, Exhaust means 8 for exhausting the inside of plasma generation chamber 9
And microwaves through the dielectric window 4 to generate plasma.
A microwave supply device 3 for supplying the inside is provided.

【0021】マイクロ波供給器3は、H面33にスロッ
ト23を有する環状導波路13と、環状導波路13内に
マイクロ波を導入する導入口15A、15Bと、分岐回
路15とを有する。分岐回路15はE面分岐となってお
り、マイクロ波電源より、矩形導波管を介して接続され
た分岐回路15に導入された電界ベクトルE3をもつT
10モードのマイクロ波は左右の矩形導波管5に分配さ
れ、Eコーナーで5A、5Bで曲がり、導入口25Aと
導入口25Bに向けて進行する。
The microwave supplier 3 has an annular waveguide 13 having a slot 23 in the H plane 33, inlets 15 A and 15 B for introducing microwaves into the annular waveguide 13, and a branch circuit 15. The branch circuit 15 is an E-plane branch, and has a T field having an electric field vector E3 introduced from a microwave power supply to the branch circuit 15 connected via a rectangular waveguide.
Microwave E 10 modes are distributed in the rectangular waveguide 5 of the right and left, 5A in E corner bend in 5B, proceeds toward the inlet 25A and the inlet port 25B.

【0022】導入口25Aでは、電界ベクトルE1をも
つマイクロ波として導入され、導入口25Bでは、電界
ベクトルE2をもつマイクロ波として導入される。電界
ベクトルE1をE2とは図1、図2に示すように互いに
逆向きである。
At the inlet 25A, the microwave is introduced as a microwave having the electric field vector E1, and at the inlet 25B, it is introduced as a microwave having the electric field vector E2. The electric field vectors E1 and E2 are opposite to each other as shown in FIGS.

【0023】導入口25A、25Bより環状導波路13
内に導入されたマイクロ波は、両者共に時計回り及び反
時計回りに導波路13内を進行する。環状導波路13は
無終端で、その周長(時計回り又は反時計回り方向の長
さ)が管内波長(導波路内におけるマイクロ波伝搬波
長)の整数倍となっているので、定在波が発生する。本
実施態様では管内波長の2倍又は4倍或いは6倍のよう
に偶数倍とする。
The annular waveguide 13 is introduced from the inlets 25A and 25B.
The microwaves introduced therein travel in the waveguide 13 both clockwise and counterclockwise. Since the annular waveguide 13 has no terminus and its circumference (length in the clockwise or counterclockwise direction) is an integral multiple of the guide wavelength (wavelength of microwave propagation in the waveguide), the standing wave is not generated. appear. In this embodiment, it is an even multiple, such as twice, four or six times the guide wavelength.

【0024】次に、図3を参照してプラズマ発生の様子
について説明する。
Next, the state of plasma generation will be described with reference to FIG.

【0025】図3は、導入口25付近のマイクロ波とプ
ラズマを示す模式図である。
FIG. 3 is a schematic diagram showing microwaves and plasma near the inlet 25.

【0026】導波路13内では、前述したとおり、マイ
クロ波同士の干渉により定在波STWが生じている。マ
イクロ波はスロット23を通じて漏れ、誘電体窓4の表
面に沿って伝搬し表面波SFWを生じる。隣接する2つ
のスロットから放出された表面波SFWは互いに干渉
し、表面定住波SSWを生じる。この表面定在波SSW
により表面干渉波プラズマSIPが発生する。
As described above, the standing wave STW is generated in the waveguide 13 due to the interference between the microwaves. The microwave leaks through the slot 23 and propagates along the surface of the dielectric window 4 to generate a surface wave SFW. The surface waves SFW emitted from two adjacent slots interfere with each other to generate a surface resident wave SSW. This surface standing wave SSW
As a result, a surface interference wave plasma SIP is generated.

【0027】2つの導入口を180°位置の異なる導波
路13上に設け、導波路の周長を管内波長の偶数倍と
し、電界ベクトルE1、E2が互いに逆方向になるよう
に、マイクロ波を導波路13に導入しているので、プラ
ズマ密度のバランスが崩れず、高密度低電位のプラズマ
を誘電体窓4の表面に沿って均一に発生させることがで
きる。
Two inlets are provided on the waveguides 13 at different positions at 180 °, the circumference of the waveguide is set to an even multiple of the guide wavelength, and microwaves are applied so that the electric field vectors E1 and E2 are in opposite directions. Since the plasma is introduced into the waveguide 13, the balance of the plasma density is not broken, and high-density, low-potential plasma can be generated uniformly along the surface of the dielectric window 4.

【0028】符号11はクーラー又はヒーター等の温度
制御装置であり、必要に応じて動作させられる。
Reference numeral 11 denotes a temperature control device such as a cooler or a heater, which is operated as needed.

【0029】又、必要に応じて、支持手段2に高周波電
源やDC電源のようなバイアス電圧源122を付設し
て、被処理体Wにバイアス電圧を付与して荷電粒子の入
射を制御することも好ましい。
If necessary, a bias voltage source 122 such as a high-frequency power source or a DC power source may be attached to the support means 2 to apply a bias voltage to the workpiece W to control the incidence of charged particles. Is also preferred.

【0030】スロット23は管内波長の1/2間隔で設
けられている。環状導波路の周長を管内波長の4倍に設
計した場合にはスロット23は45°毎に合計8個形成
すれば良い。
The slots 23 are provided at a half interval of the guide wavelength. If the circumference of the annular waveguide is designed to be four times the guide wavelength, a total of eight slots 23 may be formed every 45 °.

【0031】周長を管内波長の2倍に設計した場合に
は、スロットは90°毎に合計4個形成すれば良い。
When the circumference is designed to be twice the guide wavelength, a total of four slots may be formed every 90 °.

【0032】プラズマの発生及び処理は以下のようにし
て行う。排気手段8の排気口を介してプラズマ発生室9
内を排気する。続いて、プラズマ処理用ガスをガス導入
手段7を介して所定の流量でプラズマ発生室9内に導入
する。次に、排気手段8に設けられたコンダクタンスバ
ルブ(不図示)を調整し、プラズマ発生室9内を所定の
圧力に保持する。マイクロ波電源6より所望の電力を、
E面分岐15、導入口25A、25B、導波路13、ス
ロット23を有するマイクロ波供給器3を介して、プラ
ズマ発生室9内に供給する。これによりプラズマ発生室
9内にプラズマが発生する。この際、環状導波路13の
2個の導入口25A、25Bから導入されるマイクロ波
の電界ベクトルは分岐回路15のE面分岐により互いに
逆方向を向くようにし、管内波長の偶数倍の周長をもつ
導波路13内で強く干渉しあう様にする。この時に処理
用ガス導入手段7を介して処理用ガスが発生室9内に導
入されているので処理用ガスは発生した高密度プラズマ
により励起され、ラジカルやイオンとなって支持手段2
の上に載置された被処理体Wの表面を処理する。
The generation and processing of plasma are performed as follows. The plasma generating chamber 9 is provided through the exhaust port of the exhaust means 8.
Exhaust the inside. Subsequently, a plasma processing gas is introduced into the plasma generation chamber 9 through the gas introduction means 7 at a predetermined flow rate. Next, a conductance valve (not shown) provided in the exhaust unit 8 is adjusted to maintain the inside of the plasma generation chamber 9 at a predetermined pressure. Desired power from the microwave power source 6
It is supplied into the plasma generation chamber 9 via the microwave supply 3 having the E-plane branch 15, the inlets 25A and 25B, the waveguide 13, and the slot 23. Thereby, plasma is generated in the plasma generation chamber 9. At this time, the electric field vectors of the microwaves introduced from the two introduction ports 25A and 25B of the annular waveguide 13 are made to face in mutually opposite directions by the E-plane branch of the branch circuit 15, and the circumference of an even multiple of the guide wavelength is set. And strongly interfere with each other in the waveguide 13 having. At this time, since the processing gas is introduced into the generation chamber 9 via the processing gas introduction means 7, the processing gas is excited by the generated high-density plasma, and becomes radicals or ions to form the support means 2
The surface of the object to be processed W placed on the substrate is processed.

【0033】図4は本発明による別の実施形態によるプ
ラズマ処理装置の模式的断面図であり、図1の構成と同
じ部分には同じ符号を付与している。
FIG. 4 is a schematic sectional view of a plasma processing apparatus according to another embodiment of the present invention, in which the same parts as those in FIG. 1 are denoted by the same reference numerals.

【0034】図5は図4に用いられる分岐回路15の模
式的斜視図である。
FIG. 5 is a schematic perspective view of the branch circuit 15 used in FIG.

【0035】この装置は図1の装置と基本構成は同じで
あり、細部が異なるだけである。
This device has the same basic configuration as the device of FIG. 1, but differs only in details.

【0036】117はガス放出口であり、誘電体窓方向
に向いてガスを放出する。ガス源127はガスボンベ1
57、バルブ147、マスフローコントローラ137等
を含む。
Reference numeral 117 denotes a gas discharge port, which discharges gas toward the dielectric window. Gas source 127 is gas cylinder 1
57, a valve 147, a mass flow controller 137, and the like.

【0037】排気系は真空ポンプ118やコンダクタン
ス制御バルブ128等を含む。
The exhaust system includes a vacuum pump 118, a conductance control valve 128, and the like.

【0038】支持手段2にはリフトピン112が設けら
れており、リフトピン112を昇降させることにより被
処理体Wを支持手段2の上面に対して接離可能に構成さ
れている。
The support means 2 is provided with a lift pin 112, and the workpiece W can be brought into contact with and separated from the upper surface of the support means 2 by raising and lowering the lift pin 112.

【0039】分岐回路15の入口に接続されたマイクロ
波電源6により発振されたマイクロ波はTE10モードで
E面T分岐となっている分岐回路15によって、左右の
対称な矩形導波管5に分配されEコーナー5A、5Bに
よって直角に進行方向を変更して導入口25A、25B
に向けて進む。この導入口部分もそれぞれE面T分岐に
なっているので、環状導波路13内に分配される。
The microwave is E-plane T-branch and going on branch circuit 15 in TE 10 mode which is oscillated by a microwave power source 6 connected to the inlet of the branch circuit 15, to the left and right symmetrical rectangular waveguide 5 The direction of travel is changed at right angles by the E corners 5A and 5B and the inlets 25A and 25B are distributed.
Proceed towards. Since the introduction ports also have E-plane T-branches, they are distributed in the annular waveguide 13.

【0040】環状導波路13内で定在波が生じ、スロッ
ト23からマイクロ波が放出され、前述したようにプラ
ズマPがプラズマ発生室9内に発生する。
A standing wave is generated in the annular waveguide 13, a microwave is emitted from the slot 23, and the plasma P is generated in the plasma generation chamber 9 as described above.

【0041】マイクロ波の伝搬やプラズマ発生の様子
は、図3を参照して説明したとおりである。本発明は、
MOSトランジスタのような半導体装置の製造方法にお
いて、レジストの除去工程、エッチング程、成膜工程、
ドーピング工程、クリーニング工程のうち、少なくとも
1工程に上述したプラズマ処理を利用する。
The state of microwave propagation and plasma generation is as described with reference to FIG. The present invention
In a method for manufacturing a semiconductor device such as a MOS transistor, a resist removing step, an etching step, a film forming step,
At least one of the doping step and the cleaning step uses the above-described plasma processing.

【0042】本発明においては、必要に応じてプラズマ
が発生するプラズマ発生室と被処理体Wが配される処理
室とを分離してもよい。
In the present invention, the plasma generation chamber in which the plasma is generated and the processing chamber in which the workpiece W is disposed may be separated if necessary.

【0043】本発明のマイクロ波プラズマ処理装置に用
いられる導波路13内は誘電体で充填されていても大気
でも真空でもよいが、その周長を管内波長の偶数倍にす
べきである。
The inside of the waveguide 13 used in the microwave plasma processing apparatus of the present invention may be filled with a dielectric material, may be in the air or may be in a vacuum, but its circumference should be an even multiple of the guide wavelength.

【0044】本発明のスロットの形状は、長さが管内波
長の1/4程度である矩形状穿孔でも、矩形状穿孔が複
数、不連続かつ直線上に配置されたものでも適用可能で
ある。
The shape of the slot according to the present invention can be applied to a rectangular hole having a length of about 1/4 of the guide wavelength or a plurality of rectangular holes arranged discontinuously and linearly.

【0045】本発明のマイクロ波プラズマ処理装置に用
いられるマイクロ波供給器3の材質は、導電体であれば
使用可能であるが、マイクロ波の伝搬ロスをできるだけ
抑えるため導電率の高いAl、Cu、Ag/Cuメッキ
したステンレス銅などが好ましい。
The material of the microwave supplier 3 used in the microwave plasma processing apparatus of the present invention can be used as long as it is a conductor. However, in order to minimize the microwave propagation loss, Al and Cu having high conductivity are used. , Ag / Cu-plated stainless steel and the like are preferable.

【0046】本発明に用いられるスロット付平板状環状
導波管のスロット間隔は、管内波長の1/2もしくは1
/4が最適である。
The slot interval of the slotted flat annular waveguide used in the present invention is 、 or 1 of the guide wavelength.
/ 4 is optimal.

【0047】本発明のマイクロ波プラズマ処理装置及び
処理方法において用いられるマイクロ波周波数は、0.
8GHz乃至20GHzの範囲から適宜選択することが
できる。
The microwave frequency used in the microwave plasma processing apparatus and the processing method of the present invention is set to 0.
It can be appropriately selected from the range of 8 GHz to 20 GHz.

【0048】本発明のマイクロ波プラズマ処理装置及び
処理方法において用いられる誘電体としては、SiO2
系の石英ガラスやその他のガラス、Al23 ,Al
N,Si34 ,NaCl,KCl,LiF,CaF
2 ,BaF2 ,MgOなどの無機物が適当であるが、ポ
リエチレン,ポリエステル、ポリカーボネート,セルロ
ースアセテート,ポリプロピレン,ポリ塩化ビニル,ポ
リ塩化ビニリデン,ポリスチレン,ポリアミド,ポリイ
ミド,ポリテトラフルオロエチレンなどの有機物のフィ
ルム、シートなども条件によっては適用可能である。
The dielectric used in the microwave plasma processing apparatus and processing method of the present invention is SiO 2
Quartz glass and other glass, Al 2 O 3 , Al
N, Si 3 N 4 , NaCl, KCl, LiF, CaF
Inorganic substances such as 2 , BaF 2 and MgO are suitable, but organic films such as polyethylene, polyester, polycarbonate, cellulose acetate, polypropylene, polyvinyl chloride, polyvinylidene chloride, polystyrene, polyamide, polyimide, and polytetrafluoroethylene; A sheet or the like can be applied depending on conditions.

【0049】本発明のマイクロ波プラズマ処理装置及び
処理方法において、磁界発生手段を用いても良い。本発
明のプラズマ処理装置及び処理方法において用いられる
磁界としては、ミラー磁界なども適用可能であるが、磁
界がスロットの長手方向と平行、即ち、磁界がスロット
の電界と直交し、スロット近傍の磁束密度が基板近傍の
磁束密度よりも100倍以上大きいマグネトロン磁界が
最適である。磁界発生手段としては、コイル以外でも適
用可能であるが、該電体窓近傍に局在した磁界を印加で
きる永久磁石が最適である。コイルを用いる場合には過
熱防止のため水冷機構や空冷など他の冷却手段を付設し
てもよい。
In the microwave plasma processing apparatus and the processing method of the present invention, a magnetic field generating means may be used. As the magnetic field used in the plasma processing apparatus and the processing method of the present invention, a mirror magnetic field or the like can be applied, but the magnetic field is parallel to the longitudinal direction of the slot, that is, the magnetic field is orthogonal to the electric field of the slot, and the magnetic flux near the slot. A magnetron magnetic field whose density is at least 100 times greater than the magnetic flux density near the substrate is optimal. As the magnetic field generating means, other than a coil can be applied, but a permanent magnet that can apply a magnetic field localized near the electric window is optimal. When a coil is used, another cooling means such as a water cooling mechanism or air cooling may be provided to prevent overheating.

【0050】また、処理のより高品質化のため、紫外光
を被処理体表面に照射してもよい。光源としては、被処
理体もしくはその上に付着したガスに吸収される光を放
射するものなら適用可能で、エキシマレーザ、エキシマ
ランプ、希ガス共鳴線ランプ、低圧水銀ランプなどが適
当である。
In order to improve the quality of the treatment, the surface of the object to be treated may be irradiated with ultraviolet light. As the light source, any light source that emits light that is absorbed by the object to be processed or the gas adhered thereon can be used, and an excimer laser, an excimer lamp, a rare gas resonance line lamp, a low-pressure mercury lamp, and the like are suitable.

【0051】本発明のマイクロ波プラズマ処理方法にお
けるプラズマ処理時の発生室内の圧力は0.01Pa乃
至1400Paの範囲、より好ましくは、CVDの場合
0.6Pa乃至70Pa、エッチングの場合0.06P
aから7Pa、アッシングの場合10Paから1400
Paの範囲から選択することができる。
The pressure in the generation chamber during the plasma processing in the microwave plasma processing method of the present invention is in the range of 0.01 Pa to 1400 Pa, more preferably 0.6 Pa to 70 Pa for CVD, and 0.06 P for etching.
7 Pa from a, 10 Pa to 1400 for ashing
It can be selected from the range of Pa.

【0052】本発明のマイクロ波プラズマ処理方法によ
る堆積膜の形成は、使用するガスを適宜選択することに
よりSi34 、SiO2 、Ta25 、TiO2 、T
iN、Al23 、AlN、MgF2 などの絶縁膜、a
−Si、poly−Si、SiC、GaAsなどの半導
体膜、Al、W、Mo、Ti、Taなどの金属膜等、各
種の堆積膜を効率よく形成することが可能である。
The formation of a deposited film by the microwave plasma processing method of the present invention can be performed by appropriately selecting a gas to be used, by using Si 3 N 4 , SiO 2 , Ta 2 O 5 , TiO 2 , T 2
an insulating film such as iN, Al 2 O 3 , AlN, MgF 2 ,
Various kinds of deposited films such as a semiconductor film such as -Si, poly-Si, SiC, and GaAs, and a metal film such as Al, W, Mo, Ti, and Ta can be efficiently formed.

【0053】本発明のプラズマ処理方法により処理する
被処理体Wは、半導体であっても、導電性のものであっ
ても、あるいは電気絶縁性のものであってもよい。又、
その表面にレジスト等を有していてもよい。
The object W to be processed by the plasma processing method of the present invention may be a semiconductor, a conductive material, or an electrically insulating material. or,
A resist or the like may be provided on the surface.

【0054】導電性基体としては、Fe,Ni,Cr,
Al,Mo,Au,Nb,Ta,V,Ti,Pt,Pb
などの金属またはこれらの合金、例えば真鍮、ステンレ
ス鋼などが挙げられる。
As the conductive substrate, Fe, Ni, Cr,
Al, Mo, Au, Nb, Ta, V, Ti, Pt, Pb
And alloys thereof, such as brass and stainless steel.

【0055】絶縁性基体としては、SiO2 系の石英や
各種ガラス、Si34 ,NaCl,KCl,LiF,
CaF2 ,BaF2 ,Al23 ,AlN,MgOなど
の無機物、ポリエチレン,ポリエステル,ポリカーボネ
ート,セルロースアセテート,ポリプロピレン,ポリ塩
化ビニル,ポリ塩化ビニリデン,ポリスチレン,ポリア
ミド,ポリイミドなどの有機物のフィルム、シートなど
が挙げられる。
Examples of the insulating substrate include SiO 2 -based quartz and various kinds of glass, Si 3 N 4 , NaCl, KCl, LiF,
Inorganic substances such as CaF 2 , BaF 2 , Al 2 O 3 , AlN, MgO, etc., and organic films and sheets such as polyethylene, polyester, polycarbonate, cellulose acetate, polypropylene, polyvinyl chloride, polyvinylidene chloride, polystyrene, polyamide, polyimide, etc. Is mentioned.

【0056】CVD法により基板上に薄膜を形成する場
合に用いられるガスとしては、一般に公知のガスが使用
できる。
As a gas used for forming a thin film on a substrate by the CVD method, a generally known gas can be used.

【0057】a−Si、poly−Si、SiCなどの
Si系半導体薄膜を形成する場合の処理用ガス導入手段
7を介してプラズマ発生9へ導入するSi原子を含有す
る原料ガスとしては、SiH4 ,Si2 6 などの無機
シラン類、テトラエチルシラン(TES),テトラメチ
ルシラン(TMS),ジメチルシラン(DMS)、ジメ
チルジフルオロシラン(DMDFS)、ジメチルジクロ
ルシラン(DMDCS)などの有機シラン類、SiF
4 ,Si26 ,Si38 ,SiHF3 ,SiH2
2 ,SiCl4 ,Si2 Cl6 ,SiHCl3 ,SiH
2 Cl2 ,SiH 3 Cl,SiCl22 などのハロシ
ラン類等、常温常圧でガス状態であるものまたは容易に
ガス化し得るものが挙げられる。また、この場合のSi
原料ガスと混合して導入してもよい添加ガスまたはキャ
リアガスとしては、H2 、He、Ne、Ar、Kr、X
e、Rnが挙げられる。
A-Si, poly-Si, SiC, etc.
Means for introducing a processing gas when forming a Si-based semiconductor thin film
Containing Si atoms introduced into plasma generation 9 via
Source gas is SiHFour , SiTwoH6 Such as inorganic
Silanes, tetraethylsilane (TES), tetramethyl
Silane (TMS), dimethylsilane (DMS),
Tyldifluorosilane (DMDFS), dimethyl dichloro
Organic silanes such as silane (DMDCS), SiF
Four , SiTwo F6 , SiThree F8 , SiHFThree , SiHTwo F
Two , SiClFour , SiTwo Cl6 , SiHClThree , SiH
Two ClTwo , SiH Three Cl, SiClTwo FTwo Haroshi, etc.
Such as orchids, which are in a gaseous state at normal temperature and pressure or easily
Those that can be gasified are listed. In this case, Si
Additive gas or capacitor that may be introduced as a mixture with the source gas
As the rear gas, HTwo , He, Ne, Ar, Kr, X
e and Rn.

【0058】Si34 ,SiO2 などのSi化合物系
薄膜を形成する場合の処理用ガスとしてのSi原子を含
有する原料としては、SiH4 、Si26 などの無機
シラン類,テトラエトキシシラン(TEOS),テトラ
メトキシシラン(TMOS),オクタメチルシクロテト
ラシラン(OMCTS),ジメチルジフルオロシラン
(DMDFS),ジメチルジクロルシラン(DMDC
S)などの有機シラン類、SiF4 ,Si26 ,Si
38 ,SiHF3 ,SiH22 ,SiCl4 ,Si
2 Cl6 ,SiHCl3 ,SiH2 Cl2 ,SiH3
l,SiCl22などのハロシラン類等、常温常圧で
ガス状態であるものまたは容易にガス化し得るものが挙
げられる。また、この場合の同時に導入する窒素原料ガ
スまたは酸素原料ガスとしては、N2 ,NH3 ,N2
4 ,ヘキサメチルジシラザン(HMDS)、O2 ,O
3 ,H2 O,NO,N2 O,NO2 などが挙げられる。
As a raw material containing Si atoms as a processing gas when forming a Si compound thin film such as Si 3 N 4 or SiO 2 , inorganic silanes such as SiH 4 and Si 2 H 6 , tetraethoxy, etc. Silane (TEOS), tetramethoxysilane (TMOS), octamethylcyclotetrasilane (OMCTS), dimethyldifluorosilane (DMDFS), dimethyldichlorosilane (DMDC)
Organic silanes such as S), SiF 4 , Si 2 F 6 , Si
3 F 8, SiHF 3, SiH 2 F 2, SiCl 4, Si
2 Cl 6 , SiHCl 3 , SiH 2 Cl 2 , SiH 3 C
1, halosilanes such as SiCl 2 F 2 , etc., which are in a gaseous state at normal temperature and normal pressure or those which can be easily gasified. In this case, the nitrogen source gas or the oxygen source gas introduced simultaneously may be N 2 , NH 3 , N 2 H
4, hexamethyldisilazane (HMDS), O 2, O
3 , H 2 O, NO, N 2 O, NO 2 and the like.

【0059】Al、W、Mo,Ti、Taなどの金属薄
膜を形成する場合の処理用ガスとしての金属原子を含有
する原料としては、トリメチルアルミニウム(TMA
l)、トリエチルアルミニウム(TEAl)、トリイソ
ブチルアルミニウム(TIBAl)、ジメチルアルミニ
ウムハイドライド(DMAlH)、タングステンカルボ
ニル(W(CO)6 )、モリブデンカルボニル(Mo
(CO)6 )、トリメチルガリウム(TMGa)、トリ
エチルガリウム(TEGa)などの有機金属、AlCl
3 、WF6 、TiCl3 、TaCl5 などのハロゲン化
金属等が挙げられる。また、この場合のSi原料ガスと
混合して導入してもよい添加ガスまたはキャリアガスと
しては、H2 、He、Ne、Ar、Kr、Xe、Rnが
挙げられる。
As a raw material containing a metal atom as a processing gas when forming a metal thin film of Al, W, Mo, Ti, Ta, etc., trimethyl aluminum (TMA) is used.
l), triethylaluminum (TEAl), triisobutylaluminum (TIBAl), dimethylaluminum hydride (DMAlH), tungsten carbonyl (W (CO) 6 ), molybdenum carbonyl (Mo)
(CO) 6 ), organic metals such as trimethylgallium (TMGa) and triethylgallium (TEGa), AlCl
3 , metal halides such as WF 6 , TiCl 3 , and TaCl 5 . In this case, examples of the additional gas or the carrier gas which may be introduced by being mixed with the Si source gas include H 2 , He, Ne, Ar, Kr, Xe, and Rn.

【0060】Al23 、AlN、Ta25 、TiO
2 、TiN、WO3 などの金属化合物薄膜を形成する場
合の処理用ガスとしての金属原子を含有する原料として
は、トリメチルアルミニウム(TMAl)、トリエチル
アルミニウム(TEAl)、トリイソブチルアルミニウ
ム(TIBAl)、ジメチルアルミニウムハイドライド
(DMAlH)、タングステンカルボニル(W(CO)
6 )、モリブデンカルボニル(Mo(CO)6 )、トリ
メチルガリウム(TMGa)、トリエチルガリウム(T
EGa)などの有機金属、AlCl3 、WF6 、TiC
3 、TaCl 5 などのハロゲン化金属等が挙げられ
る。また、この場合の同時に導入する酸素原料ガスまた
は窒素原料ガスとしては、O2 、O3 、H2 O、NO、
2 O、NO2 、N2 、NH3 、N24 、ヘキサメチ
ルジシラザン(HMDS)などが挙げられる。
AlTwo OThree , AlN, TaTwo OFive , TiO
Two , TiN, WOThree For forming metal compound thin films such as
As a raw material containing metal atoms as a processing gas
Means trimethyl aluminum (TMAl), triethyl
Aluminum (TEAl), triisobutylaluminum
(TIBAl), dimethyl aluminum hydride
(DMAlH), tungsten carbonyl (W (CO)
6 ), Molybdenum carbonyl (Mo (CO)6 ),bird
Methyl gallium (TMGa), triethyl gallium (T
Organic metals such as EGa), AlClThree , WF6 , TiC
lThree , TaCl Five Metal halides such as
You. In this case, the oxygen source gas or
Is O as a nitrogen source gas.Two , OThree , HTwo O, NO,
NTwo O, NOTwo , NTwo , NHThree , NTwo HFour , Hexamethi
Rusilazane (HMDS) and the like.

【0061】基体表面のSi、SiCなどのSi系半導
体膜をエッチングする場合の処理用ガスとしてのエッチ
ング用ガスとしては、CF2 Cl2 、Cl2 、CCl
4 、CH2 Cl2 、C2 Cl6 などが挙げられる。
As a processing gas for etching a Si-based semiconductor film such as Si or SiC on the surface of the substrate, CF 2 Cl 2 , Cl 2 , CCl
4 , CH 2 Cl 2 , C 2 Cl 6 and the like.

【0062】基体表面のSi34 ,SiO2 ,各種S
OGなどのSi化合物膜をエッチングする場合の処理用
ガスとしてのエッチング用ガスとしては、F2 、CF
4 、CH22 、C26 、C48 、CF2 CL2
SF6 、NF3 、N2 、H2 、NH3 などが挙げられ
る。
The Si 3 N 4 , SiO 2 , various S
As an etching gas as a processing gas when etching a Si compound film such as OG, F 2 , CF
4 , CH 2 F 2 , C 2 F 6 , C 4 F 8 , CF 2 CL 2 ,
SF 6 , NF 3 , N 2 , H 2 , NH 3 and the like can be mentioned.

【0063】基体表面のAl23 ,AlN,Ta2
5 ,TiO2 ,TiN,WO3 などの金属化合物膜をエ
ッチングする場合の処理用ガスとしてのエッチング用ガ
スとしては、F2 、CF4 、CH22 、C26 、C
48 、CF2 Cl2 、SF 6 、NF3 、Cl2 、CC
4 、CH2 Cl2 、C2 Cl6 、N2 、H2 、NH 3
などが挙げられる。
Al on substrate surfaceTwo OThree , AlN, TaTwo O
Five , TiOTwo , TiN, WOThree Etching metal compound films such as
Etching gas as a processing gas when etching
As FTwo , CFFour , CHTwo FTwo , CTwo F6 , C
Four F8 , CFTwo ClTwo , SF 6 , NFThree , ClTwo , CC
lFour , CHTwo ClTwo , CTwo Cl6 , NTwo , HTwo , NH Three 
And the like.

【0064】基体表面のAl、Cu、W、Mo、Ti、
Taなどの金属膜をエッチングする場合の処理用ガスと
してのエッチング用ガスとしては、CF2 Cl2 、Cl
2 、CCl4 、CH2 Cl2 、C2 Cl6 などが挙げら
れる。
Al, Cu, W, Mo, Ti,
As an etching gas as a processing gas when etching a metal film such as Ta, CF 2 Cl 2 , Cl
2 , CCl 4 , CH 2 Cl 2 , C 2 Cl 6 and the like.

【0065】基体表面のポリアリールエーテル、ポリフ
ルオロカーボン、ポリイミド、ポリアミド、ポリカーボ
ネートなどの各種有機膜をエッチングする場合の処理用
ガスとしてのエッチング用ガスとしては、O2 、O3
2 O、H2 、NO、N2 O、NO2 、N2 、NH3
どが挙げられる。
When etching various organic films such as polyarylether, polyfluorocarbon, polyimide, polyamide, and polycarbonate on the surface of the substrate, O 2 , O 3 ,
H 2 O, H 2 , NO, N 2 O, NO 2 , N 2 , NH 3 and the like can be mentioned.

【0066】フォトレジストなど基体表面上の有機成分
をアッシング除去する場合の処理用ガスとしてのアッシ
ング用ガスとしては、O2 、O3 、H2 O、H2 、N
O、N 2 O、NO2 、N2 、NH3 などが挙げられる。
Organic components on the substrate surface such as photoresist
As a processing gas when removing ashing
O 2Two , OThree , HTwo O, HTwo , N
O, N Two O, NOTwo , NTwo , NHThree And the like.

【0067】イオン注入後のフォトレジストなど基体表
面上の硬化した有機成分をアッシング除去する場合の処
理用ガスとしてのアッシング用ガスとしては、O2 、O
3 、H2 O、H2 、NO、N2 O、NO2 、N2 、NH
3 、F2 、CF4 、CH2 2 、C26 、C48
CF2 Cl2 、SF6 、NF3 などが挙げられる。
Substrates such as photoresist after ion implantation
When ashing the hardened organic components on the surface
As ashing gas as a utility gas, OTwo , O
Three , HTwo O, HTwo , NO, NTwo O, NOTwo , NTwo , NH
Three , FTwo , CFFour , CHTwo F Two , CTwo F6 , CFour F8 ,
CFTwo ClTwo , SF6 , NFThree And the like.

【0068】また本発明のマイクロ波プラズマ処理装置
及び処理方法を表面改質にも適用する場合、使用するガ
スを適宜選択することにより、例えば基体もしくは表面
層としてSi、Al、Ti、Zn、Taなどを使用して
これら基体もしくは表面層の酸化処理あるいは窒化処理
さらにはB、As、Pなどのドーピング処理等が可能で
ある。更に本発明において採用する成膜技術はクリーニ
ング方法にも適用できる。その場合酸化物あるいは有機
物や重金属などのクリーニングに使用することもでき
る。
When the microwave plasma processing apparatus and the processing method of the present invention are applied to surface modification, by appropriately selecting a gas to be used, for example, Si, Al, Ti, Zn, Ta as a substrate or a surface layer. For example, an oxidation treatment or a nitridation treatment of these substrates or surface layers, and a doping treatment of B, As, P or the like can be performed. Further, the film forming technique employed in the present invention can be applied to a cleaning method. In that case, it can be used for cleaning oxides, organic substances, heavy metals, and the like.

【0069】基体を酸化表面処理する場合の処理用ガス
としての酸化性ガスとしては、O2、O3 、H2 O、N
O、N2 O、NO2 などが挙げられる。また、基体を窒
化表面処理する場合の処理用ガスとしての窒化性ガスと
しては、N2 、NH3 、N24 、ヘキサメチルジシラ
ザン(HMDS)などが挙げられる。
The oxidizing gas used as the processing gas when the substrate is subjected to the oxidizing surface treatment is O 2 , O 3 , H 2 O, N
O, N 2 O, NO 2 and the like can be mentioned. In addition, as a nitriding gas as a processing gas when the substrate is subjected to a nitriding surface treatment, N 2 , NH 3 , N 2 H 4 , hexamethyldisilazane (HMDS) and the like can be mentioned.

【0070】基体表面の有機物をクリーニングする場合
のクリーニング用ガスとしては、O 2 、O3 、H2 O、
2 、NO、N2 O、NO2 などが挙げられる。また、
基体表面の無機物をクリーニングする場合のクリーニン
グ用ガスとしては、F2 、CF4 、CH22 、C2
6 、C48 、CF2 Cl2 、SF6 、NF3 などが挙
げられる。
When cleaning organic substances on the substrate surface
O as cleaning gas for Two , OThree , HTwo O,
HTwo , NO, NTwo O, NOTwo And the like. Also,
Cleaning for cleaning inorganic substances on the substrate surface
Gas for gasTwo , CFFour , CHTwo FTwo , CTwo F
6 , CFour F8 , CFTwo ClTwo , SF6 , NFThree Etc.
I can do it.

【0071】(実施例1)図1に示したマイクロ波プラ
ズマ処理装置を使用し、フォトレジストのアッシングを
行った。
(Example 1) Ashing of photoresist was performed using the microwave plasma processing apparatus shown in FIG.

【0072】被処理体Wとしては、フォトレジストマス
クを用いて酸化シリコン膜をエッチングし、ビアホール
を形成した直後のシリコン基板(φ8インチ)を用意し
た。この基板を支持手段2の上に設置した後、排気手段
8によりプラズマ発生室9内を排気し、1.33×10
-3Paまで減圧させた。プラズマ処理用ガス導入口7か
ら酸素ガスを2slmの流量でプラズマ発生室9内に導
入した。ついで、排気手段8に設けられたコンダクタン
スバルブを調整し、室9内を133Paに保持した。室
9内に、2.45GHzのマイクロ波電源より1.5k
Wの電力を供給した。かくして、室9内にプラズマを発
生させた。この際、プラズマ処理用ガス導入口8を介し
て導入された酸素ガスは室9内で励起、分解、反応して
オゾンとなり、シリコン基板の方向に輸送される。基板
上のフォトレジストはオゾンにより酸化し、気化・除去
された。アッシング後、アッシング速度・均一性と基板
表面電荷密度などについて評価した。
As the object to be processed W, a silicon substrate (φ8 inches) immediately after forming a via hole by etching a silicon oxide film using a photoresist mask was prepared. After the substrate is set on the support means 2, the inside of the plasma generation chamber 9 is evacuated by the evacuation means 8, and 1.33 × 10
The pressure was reduced to -3 Pa. Oxygen gas was introduced into the plasma generation chamber 9 from the plasma processing gas inlet 7 at a flow rate of 2 slm. Next, the conductance valve provided in the exhaust means 8 was adjusted to keep the inside of the chamber 9 at 133 Pa. 1.5k from the microwave power supply of 2.45 GHz in the room 9
W power was supplied. Thus, plasma was generated in the chamber 9. At this time, the oxygen gas introduced through the plasma processing gas introduction port 8 is excited, decomposed and reacted in the chamber 9 to become ozone, and is transported in the direction of the silicon substrate. The photoresist on the substrate was oxidized by ozone and was vaporized and removed. After ashing, the ashing speed / uniformity and the substrate surface charge density were evaluated.

【0073】得られたアッシング速度及び均一性は、
6.6μm/min±3.5%と極めて良好で、表面電
荷密度も−1.3×1011/cm2 と充分低い値を示し
た。
The ashing speed and uniformity obtained are:
It was extremely good at 6.6 μm / min ± 3.5%, and the surface charge density was a sufficiently low value of −1.3 × 10 11 / cm 2 .

【0074】(実施例2)図1に示したマイクロ波プラ
ズマ処理装置を使用し、半導体素子保護用窒化シリコン
膜の形成を行った。
Example 2 Using the microwave plasma processing apparatus shown in FIG. 1, a silicon nitride film for protecting a semiconductor element was formed.

【0075】被処理体Wとしては、ラインアンドスペー
ス0.5μmのAl配線パターンが表面に形成された酸
化シリコン膜付きP型単結晶シリコン基板(面方位〈1
00〉,抵抗率10Ωcm)を用意した。まず、被処理
体を支持手段2上に設置した後、排気手段8により室9
内を排気し、1.33×10-5Paの値まで減圧させ
た。続いて温度制御手段11のヒーターに通電し、シリ
コン基板を300℃に加熱し、該基板をこの温度に保持
した。プラズマ処理用ガス導入口7より窒素ガスを60
0sccmの流量で、また、モノシランガスを200s
ccmの流量で室9内に導入した。ついで、排気系に設
けられたコンダクタンスバルブを調整し、室9内を2.
66Paに保持した。ついで、2.45GHzのマイク
ロ波電源より3.0kWの電力を供給した。かくして、
室9内にプラズマを発生させた。この際、導入された窒
素ガスは室9内で励起、分解されて活性種となり、シリ
コン基板の方向に輸送され、モノシランガスと反応し、
窒化シリコン膜がシリコン基板上に1.0μmの厚さで
堆積した。成膜速度・均一性・応力などの膜質について
評価した。応力は成膜前後の基板の反り量の変化をレー
ザ干渉計Zygo(商品名)で測定し求めた。
As the object to be processed W, a P-type single crystal silicon substrate with a silicon oxide film having a 0.5 μm line and space Al wiring pattern formed on the surface (plane orientation <1)
00>, resistivity 10 Ωcm). First, after the object to be processed is set on the support means 2, the exhaust means 8 sets the chamber 9.
The inside was evacuated and the pressure was reduced to a value of 1.33 × 10 −5 Pa. Subsequently, the heater of the temperature control means 11 was energized to heat the silicon substrate to 300 ° C., and the substrate was kept at this temperature. Nitrogen gas is supplied from the plasma processing gas inlet 7
At a flow rate of 0 sccm, and a monosilane gas of 200 s
It was introduced into the chamber 9 at a flow rate of ccm. Next, the conductance valve provided in the exhaust system was adjusted, and the inside of the chamber 9 was set to 2.
It was kept at 66 Pa. Then, 3.0 kW of power was supplied from a 2.45 GHz microwave power supply. Thus,
Plasma was generated in the chamber 9. At this time, the introduced nitrogen gas is excited and decomposed in the chamber 9 to become active species, transported in the direction of the silicon substrate, and reacts with the monosilane gas,
A silicon nitride film was deposited on the silicon substrate to a thickness of 1.0 μm. The film quality such as film forming speed, uniformity, and stress was evaluated. The stress was determined by measuring the change in the amount of warpage of the substrate before and after film formation using a laser interferometer Zygo (trade name).

【0076】得られた窒化シリコン膜の成膜速度及び均
一性は、510nm/min±2.5%と極めて大き
く、膜質も応力1.2×108 Pa(圧縮)、リーク電
流1.2×10-10 A/cm2 、絶縁耐圧9MV/cm
の極めて良質な膜であることが確認された。
The film formation rate and uniformity of the obtained silicon nitride film are extremely large at 510 nm / min ± 2.5%, and the film quality is stress 1.2 × 10 8 Pa (compression) and leak current 1.2 × 10 -10 A / cm 2 , withstand voltage 9MV / cm
It was confirmed that the film was of extremely high quality.

【0077】(実施例3)図1に示したマイクロ波プラ
ズマ処理装置を使用し、プラスチックレンズ反射防止用
酸化シリコン膜及び窒化シリコン膜の形成を行った。
Example 3 Using the microwave plasma processing apparatus shown in FIG. 1, a silicon oxide film and a silicon nitride film for preventing plastic lens reflection were formed.

【0078】被処理体として、直径50mmプラスチッ
ク凸レンズを使用した。レンズを支持手段2上に設置し
た後、室9内を排気し、1.33×10-5Paの値まで
減圧させた。
A 50 mm diameter plastic convex lens was used as the object to be processed. After placing the lens on the supporting means 2, the inside of the chamber 9 was evacuated and the pressure was reduced to 1.33 × 10 −5 Pa.

【0079】窒素ガスを160sccmの流量で、ま
た、モノシランガスを100sccmの流量で室9内に
導入した。ついで、コンダクタンスバルブを調整し、室
9内を0.93Paに保持した。ついで、2.45GH
zのマイクロ波電源より3.0kWの電力を室9内に供
給した。かくして、室9内にプラズマを発生させた。こ
の際、導入された窒素ガスは、室9内で励起、分解され
て窒素原子などの活性種となり、レンズの方向に輸送さ
れ、モノシランガスと反応し、窒化シリコン膜がレンズ
上に21nmの厚さで堆積した。
A nitrogen gas was introduced into the chamber 9 at a flow rate of 160 sccm, and a monosilane gas was introduced at a flow rate of 100 sccm. Next, the conductance valve was adjusted, and the inside of the chamber 9 was maintained at 0.93 Pa. Then 2.45GH
Power of 3.0 kW was supplied into the chamber 9 from the microwave power source of z. Thus, plasma was generated in the chamber 9. At this time, the introduced nitrogen gas is excited and decomposed in the chamber 9 to become active species such as nitrogen atoms, is transported in the direction of the lens, reacts with the monosilane gas, and a silicon nitride film having a thickness of 21 nm is formed on the lens. Deposited.

【0080】次に、酸素ガスを200sccmの流量
で、また、モノシランガスを100sccmの流量で室
9内に導入した。ついで、コンダクタンスバルブを調整
し、室9内を0.13Paに保持した。ついで、2.4
5GHzのマイクロ波電源より2.0kWの電力をプラ
ズマ発生室9内に供給した。かくして、室9内にプラズ
マを発生させた。この際、導入された酸素ガスは、室9
内で励起、分解されて酸素原子などの活性種となり、レ
ンズの方向に輸送され、モノシランガスと反応し、酸化
シリコン膜がレンズ上に86nmの厚さで堆積した。成
膜後、成膜速度・均一性、反射特性について評価した。
Next, oxygen gas was introduced into the chamber 9 at a flow rate of 200 sccm, and monosilane gas was introduced at a flow rate of 100 sccm. Next, the conductance valve was adjusted to maintain the inside of the chamber 9 at 0.13 Pa. Then 2.4
2.0 kW of power was supplied from the 5 GHz microwave power supply into the plasma generation chamber 9. Thus, plasma was generated in the chamber 9. At this time, the introduced oxygen gas is supplied to the chamber 9
Excited and decomposed inside to form active species such as oxygen atoms, transported in the direction of the lens, reacted with monosilane gas, and deposited a silicon oxide film with a thickness of 86 nm on the lens. After the film formation, the film formation rate, uniformity, and reflection characteristics were evaluated.

【0081】得られた窒化シリコン膜及び酸化シリコン
膜の成膜速度及び均一性はそれぞれ320nm/min
±2.0%、350nm/min±2.3と良好で、膜
質も、500nm付近の反射率が0.3%と極めて良好
な光学特性であることが確認された。
The deposition rate and uniformity of the obtained silicon nitride film and silicon oxide film were 320 nm / min, respectively.
The film quality was as good as ± 2.0% and 350 nm / min ± 2.3, and the film quality was confirmed to be a very good optical characteristic with a reflectivity around 500 nm of 0.3%.

【0082】(実施例4)図1に示したマイクロ波プラ
ズマ処理装置を使用し、半導体素子の層間絶縁用酸化シ
リコン膜の形成を行った。
Example 4 Using the microwave plasma processing apparatus shown in FIG. 1, a silicon oxide film for interlayer insulation of a semiconductor element was formed.

【0083】被処理体として、最上部にラインアンドス
ペース0.5μmのAlパターンが形成されたP型単結
晶シリコン基板(面方位〈100〉,抵抗率10Ωc
m)を用意した。まず、シリコン基板を支持手段2上に
設置した。室9内を排気し、1.33×10-5Paの値
まで減圧した。続いてヒータに通電し、シリコン基板を
300℃に加熱し、該基板をこの温度に保持した。酸素
ガスを500sccmの流量で、また、モノシランガス
を200sccmの流量で室9内に導入した。ついで、
排気系に設けられたコンダクタンスバルブを調整し、室
9内を4.0Paに保持した。ついで、13.56MH
zの高周波印加手段から300Wの電力を支持手段2に
印加するとともに、2.45GHzのマイクロ波電源よ
り2.0kWの電力を室9内に供給した。かくして室9
内にプラズマを発生させた。導入された酸素ガスは室9
内で励起、分解されて活性種となり、シリコン基板の方
向に輸送され、モノシランガスと反応し、酸化シリコン
膜がシリコン基板上に0.8μmの厚さで堆積した。こ
の時、イオン種はRFバイアスにより加速されて基板に
入射しパターン上の膜を削り平坦性を向上させる。処理
後、成膜速度・均一性、絶縁耐圧、及び段差被覆性につ
いて評価した。段差被覆性は、Al配線パターン上に成
膜した酸化シリコン膜の断面を走査型電子顕微鏡(SE
M)で観測し、ボイドを観測することにより評価した。
As an object to be processed, a P-type single-crystal silicon substrate (plane orientation <100>, resistivity 10 Ωc) having an Al pattern of 0.5 μm line and space formed on the uppermost part
m) was prepared. First, a silicon substrate was set on the supporting means 2. The inside of the chamber 9 was evacuated, and the pressure was reduced to a value of 1.33 × 10 −5 Pa. Subsequently, the heater was energized to heat the silicon substrate to 300 ° C., and the substrate was kept at this temperature. Oxygen gas was introduced into the chamber 9 at a flow rate of 500 sccm, and monosilane gas was introduced at a flow rate of 200 sccm. Then
The conductance valve provided in the exhaust system was adjusted to maintain the inside of the chamber 9 at 4.0 Pa. Then 13.56 MH
A power of 300 W was applied to the supporting means 2 from the high frequency applying means of z, and a power of 2.0 kW was supplied into the chamber 9 from a microwave power supply of 2.45 GHz. Thus room 9
A plasma was generated inside. The introduced oxygen gas is supplied to the chamber 9
Excited and decomposed inside to form active species, transported in the direction of the silicon substrate, reacted with the monosilane gas, and deposited a silicon oxide film with a thickness of 0.8 μm on the silicon substrate. At this time, the ion species is accelerated by the RF bias and is incident on the substrate to cut the film on the pattern to improve the flatness. After the treatment, the film formation rate / uniformity, the withstand voltage, and the step coverage were evaluated. The step coverage was determined by scanning a cross section of a silicon oxide film formed on an Al wiring pattern using a scanning electron microscope (SE).
M) and evaluated by observing voids.

【0084】得られた酸化シリコン膜の成膜速度及び均
一性は240nm/min±2.2%と良好で、膜質も
絶縁耐圧8.5MV/cm、ボイドフリーであって良質
な膜であることが確認された。
The obtained silicon oxide film has a good film formation rate and uniformity of 240 nm / min ± 2.2%, a film quality of 8.5 MV / cm, a void-free film, and a good film quality. Was confirmed.

【0085】(実施例5)図1に示したマイクロ波プラ
ズマ処理装置を使用し、半導体素子の層間絶縁膜のエッ
チングを行った。
Example 5 Using the microwave plasma processing apparatus shown in FIG. 1, an interlayer insulating film of a semiconductor element was etched.

【0086】被処理体としては、ラインアンドスペース
0.18μmのAlパターン上に1μm厚の酸化シリコ
ン膜が形成されたP型単結晶シリコン基板(面方位〈1
00〉,抵抗率10Ωcm)を用意した。まず、シリコ
ン基板を支持手段2上に設置した後、室9内を排気し、
1.33×10-5Paの値まで減圧した。C48 を1
00sccmの流量で室9内に導入した。ついで、排気
系に設けられたコンダクタンスバルブを調整し、室9内
を1.33Paの圧力に保持した。ついで、13.56
MHzの高周波印加手段から300Wの電力を支持手段
2に印加するとともに、2.45GHzのマイクロ波電
源より2.0kWの電力を室9内に供給した。かくして
室9内にプラズマを発生させた。導入されたC48
スはプラズマ室9内で励起、分解されて活性種となり、
シリコン基板の方向に輸送され、自己バイアスによって
加速されたイオンによって酸化シリコン膜がエッチング
された。支持手段2に付設した温度制御装置としてのク
ーラにより基板温度は80℃までしか上昇しなかった。
エッチング後、エッチング速度・均一性、選択比、及び
エッチング形状について評価した。エッチング形状は、
エッチングされた酸化シリコン膜の断面を走査型電子顕
微鏡(SEM)で観測し、評価した。
As an object to be processed, a P-type single-crystal silicon substrate having a 1 μm thick silicon oxide film formed on a line and space 0.18 μm Al pattern (plane orientation <1
00>, resistivity 10 Ωcm). First, after the silicon substrate is set on the support means 2, the inside of the chamber 9 is evacuated,
The pressure was reduced to a value of 1.33 × 10 −5 Pa. One C 4 F 8
It was introduced into the chamber 9 at a flow rate of 00 sccm. Next, the conductance valve provided in the exhaust system was adjusted, and the pressure in the chamber 9 was maintained at 1.33 Pa. Then 13.56
A power of 300 W was applied to the support means 2 from a high frequency application means of MHz, and a power of 2.0 kW was supplied into the chamber 9 from a microwave power supply of 2.45 GHz. Thus, plasma was generated in the chamber 9. The introduced C 4 F 8 gas is excited and decomposed in the plasma chamber 9 to become active species,
The silicon oxide film was etched by the ions transported in the direction of the silicon substrate and accelerated by the self-bias. The substrate temperature rose only to 80 ° C. by the cooler as a temperature control device attached to the support means 2.
After etching, the etching rate / uniformity, selectivity, and etching shape were evaluated. Etching shape is
The cross section of the etched silicon oxide film was observed and evaluated with a scanning electron microscope (SEM).

【0087】エッチング速度及び均一性と対ポリシリコ
ン選択比は540nm/min±2.2%、20と良好
で、エッチング形状もほぼ垂直で、マイクロローディー
ング効果も少ないことが確認された。
The etching rate and uniformity and the selectivity ratio to polysilicon were 540 nm / min ± 2.2%, which were good, 20. It was confirmed that the etching shape was almost vertical and the microloading effect was small.

【0088】(実施例6)図1に示したマイクロ波プラ
ズマ処理装置を使用し、半導体素子層間絶縁用ポリアリ
ールエーテル膜のエッチングを行った。
(Example 6) The microwave plasma processing apparatus shown in FIG. 1 was used to etch a polyarylether film for semiconductor element interlayer insulation.

【0089】被処理体として、600nm厚のポリアリ
ールエーテル(PAE)膜上に直径0.18μmホール
が形成された200nm厚の酸化シリコン膜パターンを
有するP型単結晶シリコン基板(面方位〈100〉,抵
抗率10Ωcm)を用意した。まず、シリコン基板を支
持手段2上に設置した後、室9内を真空排気し、1.3
3×10-5Paの値まで減圧した。NH3 を200sc
cmの流量で室9内に導入した。ついで、排気系に設け
られたコンダクタンスバルブを調整し、室9内を1.3
3Paの圧力に保持した。ついで、1.5MHzの高周
波印加手段から500Wの電力を支持手段2に印加する
とともに、2.45GHzのマイクロ波電源より2.0
kWの電力を室9内に供給した。かくして、室9内にプ
ラズマを発生させた。導入されたNH3 ガスは室9内で
励起、分解されて活性種となり、シリコン基板の方向に
輸送され、自己バイアスによって加速されたイオンによ
ってPAE膜がエッチングされた。クーラにより基板温
度は−10℃まで冷却した。エッチング後、エッチング
速度・均一性、選択比、及びエッチング形状について評
価した。エッチング形状は、エッチングされたPAE膜
の断面を走査型電子顕微鏡(SEM)で観測し、評価し
た。
As an object to be processed, a P-type single-crystal silicon substrate (plane orientation <100>) having a 200-nm-thick silicon oxide film pattern in which a hole of 0.18 μm is formed on a 600-nm-thick polyarylether (PAE) film , 10 Ωcm). First, after the silicon substrate is placed on the support means 2, the inside of the chamber 9 is evacuated to 1.3,
The pressure was reduced to a value of 3 × 10 −5 Pa. 200 sc of NH 3
at a flow rate of cm. Next, the conductance valve provided in the exhaust system was adjusted, and the inside of the chamber 9 was set to 1.3.
The pressure was maintained at 3 Pa. Then, a power of 500 W was applied to the supporting means 2 from a 1.5 MHz high frequency applying means, and 2.0 W was supplied from a 2.45 GHz microwave power supply.
kW of electric power was supplied into the room 9. Thus, plasma was generated in the chamber 9. The introduced NH 3 gas was excited and decomposed in the chamber 9 to become active species, transported in the direction of the silicon substrate, and the PAE film was etched by ions accelerated by the self-bias. The substrate temperature was cooled to −10 ° C. by a cooler. After etching, the etching rate / uniformity, selectivity, and etching shape were evaluated. The etched shape was evaluated by observing the cross section of the etched PAE film with a scanning electron microscope (SEM).

【0090】エッチング速度及び均一性と対酸化シリコ
ン選択比は820nm/min±3.2%、40と良好
で、エッチング形状もほぼ垂直で、マイクロローディン
グ効果も少ないことが確認された。
The etching rate and uniformity and the selectivity to silicon oxide were as good as 820 nm / min ± 3.2%, 40, and it was confirmed that the etching shape was almost vertical and the microloading effect was small.

【0091】[0091]

【発明の効果】高品質な処理をより高速かつ均一に行う
ことが可能になるように、特に導入部と対向部のプラズ
マ密度バランスが崩れない高密度低電子温度プラズマを
発生し得る。
According to the present invention, high-density low-electron-temperature plasma can be generated in which the plasma density balance between the introduction part and the opposed part is not particularly broken so that high-quality processing can be performed more quickly and uniformly.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の実施形態によるプラズマ処理装置の模
式的断面図である。
FIG. 1 is a schematic sectional view of a plasma processing apparatus according to an embodiment of the present invention.

【図2】本発明に用いられる分岐回路を有するマイクロ
波供給器の模式的上面図である。
FIG. 2 is a schematic top view of a microwave supplier having a branch circuit used in the present invention.

【図3】プラズマ発生の様子を説明するための模式図で
ある。
FIG. 3 is a schematic diagram for explaining a state of plasma generation.

【図4】本発明の別の実施形態によるプラズマ処理装置
の模式的断面図である。
FIG. 4 is a schematic sectional view of a plasma processing apparatus according to another embodiment of the present invention.

【図5】本発明に用いられる分岐回路の模式的斜視図で
ある。
FIG. 5 is a schematic perspective view of a branch circuit used in the present invention.

【図6】従来のプラズマ処理装置の模式的断面図であ
る。
FIG. 6 is a schematic sectional view of a conventional plasma processing apparatus.

【符号の説明】[Explanation of symbols]

1 容器 2 支持手段 3 マイクロ波供給器 4 誘電体窓 5 矩形導波管 6 マイクロ波電源 7 ガス導入手段 8 排気手段 9 プラズマ発生室 11 温度制御装置 13 環状導波器 15 分岐回路(E面分岐) 23 スロット 25A、25B 導入口 DESCRIPTION OF SYMBOLS 1 Container 2 Support means 3 Microwave supply device 4 Dielectric window 5 Rectangular waveguide 6 Microwave power supply 7 Gas introduction means 8 Exhaust means 9 Plasma generation chamber 11 Temperature control device 13 Annular waveguide 15 Branch circuit (E-plane branching) ) 23 slots 25A, 25B Inlet

フロントページの続き Fターム(参考) 4K030 AA06 AA18 BA40 BA44 CA04 CA07 DA08 FA01 JA01 KA30 KA45 LA15 LA24 4M104 AA01 BB01 BB02 BB14 BB16 BB17 BB18 BB30 BB36 DD08 DD16 DD17 DD19 DD20 DD44 DD45 DD65 DD67 EE08 HH20 5F004 AA01 BA20 BB07 BB13 BB14 BB32 BD01 DA01 DA02 DA04 DA05 DA06 DA17 DA18 DA24 DA25 DA26 DB00 DB01 DB03 DB07 DB08 DB09 DB10 DB12 DB13 DB14 DB23 DB25 DB26 EB03 5F045 AA09 AB03 AB04 AB06 AB10 AB31 AB32 AB33 AC01 AC02 AC07 AC15 AC16 AC17 AF02 AF08 AF09 BB02 BB09 DP04 EH02 EH03 EH16 Continued on the front page F term (reference) 4K030 AA06 AA18 BA40 BA44 CA04 CA07 DA08 FA01 JA01 KA30 KA45 LA15 LA24 4M104 AA01 BB01 BB02 BB14 BB16 BB17 BB18 BB30 BB36 DD08 DD16 DD17 DD19 DD20 DD44 DD45 DD65 DD67 FE08 H01 BB08 BB32 BD01 DA01 DA02 DA04 DA05 DA06 DA17 DA18 DA24 DA25 DA26 DB00 DB01 DB03 DB07 DB08 DB09 DB10 DB12 DB13 DB14 DB23 DB25 DB26 EB03 5F045 AA09 AB03 AB04 AB06 AB10 AB31 AB32 AB33 AC01 AC02 AC07 AC15 AC16 AC17 AF02 E08 AF09 DP02 E03 BBH

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】 プラズマ発生室と、被処理体を支持する
支持手段と、該プラズマ発生室内にガスを導入するガス
導入手段と、該プラズマ発生室内を排気する排気手段
と、誘電体窓を透してマイクロ波を該プラズマ発生室に
供給するマイクロ波供給器とを備えたプラズマ処理装置
であって、該マイクロ供給器は、所定の間隔で設けられ
た複数のスロットを有する環状導波路と該環状導波路に
設けられた少なくとも2個の導入口と、該導入口に電界
ベクトルが互いに逆方向を向くようにマイクロ波を導入
する為のE面分岐とを有することを特徴とするマイクロ
波プラズマ処理装置。
1. A plasma generation chamber, a support means for supporting an object to be processed, a gas introduction means for introducing gas into the plasma generation chamber, an exhaust means for exhausting the plasma generation chamber, and a dielectric window. A microwave supply device for supplying microwaves to the plasma generation chamber, the microwave supply device comprising: an annular waveguide having a plurality of slots provided at predetermined intervals; and A microwave plasma, comprising: at least two inlets provided in an annular waveguide; and an E-plane branch for introducing microwaves into the inlets such that electric field vectors are directed in opposite directions. Processing equipment.
【請求項2】 前記環状導波路の周長が管内波長の4倍
であり、前記スロットが45°間隔に8個設けられてい
ることを特徴とする請求項1に記載のプラズマ処理装
置。
2. The plasma processing apparatus according to claim 1, wherein a circumference of the annular waveguide is four times a guide wavelength, and eight slots are provided at 45 ° intervals.
【請求項3】 前記環状導波路の周長が管内波長の2倍
であり、前記スロットが90°間隔に4個設けられてい
ることを特徴とする請求項1に記載のプラズマ処理装
置。
3. The plasma processing apparatus according to claim 1, wherein a circumference of the annular waveguide is twice as long as a guide wavelength, and four slots are provided at 90 ° intervals.
【請求項4】 プラズマ発生室と被処理体を支持する支
持手段と該プラズマ発生室内にガスを導入するガス導入
手段と該プラズマ発生室内を排気する手段と誘電体窓を
透してマイクロ波を該プラズマ発生室に供給するマイク
ロ波供給器とを備えたプラズマ処理装置を用いたプラズ
マ処理方法において、 E面分岐を用いて分配したマイクロ波を電界ベクトルが
互いに逆方向を向くように少なくとも2つの導入口に導
入し、該導入口から導入されたマイクロ波を環状導波路
のH面に設けられたスロットより該誘電体窓を透して該
プラズマ発生室内に供給し、該被処理体を処理すること
を特徴とするプラズマ処理方法。
4. A means for supporting a plasma generation chamber and an object to be processed, a gas introduction means for introducing a gas into the plasma generation chamber, a means for exhausting the plasma generation chamber, and a microwave through a dielectric window. A plasma processing method using a plasma processing apparatus provided with a microwave supply device for supplying the microwave to the plasma generation chamber, wherein at least two microwaves distributed by using the E-plane branching are separated so that electric field vectors are directed in opposite directions to each other. The microwave is introduced into the introduction port, and the microwave introduced from the introduction port is supplied to the plasma generation chamber through the dielectric window from the slot provided on the H surface of the annular waveguide, and the object to be processed is processed. A plasma processing method.
【請求項5】 請求項4に記載のプラズマ処理方法によ
り、レジストの除去工程、エッチング工程、成膜工程、
ドーピング工程及びクリーニング工程のうち少なくとも
いずれかを行うことを特徴とする半導体装置の製造方
法。
5. The method according to claim 4, wherein the step of removing the resist, the step of etching, the step of forming a film,
A method for manufacturing a semiconductor device, comprising performing at least one of a doping step and a cleaning step.
JP2000125792A 1999-11-02 2000-04-26 Plasma processing apparatus using waveguide having e- plane branch and method of plasma processing Withdrawn JP2001308071A (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2000125792A JP2001308071A (en) 2000-04-26 2000-04-26 Plasma processing apparatus using waveguide having e- plane branch and method of plasma processing
US09/697,124 US6652709B1 (en) 1999-11-02 2000-10-27 Plasma processing apparatus having circular waveguide, and plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000125792A JP2001308071A (en) 2000-04-26 2000-04-26 Plasma processing apparatus using waveguide having e- plane branch and method of plasma processing

Publications (1)

Publication Number Publication Date
JP2001308071A true JP2001308071A (en) 2001-11-02

Family

ID=18635681

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000125792A Withdrawn JP2001308071A (en) 1999-11-02 2000-04-26 Plasma processing apparatus using waveguide having e- plane branch and method of plasma processing

Country Status (1)

Country Link
JP (1) JP2001308071A (en)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004086483A1 (en) * 2003-03-25 2004-10-07 Tokyo Electron Limited Plasma film-forming method and plasma film-forming apparatus
JP2005528755A (en) * 2002-06-04 2005-09-22 サントル、ナショナール、ド、ラ、ルシェルシュ、シアンティフィク、(セーエヌエルエス) Sheet plasma generator
JP2009212085A (en) * 2008-02-08 2009-09-17 Tokyo Electron Ltd Plasma treatment apparatus
JP2011014542A (en) * 2003-02-14 2011-01-20 Tokyo Electron Ltd Plasma generating apparatus, and remote plasma processing apparatus
JP2013033861A (en) * 2011-08-02 2013-02-14 Ulvac Japan Ltd Plasma etching device and manufacturing method of dielectric device
JP2017216448A (en) * 2016-06-01 2017-12-07 エーエスエム アイピー ホールディング ビー.ブイ. Deposition of organic films
JP2018006256A (en) * 2016-07-07 2018-01-11 東京エレクトロン株式会社 Microwave plasma processing device
US11387107B2 (en) 2016-06-01 2022-07-12 Asm Ip Holding B.V. Deposition of organic films
US11389824B2 (en) 2015-10-09 2022-07-19 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11446699B2 (en) 2015-10-09 2022-09-20 Asm Ip Holding B.V. Vapor phase deposition of organic films

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005528755A (en) * 2002-06-04 2005-09-22 サントル、ナショナール、ド、ラ、ルシェルシュ、シアンティフィク、(セーエヌエルエス) Sheet plasma generator
JP2011014542A (en) * 2003-02-14 2011-01-20 Tokyo Electron Ltd Plasma generating apparatus, and remote plasma processing apparatus
WO2004086483A1 (en) * 2003-03-25 2004-10-07 Tokyo Electron Limited Plasma film-forming method and plasma film-forming apparatus
JP2009212085A (en) * 2008-02-08 2009-09-17 Tokyo Electron Ltd Plasma treatment apparatus
JP2013033861A (en) * 2011-08-02 2013-02-14 Ulvac Japan Ltd Plasma etching device and manufacturing method of dielectric device
US11389824B2 (en) 2015-10-09 2022-07-19 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11446699B2 (en) 2015-10-09 2022-09-20 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11654454B2 (en) 2015-10-09 2023-05-23 Asm Ip Holding B.V. Vapor phase deposition of organic films
JP7092466B2 (en) 2016-06-01 2022-06-28 エーエスエム アイピー ホールディング ビー.ブイ. Organic membrane deposition
US11387107B2 (en) 2016-06-01 2022-07-12 Asm Ip Holding B.V. Deposition of organic films
JP2017216448A (en) * 2016-06-01 2017-12-07 エーエスエム アイピー ホールディング ビー.ブイ. Deposition of organic films
US11728175B2 (en) 2016-06-01 2023-08-15 Asm Ip Holding B.V. Deposition of organic films
JP2018006256A (en) * 2016-07-07 2018-01-11 東京エレクトロン株式会社 Microwave plasma processing device

Similar Documents

Publication Publication Date Title
US6884318B2 (en) Plasma processing system and surface processing method
KR100554116B1 (en) Surface wave plasma treatment apparatus using multi-slot antenna
US6870123B2 (en) Microwave applicator, plasma processing apparatus having same, and plasma processing method
KR100278187B1 (en) Plasma treatment method and substrate treatment method
US6652709B1 (en) Plasma processing apparatus having circular waveguide, and plasma processing method
JP2925535B2 (en) Microwave supplier having annular waveguide, plasma processing apparatus and processing method having the same
EP1895565A1 (en) Plasma processing apparatus and method
JP2001308071A (en) Plasma processing apparatus using waveguide having e- plane branch and method of plasma processing
JP3907444B2 (en) Plasma processing apparatus and structure manufacturing method
JP4478352B2 (en) Plasma processing apparatus, plasma processing method, and structure manufacturing method
JP4298049B2 (en) Microwave plasma processing equipment using dielectric window
JP3530788B2 (en) Microwave supplier, plasma processing apparatus and processing method
JPH07161489A (en) Device for processing inductively coupled plasma in magnetic field
KR100425658B1 (en) Microwave applicator, plasma processing apparatus having same, and plasma processing method
JP4669153B2 (en) Plasma processing apparatus, plasma processing method, and device manufacturing method
JP2001043997A (en) Plasma processing device
JPH11193466A (en) Plasma treating device and plasma treating method
JPH07153595A (en) Existent magnetic field inductive coupling plasma treating device
JPH11329792A (en) Microwave supply container
JPH10158846A (en) Batch type microwave plasma treating system and treatment
JP2001250778A (en) Plasma treatment device and method
JP2000138171A (en) Non-terminated annular waveguide with circular slot and plasma treatment device and method using it
JP2000345354A (en) Plasma treating device using gas introducing means having plural angles and plasma treating method
JP2000265278A (en) Plasma treating device
JP4532632B2 (en) Plasma processing equipment

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20070703