JPH11316711A - メモリシステムトランザクションの特性の統計値を推定する方法 - Google Patents

メモリシステムトランザクションの特性の統計値を推定する方法

Info

Publication number
JPH11316711A
JPH11316711A JP10375363A JP37536398A JPH11316711A JP H11316711 A JPH11316711 A JP H11316711A JP 10375363 A JP10375363 A JP 10375363A JP 37536398 A JP37536398 A JP 37536398A JP H11316711 A JPH11316711 A JP H11316711A
Authority
JP
Japan
Prior art keywords
memory
transaction
cache
context
transactions
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10375363A
Other languages
English (en)
Inventor
Jeffrey A Dean
エイ ディーン ジェフリー
James E Hicks
イー ヒックス ジェームズ
Carl A Waldspurger
エイ ウォールドスパージャー カール
William E Weihl
イー ウィール ウィリアム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Digital Equipment Corp
Original Assignee
Digital Equipment Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Digital Equipment Corp filed Critical Digital Equipment Corp
Publication of JPH11316711A publication Critical patent/JPH11316711A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/30Monitoring
    • G06F11/34Recording or statistical evaluation of computer activity, e.g. of down time, of input/output operation ; Recording or statistical evaluation of user activity, e.g. usability assessment
    • G06F11/3466Performance evaluation by tracing or monitoring
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/30Monitoring
    • G06F11/34Recording or statistical evaluation of computer activity, e.g. of down time, of input/output operation ; Recording or statistical evaluation of user activity, e.g. usability assessment
    • G06F11/3447Performance evaluation by modeling
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/30Monitoring
    • G06F11/34Recording or statistical evaluation of computer activity, e.g. of down time, of input/output operation ; Recording or statistical evaluation of user activity, e.g. usability assessment
    • G06F11/3409Recording or statistical evaluation of computer activity, e.g. of down time, of input/output operation ; Recording or statistical evaluation of user activity, e.g. usability assessment for performance assessment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/30Monitoring
    • G06F11/34Recording or statistical evaluation of computer activity, e.g. of down time, of input/output operation ; Recording or statistical evaluation of user activity, e.g. usability assessment
    • G06F11/3466Performance evaluation by tracing or monitoring
    • G06F11/348Circuit details, i.e. tracer hardware
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2201/00Indexing scheme relating to error detection, to error correction, and to monitoring
    • G06F2201/87Monitoring of transactions
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2201/00Indexing scheme relating to error detection, to error correction, and to monitoring
    • G06F2201/88Monitoring involving counting
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F2201/00Indexing scheme relating to error detection, to error correction, and to monitoring
    • G06F2201/885Monitoring specific for caches

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Physics & Mathematics (AREA)
  • Evolutionary Biology (AREA)
  • Bioinformatics & Computational Biology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Bioinformatics & Cheminformatics (AREA)
  • Debugging And Monitoring (AREA)
  • Memory System Of A Hierarchy Structure (AREA)

Abstract

(57)【要約】 【課題】 メモリサブシステムの相互作用の統計値を推
定する方法を提供する。 【解決手段】 コンピュータシステムのメモリサブシス
テムによって処理されるトランザクションの特性の統計
値を推定する方法において、この方法は、メモリサブシ
ステムにより処理されるメモリトランザクションをラン
ダムに選択する。選択されたトランザクションがメモリ
サブシステムにより処理される間に、システムの状態を
サンプルとして記録する。選択されたトランザクション
のサブセットからの記録された状態を統計学的に分析し
て、メモリトランザクションの統計値を推定する。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、一般に、コンピュ
ータシステムの性能を測定することに係り、より詳細に
は、メモリサブシステムの相互作用の統計値を推定する
ことに係る。
【0002】
【従来の技術】近代的なコンピュータシステムが動作す
る速度は、キャッシュのようなメモリサブシステムや、
SRAM、DRAM、ディスク等を含む他のレベルのハ
イアラーキーメモリサブシステムの性能によりしばしば
制限される。キャッシュメモリは、空間的及び時間的位
置を共用するデータを記憶するよう意図される。他のメ
モリは、短時間及び長時間のいかなる数の編成方式でデ
ータを記憶することもできる。メモリトランザクション
の性能を分析しそして最適化するために、優れた測定ツ
ールが必要とされる。現在、メモリトランザクションを
特徴付ける詳細な情報を正確に測定しそして捕獲できる
ツールは、非常に僅かである。
【0003】
【発明が解決しようとする課題】既存のハードウェア事
象カウンタは、キャッシュ参照又はキャッシュミスのよ
うな特定のメモリトランザクションに関連した個別の事
象を検出することはできるが、既知の事象カウンタは、
性能低下事象の原因及びこのような事象をいかに回避で
きるかを厳密に推定できるようにする詳細をほとんど与
えない。例えば、現在、データをアクセスする間に、ク
リーン又はダーティ、共用又は非共用といったキャッシ
ュブロックの状態に関する情報を得ることは著しく困難
である。又、どのメモリアドレスが実際にキャッシュに
常駐し、又はどのメモリアドレスが特定のキャッシュブ
ロックに対して競合しているかを決定することも非常に
困難である。というのは、既存のシステムは、アクセス
されるデータの仮想及び物理アドレスを得る容易な方法
を与えるものではないからである。
【0004】同様に、性能低下事象を生じた特定のメモ
リ参照の原因を確かめることも困難である。その原因
は、特定のコンテクスト(例えば、プロセス、スレッ
ド、ハードウェアコンテクスト及び/又はアドレススペ
ース番号)に代わってプロセッサパイプラインにおいて
実行される命令であるか、種々の入力/出力デバイスか
ら発せられる直接メモリアクセス(DMA)のようなプ
ロセッサパイプラインの外部のメモリ要求であるか、或
いはマルチプロセッサコンピュータシステムにおいて他
のプロセッサから発せられるキャッシュコヒレンシーメ
ッセージである。キャッシュのラインにおける特定のブ
ロック、メインメモリにおける物理的アドレス又は仮想
メモリにおけるページアドレスのようなメモリの特定領
域へのアクセスをサンプリングすることは、より一層困
難である。
【0005】模擬又は計装を使用して、ロード及び記憶
命令によるもののようなプロセッサ開始アクセスに対し
てメモリアドレスを追跡することができる。しかしなが
ら、通常、模擬及び計装技術は、特に真の生産ワークロ
ードをもつ大規模システムに対し、最適に満たない測定
を与えるに足るシステムの真のオペレーションを妨げ
る。又、計装技術は、プログラムを変更又は増強するの
で、それらはメモリ及びキャッシュのレイアウトを本来
的に変更し、オリジナルシステムのメモリ性能を歪めて
しまう。例えば、命令キャッシュ競合は、プログラムの
計装バージョンと非計装バージョンとの間で著しく相違
する。しかしながら、メモリアクセスが、DMAトラン
ザクション又はマルチプロセッサにおけるキャッシュコ
ヒレンシートランザクションのような何らかの事象によ
るものであるときには、アクセスされるアドレスの追跡
は、通常、監視されるべきメモリシステムの部分のみに
ついて特に設計された特殊なハードウェアでしか行えな
い。
【0006】更に、オペレーティングシステム及びアプ
リケーションソフトウェアを最適化するためには、メモ
リトランザクションに関する他の形式の情報、例えば、
異なる実行スレッド又はプロセスにより使用されるメモ
リの量、及び特定のメモリトランザクションを完了する
のに必要な時間の長さを入手できるのが有用である。更
に、おそらくはシステムが真のワークロードのもとで動
作している間でも命令スケジューリング及びデータ割り
当てを最適化するための情報を使用できれば、もっと有
用である。
【0007】
【課題を解決するための手段】本発明によれば、コンピ
ュータシステムのメモリサブシステムにより処理される
トランザクションの特性の統計値を推定する方法が提供
される。この方法は、メモリサブシステムにより処理さ
れるメモリトランザクションをランダムに選択する。そ
の選択されたトランザクションがメモリサブシステムに
より処理される間にシステムの状態をサンプルとして記
録する。選択されたトランザクションのサブセットから
の記録された状態を統計学的に分析して、メモリトラン
ザクションの統計値を推定する。
【0008】
【発明の実施の形態】システムの概要 図1は、ここに述べるようにメモリトランザクションサ
ンプリング技術を使用することのできるコンピュータシ
ステム100を示す。このシステム100は、バスライ
ン140で接続された1つ以上のプロセッサ110、メ
モリ120及び入力/出力インターフェイス(I/O)
130を備えている。各プロセッサ110は、例えば、
デジタルイクイップメント社のAlpha21264プ
ロセッサのように、プロセッサパイプライン111と、
命令キャッシュ(Iキャッシュ)112と、データキャ
ッシュ(Dキャッシュ)113とを含む集積半導体チッ
プにおいて実施することができる。パイプライン111
は、命令を処理するための複数の直列に配置された段、
例えば、フェッチユニット、マップユニット、イッシュ
ーユニット、1つ以上の実行ユニット及びリタイアユニ
ットを含むことができる。又、プロセッサチップは、種
々のメモリのアドレスに記憶されたデータをアクセスす
る間にキャッシュ状態情報をサンプリングするための以
下に詳細に述べるハードウェア119も備えている。
【0009】メモリ120は、ボードレベルキャッシュ
(Bキャッシュ)121と、揮発性メモリ(DRAM)
122と、永続的メモリ(ディスク)123とを含むハ
イアラーキー構成をとることができる。I/O130
は、他のプロセッサ、キーボード、モニタへのメモリチ
ャンネル、及び他のコンピュータシステムへのネットワ
ークコントローラのようなI/Oデバイスを用いてシス
テム100に対してデータを入力及び出力するのに使用
できる。メモリトランザクション 一般に、メモリトランザクションは、ここでは、ある位
置から別の位置へデータを移動させるオペレーション、
例えば、ロード及び記憶、直接メモリアクセス(DM
A)オペレーション、及び多数のプロセッサ又は実行ス
レッドがデータを同時にアクセスする場合のコヒレンシ
ートランザクションとして定義される。
【0010】オペレーション システム100のオペレーション中に、ソフトウェアプ
ログラムの命令及びデータはメモリ120に記憶され
る。命令は、既知のコンパイラー、リンカー及びローダ
ー技術を用いて従来の仕方で発生される。命令は、Iキ
ャッシュ112を経て1つのプロセッサ110のパイプ
ライン111に転送され、そしてデータはDキャッシュ
113を経て転送される。パイプライン111では、命
令が実行のためにデコードされる。命令キャッシュ(I
キャッシュ)112は、プロセッサパイプライン111
により実行される命令をフェッチするためにパイプライ
ンによりアクセスされる。ある命令、例えば、ロード及
び記憶命令は、Dキャッシュ113を経てメモリに記憶
されたデータ(R/W)をアクセスする。他の命令、例
えば、分岐及びジャンプ命令は、プログラムの実行流を
制御する。又、データは、直接メモリアクセス(DM
A)及びキャッシュコヒレンシーオペレーションにより
転送することもできる。いずれかのメモリのデータがア
クセスされる間に詳細な性能情報を収集するのが望まし
い。
【0011】メモリトランザクションサンプリング 図2は、メモリトランザクションをサンプリングする構
成を示す。キャッシュ200は、複数のライン202を
含む。キャッシュは、直接マップ式でもよいし又はセッ
ト連想式でもよい。各ラインは、1つ以上のブロック2
01より成る。直接マップ式キャッシュのラインは、単
一のブロックのみを含み、一方、N路のセット連想式キ
ャッシュのラインは、各々、N個のブロックを含む。例
えば、4路のセット連想式キャッシュでは、1つのライ
ンが、ある数の下位アドレスビットを共通にもつ4つの
異なるメモリアドレスのデータを記憶する。アクセス中
に、キャッシュラインインデックスによりラインが参照
された後に、各ブロックを検査して、ラインが所要デー
タを記憶するかどうか決定しなければならない。これ
は、タグ210で行われる。ブロックをいかに検査する
かの厳密な詳細は、セット連想式キャッシュの実施によ
って左右される。又、各ブロックには、データ220及
び状態情報230も関連される。タグ、データ及び状態
を記憶するのに異なる物理的ハードウェアを使用するこ
ともできる。
【0012】図2に示す構成体は、変換ルックアサイド
バッファ(TLB)240と、トリガーファンクション
250と、カウンター265と、選択ファンクション2
60と、サンプリングバッファ301−303と、サン
プリングソフトウェア280も備えている。システムの
オペレーション中に、トランザクション入力がライン2
41を経て変換ルックアサイドバッファ(TLB)24
0へ送られる。このトランザクション入力は、仮想アド
レス(VA)と、アドレススペース番号(ASN)のよ
うなコンテクスト識別子と、そしてマルチスレッド式プ
ロセッサ設計の場合には、ハードウェアコンテクスト識
別子(HCI)とを含むことができる。又、入力は、実
行されるべきアクセスオペレーションの形式(R/W/
DMA)も含むことができる。
【0013】TLB240は、仮想アドレスを物理アド
レスへと変換する。通常、ある数の下位(例えば、8−
16)ビットより成るアドレス(物理的にインデックス
されるキャッシュについては物理的アドレス又は仮想的
にインデックスされるキャッシュについては仮想アドレ
ス)の一部分は、ライン242’にキャッシュ200へ
のインデックスを形成するのに使用される。このインデ
ックスは、特定のキャッシュライン202を選択する。
次いで、選択されたキャッシュライン202内でブロッ
ク201の各々にルックアップオペレーションを実行し
て、ラインのブロックに適当なデータが存在するかどう
か決定する。アクセスオペレーションに基づき、データ
は、ライン251及び252において読み取り又は書き
込みすることができる。
【0014】ライン内のいずれのブロックにも適当なデ
ータが見つからない場合には、新たなデータのための場
所を作るためにキャッシュから他のデータが追放され
る。この追放されるデータがダーティであり、即ちキャ
ッシュに記憶されたデータのバージョンが変更されそし
てその周囲レベルのメモリハイアラーキーに記憶された
ダーティデータのコピーが一貫したものでない場合に
は、その追放されるデータは、一貫性を維持するために
周囲レベルのメモリハイアラーキーの適当なアドレスに
書き戻すことが必要となる。コンピュータシステムで実
行されるプログラム及びメモリシステムの振る舞いに関
する徴候を得るために、メモリシステムのトランザクシ
ョンをサンプリングすることが目標である。各メモリト
ランザクションは、それがメモリシステムに入るときに
検査され、この特定のトランザクションをサンプリング
のために選択すべきかどうか決定される。トリガーファ
ンクション250及び選択ファンクション260の2つ
のファンクションは、どのトランザクションをサンプリ
ングすべきかを制御する。トリガーファンクション25
0は、選択ファンクション260をいつ作動すべきかを
決定し、一方、選択ファンクション260は、トリガー
ファンクションが選択ファンクションを作動すると、ど
のメモリトランザクションをサンプリングすべきか決定
する。最も一般的なケースでは、これらファンクション
の各々は、メモリシステム又はメモリトランザクション
状態に基づいて動作することができる。
【0015】選択ファンクション 選択ファンクション260は、カウンタ265が特定値
に到達したときに選択ファンクションをオンに切り換え
るイネーブルライン266を経てイネーブルされる。こ
の値の維持について以下に述べる。選択ファンクション
は、ライン242”を経てトランザクションに関する入
力情報を受け入れると共に、ライン267を経てトラン
ザクションに関する状態情報を受け入れる。選択ファン
クションの作用は、トランザクションが関心のあるもの
であるかどうか判断することであり、もしそうであれ
ば、トランザクションに関する情報をライン299を経
てサンプリングバッファ300へ送ることである。
【0016】一般的なケースでは、選択ファンクション
ロジック内のモニタレジスタ(MON)263は、メモ
リシステムトランザクションに対して一致させるに使用
される状態を記憶する。特定のキャッシュブロックへの
アクセスを監視する特定のケースでは、モニタレジスタ
263は、監視されるべき1つ以上のブロックの数を記
憶することができる。モニタレジスタの内容(ブロック
番号のような)は、ライン261を経てハードウェア又
はソフトウェアによりレジスタにロードすることができ
る。又、メモリの他の領域のアドレスも、モニタレジス
タに記憶することができる。選択ファンクション260
を実施することのできる1つの方法は、1組の異なる選
択ファンクションを予め定義し、そして選択ファンクシ
ョン内にモードレジスタ264を使用することにより行
われる。モードレジスタ264には、サンプリング中に
使用すべき特定の予め定義された選択ファンクションを
制御するためにライン262を経てモード値をロードす
ることができる。種々の選択ファンクションモードは、
次のようなトランザクションを選択するファンクション
を含むことができる。
【0017】− メモリハイアラーキーにおける特定の
レベルを参照する。 − メモリハイアラーキーの特定レベル内におけるメモ
リの特定領域を参照する。この特定領域は、1つ以上の
キャッシュライン内の1つ以上のキャッシュブロック、
1つ以上のキャッシュライン、或いは仮想又は物理アド
レスによりアドレスされるメインメモリの1つ以上の隣
接領域を含むことができる。 − 例えば、読み取り、書き込み又は無効化のような特
定形式を有する。 − 特定のキャッシュメモリにおいてヒットする。 − 特定のキャッシュメモリにおいてミスする。 − 特定のキャッシュプロトコル状態遷移、例えば、ダ
ーティ追放を行う。 − 特定のソースから、例えば、プロセッサパイプライ
ンで実行される命令、特定コンテクスト、プロセス、ス
レッド又はアドレススペースからの命令実行、入力/出
力デバイスからの直接メモリアクセス、或いはマルチプ
ロセッサコンピュータシステムにおけるキャッシュコヒ
レンシーメッセージを発生する。
【0018】更に、これら選択基準のブール組合せ(A
ND、OR及びNOT)を使用して選択ファンクション
を構成することができる。或いは又、ソフトウェアによ
って制御されるプログラム可能なロジックで選択ファン
クションを実施して、付加的な融通性を与えることがで
きる。サンプリングされるべきメモリトランザクション
を選択ファンクションが識別した後に、状態情報が捕獲
されて、サンプリングバッファ300−302の1つに
記録される。この状態情報は、選択されたトランザクシ
ョンがメモリハイアラーキーの種々のレベルにより処理
されるときに収集される。多数の実施技術が考えられ
る。例えば、「選択されたトランザクション」フィール
ド(単一ビットのような)を各メモリトランザクション
に関連付けることができる。このフィールドは、選択さ
れたトランザクションの処理中にビットがセットされた
ときにメモリシステムのロジック回路が適当な点におい
て情報を記録するようにする。別の実施形態では、メモ
リシステムハイアラーキーにおける適当な点に比較レジ
スタを使用して、各メモリトランザクションからの識別
情報を選択されたトランザクションの識別情報と比較
し、それらが一致する場合に、当該状態情報を記録す
る。
【0019】オペレーションのモード 比較レジスタを使用して、メモリハイアラーキーの各レ
ベルにおいて選択されたトランザクションを選ぶための
選択ファンクションの実施について説明する。単一キャ
ッシュメモリより成る単一レベルのメモリハイアラーキ
ーに説明を限定すると、選択ファンクションは、監視の
ためにキャッシュの特定領域、例えば、1組のキャッシ
ュブロックを特定する。ライン242に搬送されるトラ
ンザクション情報のインデックス部分が、選択ファンク
ション260のモニタレジスタに記憶されたブロックイ
ンデックスの1つと同じである場合には、インデックス
されたキャッシュブロックの状態に関する情報が捕獲さ
れ、そして以下に述べるようにサンプリングバッファの
1つに記録される。
【0020】ある状態情報は、トランザクションがメモ
リシステムによって処理される前に捕獲することがで
き、そしてトランザクションが完了した後に付加的な状
態情報を捕獲することができる。特定数のトランザクシ
ョンが記録された後、例えば、サンプリングバッファ3
00−302のいずれかがいっぱいになると、読み取り
信号をライン271に発生することができる。この読み
取り信号271は、割り込み、レジスタにセットされた
ソフトウェアポーリング可能な値、又は例外条件の形態
である。読み取り信号に応答して、サンプリングソフト
ウェア280は、サンプリングバッファに記憶された状
態情報を、ライン272を経て更に処理するために読み
取ることができる。多数のバッファ300−302を使
用して、多数のサンプルを収集できることに注意された
い。バッファの数を増加すると、読み取り信号当たり2
つ以上のサンプルを転送することにより、サンプリング
オーバーヘッドのコストを償還することができる。
【0021】トリガーファンクション ロード可能なカウンタ265は、ライン268のカウン
トダウン値で初期化される。このカウンタ265は、ラ
イン254のトリガー事象を使用して減少される。トリ
ガー事象は、ライン251のクロックサイクルでもよい
し、ライン252のトランザクションでもよい。いずれ
のトリガー事象を使用すべきかは、ライン253におい
て選択することができる。ライン254のトリガー事象
が減少するかどうかに関わりなく、カウンタ256は、
トリガーファンクション250により制御される。トリ
ガーファンクションは、ライン242”’及び267を
経て到着する情報を介して決定できるメモリトランザク
ションの状態の任意ファンクションである。ファンクシ
ョン250は、選択ファンクションについて上記した2
つの内部のロード可能なレジスタで実施することができ
る。
【0022】有効なトリガーファンクションの幾つかの
特定例として、次に一致するものが含まれる。 − いずれかのメモリトランザクション、 − 特定レベルのメモリハイアラーキー、例えば、特定
のキャッシュを参照するメモリトランザクション、 − 特定レベルのメモリハイアラーキー、例えば、特定
のキャッシュにおいてヒットするメモリトランザクショ
ン、 − 特定レベルのメモリハイアラーキーにおいてミスす
るメモリトランザクション、 − あるキャッシュプロトコル状態遷移、例えば、ダー
ティ追放を経験するメモリトランザクション、 − メモリの特定領域、例えば、ある範囲のアドレス、
特定のキャッシュライン、特定のキャッシュライン内の
特定のキャッシュブロック、キャッシュの特定領域等に
アクセスするメモリトランザクション、 − 特定のソース、例えば、プロセッサパイプライン、
特定の直接メモリアクセス(DMA)デバイス、別のプ
ロセッサからのコヒレンシートラフィック等からのメモ
リトランザクション、及び − 特定の形式のメモリトランザクション、例えば、読
み取りトランザクション、書き込みトランザクション又
は無効化トランザクション。
【0023】トリガーファンクション250を使用する
と、メモリ参照の流れに選択ファンクションを適用する
前に、サンプリングハードウェアは特定数のトランザク
ションをスキップすることができる。精巧な例では、こ
れは、特定ブロックへの3つのアクセスをカウントし、
次いで、そのブロックに対する次の2つのミスに対して
メモリトランザクションサンプルを収集できるようにす
る。別の有用な例では、特定のコンテクスト(プロセッ
サ又はスレッドのような)により特定のキャッシュブロ
ックへのアクセスの後に選択をトリガーし、そして異な
るハードウェア、プロセス又はスレッドコンテクストに
より同じブロックにへの特定数のその後のトランザクシ
ョンに対してサンプルを収集することができる。
【0024】それ故、サンプリングに対して2つの段階
が存在する。 1)一致するトランザクションを決定し、次いで、 2)その一致するトランザクションに関連したサンプリ
ングデータを保持又は破棄する決定をし、空間的及び時
間的次元の両方においてサンプリングを行えるようにす
る。 カウントダウンレジスタ265は、ライン268を経て
リセットすることができる。ランダムサンプリングの場
合には、カウントダウンレジスタに書き込まれる初期値
は、ある範囲の数字からランダムに選択することがで
き、そしてそのランダムな数字は、ランダムな数字を発
生できるハードウェア回路により又はソフトウェアで計
算することができる。レジスタ265は、カウントアッ
プもできることに注意されたい。
【0025】サンプリングバッファ 図3は、バッファ300−302の1つをいかに割り当
てるかの詳細を示す。バッファは、1組のソフトウェア
読み取り可能なレジスタ又は他の形式のメモリとして実
施することができる。バッファは、状態フィールド31
0、アドレスフィールド320、コンテクストフィール
ド330、アクセスソースフィールド340、命令フィ
ールド350、待ち時間フィールド360、及び他の状
態に対するフィールド370とを備えている。状態フィ
ールド310は、ブロック状態情報及びキャッシュ状態
プロトコル情報、例えば、ブロックがダーティかクリー
ンか(変更されたか否か)、共用であるか(1つ以上の
実行スレッドがデータをアクセスできるか)、排他的で
あるか(非共用であるか)、有効か無効か(データが正
当であるか)、そしてキャッシュヒット又はミス状態で
あるかを含むことができる。又、キャッシュメモリにお
けるトランザクションによりアクセスされる特定のライ
ンインデックス番号及びブロック番号のような情報も保
持することができる。メモリハイアラーキーに多数のレ
ベルが存在する場合には、フィールド310の多数のコ
ピーがあり、各フィールドは、特定レベルのメモリハイ
アラーキーに対するトランザクションに関する状態情報
を記憶する。
【0026】アドレスフィールド320は、サンプリン
グされるトランザクションによりアクセスされるデータ
の仮想及び/又は物理アドレスを記憶することができ
る。ハードウェア実施についての1つの問題は、物理及
び仮想アドレスをバッファ300にルート指定するのに
必要なワイヤの数であり、例えば、仮想アドレスに対し
て約47本程度のワイヤと、物理アドレスに対して40
本程度のワイヤとなる。ソフトウェア管理のTLBをサ
ポートするコンピュータシステムでは、仮想−物理変換
を実行したTLBエントリのインデックスを、参照ペー
ジへのオフセットと共にアドレスフィールド320に記
憶するだけでワイヤの本数を減少することができる。次
いで、ソフトウェア280は、指定のTLBエントリか
らエントリを読み取り、物理及び仮想アドレスの両方を
決定することができる。
【0027】この技術は、2つの特性に依存することに
注意されたい。第1の特性は、情報が記録されたとき
と、ソフトウェアがTLBエントリを読み取るときとの
間に当該TLBエントリが交換されないことを必要とす
る。TLBが、一般的なケースとして、最新使用(LR
U)交換ポリシーのある近似を実行する場合には、これ
は問題にならない。というのは、当該エントリは、最近
のキャッシュアクセスに含ませることにより最近使用さ
れているからである。第2の特性は、ソフトウェアがT
LBエントリを読み取りできることを必要とする。TL
Bの直接的な読み取りが可能でない場合には、ソフトウ
ェアがTLBの内容のシャドーコピーを維持することが
できる。コンテクストフィールド330は、アドレスス
ペース番号(ASN)、マルチスレッドプロセッサの場
合のハードウェアコンテクスト識別子(HCI)、プロ
セス識別子(PID)、及び/又はソースがプロセッサ
パイプラインの命令実行であるときのメモリトランザク
ションのソースのスレッド識別子(TID)を記憶する
ことができる。又、このフィールドは、命令により生じ
るメモリトランザクションにより参照されるアドレスス
ペース番号(ASN)も記憶できる。
【0028】ソースフィールド340は、アクセスのソ
ース、例えばロード又は記憶命令、DMA要求、又はキ
ャッシュコヒレンシープロトコルオペレーション、及び
ソースを識別する付加的な情報を記憶するのに使用でき
る。アクセスのソースが命令実行であった場合には、そ
のアクセスを生じた命令のプログラムカウンタ(PC)
を命令フィールド350に記憶することができる。プロ
グラムカウンタフィールド350は、レジスタをセーブ
するために他の種類のソースに関する情報を記憶するの
に使用できる。例えば、ソースがマルチプロセッサコン
ピュータシステムにおける別のプロセッサからのコヒレ
ンシーオペレーションである場合には、フィールド35
0を使用して、そのコヒレンシーオペレーションを生じ
させた要求を発生するプロセッサのプロセッサ番号を保
持することができる。DMA型のトランザクションの場
合には、DMAを開始したI/Oデバイスの識別を記憶
することができる。
【0029】次々のアクセス間の時間インターバル(待
ち時間)及び/又は要求が発生されたときからデータが
プロセッサに到着するまでのインターバル(又は書き込
みの場合は、データがメモリに送られたときからデータ
がメモリへとコミットされるまでのインターバル)は、
待ち時間フィールド360に記憶することができる。こ
のインターバルは、プロセッサクロックサイクルに関連
して測定することができ、或いはこのインターバルは、
メモリシステムにより処理されるトランザクションの数
のような他の単位で測定することができる。又、このイ
ンターバルは、メモリハイアラーキーの各レベルにおい
てトランザクションを処理するのに必要な時間に分割す
ることもできる。フィールド370のような付加的なレ
ジスタは、サンプルされたメモリトランザクションが処
理されるときに捕獲される付加的なメモリシステム状態
を記憶するためにこの構造体に追加することができる。
この状態は、最後のサンプル以来発生したメモリシステ
ムトランザクションに関する情報、例えば、全トランザ
クション数のカウント、又は特定の1組の基準を満たす
トランザクションのカウントを含むことができる。
【0030】図2に示すように、サンプルされた状態の
一部分であるライン290のサンプル事象は、ヒット/
ミス、有効/無効、ダーティ等を含むことができる。ラ
イン291の選択信号は、どの特定事象をサンプリング
すべきか決定できる。又、他の状態情報は、書き込みバ
ッファ、ビクティムキャッシュ、変換ルックアサイドバ
ッファ(TLB)、ミスアドレスファイル(MAF)、
及びメモリトランザクション待ち行列のようなメモリシ
ステム構造体における有効エントリの内容又は数を含む
ことができる。
【0031】ランダムメモリトランザクションサンプリ
ング技術 好ましい実施形態では、メモリハイアラーキーのいずれ
かのレベルをアクセスするトランザクションは、少なく
とも2つのオペレーションモードを用いてサンプリング
される。第1モードでは、メモリの特定領域(アドレ
ス)へのアクセスがサンプリングされる。第2モードで
は、メモリハイアラーキーの任意の部分の性能を推定す
るために、ランダムに選択されたメモリトランザクショ
ンがサンプリングされる。第1モードにおいては、例え
ば、キャッシュブロックのような同じ物理的位置に対す
る少なくとも2つの連続的なトランザクションをサンプ
リングすることにより、最も関心のある情報を収集する
ことができる。これは、キャッシュ状態の遷移を露呈す
る。第2モードにおいては、ある時間にわたり多数のト
ランザクションをランダムにサンプリングすると、シス
テムのスループットに著しく影響を及ぼすことなく全メ
モリ性能を統計学的分析で推定することができる。換言
すれば、ランダムサンプリングは、実際のオペレーティ
ングシステムにおけるメモリ性能を測定することができ
る。それ故、ここに開示する装置及び方法は、特定のキ
ャッシュブロックのクリーン又はダーティ、メモリの特
定領域、全てのメモリ位置、キャッシュブロックがダー
ティである全てのメモリ位置、データがキャッシュにな
いメモリ位置に対して、トランザクションをサンプリン
グすることができる。
【0032】キャッシュの状態遷移が特に問題であるか
ら、図2に示す構成体は、モードがキャッシュサンプリ
ングであるときに少なくとも2つの連続的なアクセスに
対し状態情報のコピーを記憶するように設計される。第
1コピーは、第1アクセスの後のキャッシュブロックの
状態に関する情報を捕獲する。第2アクセス後の状態
は、第2コピーとして記憶される。これら2つの状態を
比較することにより、システムのキャッシュコヒレンシ
ープロトコルにどんな遷移が生じなければならないかを
決定することができる。次々のトランザクションに対し
て状態情報を記憶する概念は、次々のミス、次々のヒッ
ト、次々の無効化、等の単純なソフトウェア指定の基準
に合致する順次アクセスに対して一般化することができ
る。これらは、ライン262を経てセットされるキャッ
シュサンプリングモードにおける異なる変形である。
【0033】好ましい実施形態では、状態及びアドレス
情報は、キャッシュブロックが更新されるときに捕獲さ
れ、従って、キャッシュを直接読み取る必要はない。情
報を途中でキャッシュ200に捕獲することにより、ハ
ードウェア設計は、より簡単になる。というのは、各キ
ャッシュブロックからサンプラー270へワイヤを走ら
せる必要性が回避されるからである。又、この設計は、
サンプリングを少数のブロックに限定することにより簡
単化することもできる。少数のブロックのみが同時にサ
ンプリングするときは、各キャッシュブロックに対する
余分なハードウェアが回避される。モニタレジスタ26
4をロードするソフトウェアを使用することにより、広
範囲な監視技術の融通性のある制御を実施することがで
きる。例えば、特定の時間周期内に特定のキャッシュブ
ロックに対してアクセスアクティビティが検出されない
場合には、ソフトウェアは、監視すべき別のブロックを
単に指定するだけでそのブロックの監視を中止すること
ができる。別のモードでは、監視されるキャッシュブロ
ックをランダムに選択し、キャッシュにおける各ブロッ
クの振る舞いを統計学的にサンプリングすることができ
る。或いは又、ソフトウェアは、ラウンドロビンの順序
でブロックをサンプリングすることができる。
【0034】又、特定のプログラム変数又はデータ構造
体に関連したキャッシュブロックを選択的に監視するこ
ともできる。ここで、ソフトウェアは、どのキャッシュ
ブロックが特定の変数を記憶するか決定し、そしてその
ブロックを監視すべきものとして選択する。この技術
は、プログラマーが、検査されるべきプログラムを実行
する際の競合を識別することによりメモリシステム性能
を対話式にデバッグすることができる。動的なデータリ
ロケーションによる重大なキャッシュ競合を回避するた
めに適応ランタイムソフトウェアにより同様の技術を使
用することができる。ここに開示するトランザクション
サンプリングは、図2に示すサンプリングハードウェア
を複写することによりメモリハイアラーキーの異なるレ
ベルに対して使用できることに注意されたい。この技術
は、上記2つのTLB特性に依存することに注意された
い。
【0035】ここに述べるサンプリング技術は、低いハ
ードウェアオーバーヘッドでメモリトランザクションの
微細粒度監視を行うことができる。この情報は、多数の
方法で使用できる。例えば、収集された情報は、キャッ
シュ、DRAM等のメモリサブシステムの性能を良く理
解する上でシステム設計者の助けとなる。性能データ
は、最適化を誘導するように使用することができる。コンテクスト間のメモリ相互作用の推定 メモリシステムトランザクションに関するサンプリング
されたデータは、メモリシステムアクティビティに関す
る種々の統計値を計算するのに使用できる。このプロセ
ス400が図4に示されている。このプロセスは、例え
ば、特定のキャッシュメモリ内の特定のセット連想キャ
ッシュライン内の特定のキャッシュブロックのような監
視すべきメモリの領域を繰り返し選択し(ステップ41
0)、この領域をアクセスする多数の連続的なメモリト
ランザクションから状態情報を記録し(ステップ42
0)、そしてこの記録された状態情報をソフトウェアへ
通信する(ステップ430)ことを含む。
【0036】所定数のサンプルが収集されるか又は所定
の長さの時間が経過した後に、サンプリングソフトウェ
アは、記録された情報を統計学的に分析し、キャッシュ
利用性及びコンテクスト間のメモリシステム相互作用に
関する種々の特性を推定することができる。この解決策
を使用する典型的な方法は、ソフトウェアが、監視すべ
きランダムキャッシュブロックを周期的に選択し、そし
てその特定のブロックをアクセスするトランザクション
に対してサンプルのシーケンスを収集することである。
所与の時間周期が経過した後、ソフトウェアは、監視す
べき新たなランダムキャッシュブロックを選択し、そし
て全プロセス400を繰り返すことができる。時間と共
に、キャッシュ内の全てのブロックに対してサンプルが
生じる。
【0037】空間的次元におけるこのランダムなサンプ
リングは、空間及び時間の両方における共用及び競合に
関する統計値を推定できるようにする。又、監視すべき
領域のランダムな選択はハードウェアロジックでも実施
できることに注意されたい。又、一般に、特定数のサン
プル事象290が発生するまで各領域を監視することが
できる。これら事象290は、領域に対するメモリトラ
ンザクション、全メモリシステムトランザクション、或
いはプロセッサクロックサイクル又は他の単位で測定さ
れた経過時間である。各トランザクションごとに、ここ
に述べるように種々の情報を捕獲することができる。こ
れらの分析に対し、各トランザクションに関する当該情
報は、当該キャッシュにおけるヒット又はミス状態、ト
ランザクションが参照したブロックに関するキャッシュ
プロトコル状態情報、トランザクションの形式(例え
ば、読み取り、書き込み又は無効化)、キャッシュ内の
対応位置(ブロック及び/又はラインインデックスのよ
うな)を含む。
【0038】付加的な記録情報は、トランザクションの
コンテクスト、例えば、別のプロセッサからのキャッシ
ュコヒレンシーオペレーション、入力/出力デバイスか
らの直接メモリアクセス、或いは特定のプロセス、スレ
ッド、アドレススペース番号又はハードウェアコンテク
ストからの命令実行を識別する。サンプルの分析は、先
ず始めに、当該サンプルのサブセットを選択することを
含む(ステップ440)。特に、サブセットは、付加的
な機能的基準も満足する特定のキャッシュブロックのよ
うなある領域への連続的アクセスからのサンプルの対で
ある。キャッシュ内の同じ物理的位置への連続的なアク
セスにより、選択されたトランザクション対が生じるの
で、トランザクションに関して記録された情報を使用し
て、キャッシュ内のこの物理的空間に対する共用又は競
合を推定することができる。又、キャッシュプロトコル
における種々の状態遷移の頻度に関する統計値を決定す
ることもできる。というのは、2つの連続するトランザ
クションにおいてプロトコル状態を検査すると、対の第
1サンプルの状態から対の第2サンプルの状態へ進むた
めに行わねばならないトランザクションが識別される。
【0039】共用を推定するために、ステップ450に
おいて、対の第2トランザクションがキャッシュヒット
であるような対が分析により選択される。これは、第1
トランザクションと第2トランザクションとの間に共用
が存在することを指示する。両サンプルに関連したコン
テクスト識別情報を検討することにより、サンプリング
された時間インターバル中にどのコンテクストがこの物
理的空間を有効に共用したかを決定することができる。
多数のこのようなサンプル対にわたりこの情報を収集す
ることにより、物理的位置のコンテクスト内及びコンテ
クスト間の両方の共用に関するメトリックを統計学的に
推定することができる。1つの有効なメトリックは、サ
ンプルの第1対が1つの特定のコンテクストに合致しそ
してサンプルの第2対が第2の特定のコンテクストに合
致するような対の数をカウントして、第1及び第2のコ
ンテクストの識別子によりインデックスされるカウント
のマトリクスを効果的に生じさせることにより決定され
る。同様に、第2のサンプリングされたトランザクショ
ンがキャッシュミスを経験するような対をステップ46
0において分析することにより、物理的位置に対するコ
ンテクスト内及びコンテクスト間の競合に関するメトリ
ックを統計学的に推定することができる。
【0040】このハードウェアの別の使い方は、監視す
べき特定のキャッシュ領域を選択することである。選択
された領域は、特定のプログラム変数又はデータ構造体
を記憶するキャッシュ内の空間に対応する。サンプルを
収集しそしてサンプルをフィルタして、少なくとも1つ
のトランザクションが当該変数又はデータ構造体を含む
ようなサンプル対を得ることにより、キャッシュ競合の
割合を推定し、そして競合のソースである他の特定のプ
ログラム変数又はデータ構造体を識別することができ
る。この推定は、実行プログラム又はシステム内でオン
ラインプログラムデバッギング又は性能問題の最適化を
行い得るように動的に実行することができる。この技術
は、プログラマーが、検査されている実行プログラムに
おける競合を識別することにより、メモリシステム性能
を対話式にデバッグできるようにする。同様の技術を適
応ランタイムソフトウェアにより使用して、動的なデー
タリロケーションにより重大なキャッシュ競合を回避す
ることができる。
【0041】メモリトランザクションの特性の統計値の
推定 メモリシステムトランザクションに関するサンプリング
された情報は、メモリシステムアクティビティに関する
種々の統計値を計算するのに使用できる。このプロセス
500が図5に示されており、これは、次の段階により
上記のハードウェアを用いて達成される。 ステップ1:当該メモリトランザクションを識別するた
めに選択ファンクションを選ぶ(510)。 ステップ2:選択されたメモリシステムトランザクショ
ンに関する情報を記録する(520)。 ステップ3:記録された状態情報をソフトウェアへ通信
する(530)。
【0042】ステップ4:取り上げるべきと考えられる
トランザクションのサブセットを選択する(540)。 ステップ5:このサブセットを分析して種々の統計値又
は特性を推定する(550)。 記録された状態情報は、各メモリトランザクションに関
する豊富な情報を含み、多数の有効な統計値を計算する
ことができる。サンプルの情報は、次のものを含むこと
ができる。 − メモリトランザクションにより参照されたアドレ
ス、 − コンテクスト識別情報、例えば、プロセス識別子、
スレッド識別子、ハードウェアコンテクスト識別子、ア
ドレススペース番号、直接メモリアクセスデバイス識別
子、又はキャッシュコヒレンシートラフィックのプロセ
ッサ識別子、 − トランザクションにより参照されたメモリハイアラ
ーキーの各レベルに対する状態情報、例えば、キャッシ
ュヒット/ミス、ダーティ/クリーン、及び/又は共用
/排他的状態。
【0043】記録された状態情報の分析 個々のメモリシステムトランザクションをサンプリング
すると、メモリシステムの振る舞いの特性の分布に関す
る種々の統計学的メトリックを計算することができる。
例えば、メモリ要求に応じるように待ち時間の分布を推
定したり、又はメモリハイアラーキーの特定レベル又は
領域におけるキャッシュヒットの割合を推定したりする
ことができる。フィルタ機構を使用して、記録された当
該トランザクションのサブセットを識別し、当該メモリ
システムの特定の観点、例えば、メモリハイアラーキー
の特定領域又はレベルへの遷移、或いは読み取り、書き
込み又は無効化のようなトランザクションの特定のクラ
スへの遷移に統計学的な焦点を合わせることができる。
【0044】1組の当該サンプルが識別された後に、標
準的な統計学的技術を使用して、当該サンプルに関する
平均値、標準偏差、ヒストグラム及び他の統計値を導出
することができる。平均値を使用して、キャッシュヒッ
ト又はミス或いは追放のような図2のライン290上の
特定事象に対する発生率を推定することができる。又、
読み取り、書き込み或いは無効化による要求の断片を推
定することもできる。これらの率は、プロセス当たりの
キャッシュヒット率、又はスレッドが経験する平均メモ
リシステム待ち時間のようなメトリックを推定するよう
に、特定のコンテクストに対しても推定することができ
る。又、特定のコンテクストにより消費されるメモリハ
イアラーキのレベルの断片を推定することもできる。標
準的なエラー推定技術を使用して、導出される統計値の
精度について信頼性のあるインターバルを得ることがで
きる。特に、特定の特性をもつ多数のサンプルを伴う統
計値については、その特性をもつサンプルの数の平方根
の逆数を使用してエラー境界を近似することができる。
又、これらのエラー境界を使用して、選択されたトラン
ザクションがサンプリングされる率を動的に制御し、精
度とサンプリングオーバーヘッドとの妥協をとることが
できる。
【0045】記録された状態情報が、メモリトランザク
ションを処理するのに必要な待ち時間の形態、又は2つ
の連続的にサンプリングされたメモリトランザクション
間の待ち時間の形態の待ち時間情報を含むときには、こ
の情報を使用して、待ち時間に基づく統計値を計算する
ことができる。待ち時間は、一般に、プロセッサクロッ
クサイクルのような時間の単位で測定されるが、処理さ
れるメモリトランザクションの数のような他の単位で測
定されてもよい。
【0046】命令及びデータのリロケーション 非常に一般的な意味では、プロセッサは、データに対し
て作用する命令を実行する。多数の近代的なコンピュー
タシステムでは、命令及びデータは、通常、異なるメモ
リページを使用して個別の構造体として維持される。と
いうのは、命令に対するアクセスパターンが、データの
場合とかなり異なるからである。命令及びデータに対す
る仮想−物理メモリマッピングは、通常、オペレーティ
ングシステムにより実行される。或いは又、構造体のリ
ロケーションを手動で行うこともできるし、又はコンパ
イラー、リンカー及びローダーにより行うこともでき
る。あるシステムは、命令が実行されるときに構造体を
動的にリロケーションすることができる。ここに述べる
ハードウェアを使用し、ソフトウェアの種々の当該断片
にフィードバックを与えることができる。例えば、サン
プリングされたメモリトランザクションの状態情報を使
用して、例えば、ページ再マッピングポリシーを導出す
るか、或いはコンパイラー、リンカー又はローダーへフ
ィードバックを与えることにより自己干渉を回避するこ
とができる。例えば、ソフトウェアは、ページレベルで
競合アドレスを収集し、オペレーティングシステムによ
り実施される動的なページ再マッピングアルゴリズムを
通知することができる。又、プログラマー及びユーザに
対して潜在的な性能問題を識別する当該プロファイリン
グツールを与えることもできる。例えば、ここで、デー
タが追放されるときにデータがどれほど頻繁にダーティ
であるか、そしてDMA転送又はキャッシュコヒレンシ
ープロトコルトランザクションがどれほど頻繁に生じる
かを推定することができ、メモリシステムがいかに効率
的に使用されるかの意味を与えることができる。
【0047】マイクロプロセッサコンピュータシステム
におけるページリロケーション及び移動 非均一メモリアクセス(NUMA)マルチプロセッサシ
ステムでは、各プロセッサが有するメモリシステムのあ
る部分は、メモリシステムの他の部分よりも迅速に(又
は広い帯域巾で)アクセスすることができる。性能を改
善するために、プロセッサにより頻繁にアクセスされる
データ(プログラムデータ又は命令のいずれか)は、そ
のプロセッサにより迅速にアクセスできるメモリシステ
ムの領域へ移動することができる。この移動は、2つの
方法で行うことができる。データは、データの多数のコ
ピーを形成することにより複写することができる。理想
的には、データは、メモリシステム全体にわたり入念に
「散乱」される。或いは又、実際にデータを低待ち時間
又は広帯域巾メモリへ移動することによりデータを移動
することもできる。当該ステップ600が図6に示され
ており、次の段階を含む。
【0048】ステップ1:選択されたメモリシステムト
ランザクションに関する情報を記録する(610)。 ステップ2:頻繁にアクセスされるメモリ領域(例え
ば、ページ)を識別する(620)。 ステップ3:複写及び移動のための候補を識別する(6
30)。 ステップ4:特定のメトリックを改善するために適当な
データを複写及び/又は移動する(640)。 このプロセスに対するかぎは、ステップ2及びステップ
3で実施される。例えば、参照された仮想及び物理アド
レスに関する情報のようなデータのどの断片がどのプロ
セッサにより頻繁にアクセスされるか、そしてデータの
どの断片が実質的なキャッシュミスを被るか又はそれら
のアクセスにおいて長い待ち時間を受けるかに関する情
報を使用して、複写及び/又は移動の判断を誘導するこ
とができる。
【0049】アクセスの形式(例えば、読み取り、書き
込み及び無効化)に関する情報は、データを複写すべき
か、移動すべきか又はその場所に放置すべきかの判断を
更に誘導することができる。例えば、多数のプロセッサ
により頻繁に書き込まれるデータ(例えば、書き込み共
用ページ)は、おそらく、複写又は移動されてはなら
ず、一方、頻繁に読み取られるが滅多に書き込まれない
データ(例えば、読み取り共用ページ)は、複写のため
の良好な候補である。単一のプロセッサのみによって著
しくアクセスされるページは、アクセス側プロセッサに
近いメモリへ移動するための良好な候補である。この情
報は、ここに示すように、メモリシステムトランザクシ
ョン情報の統計学的なサンプリングにより収集すること
ができる。
【0050】メモリシステムトランザクションに関する
情報は、動的に収集し、そしてオンラインで使用して、
コンピュータシステムの複写及び移動ポリシーを動的に
制御することができる。通常、複写及び移動は、オペレ
ーティングシステムによって処理されるが、他のソフト
ウェア又はハードウェアレイヤにより処理することもで
きる。複写又は移動ポリシーを改善のために試みること
ができる潜在的な性能メトリックは多数あり、全システ
ムスループットの増加、特定の高優先順位ジョブに対す
るスループットの増加、プロセッサとメモリとの間のト
ラフィックの減少、全メモリ待ち時間の減少、又はシス
テム性能の全体的な増加を含む。
【0051】コンテクストスケジューリング ハイアラーキーメモリにおけるキャッシュは、種々のハ
ードウェアコンテクストから発せられるデータを共用す
るので、異なるハードウェアコンテクストにおいて実行
されるスレッドがキャッシュ内のラインに対して競合す
る。それ故、リソースの競合を最小にするようにスレッ
ドをスケジューリングすることが所望される。入念なス
ケジューリングは、異なるハードウェアコンテクストか
らのメモリ参照が非常に微細粒度のレベルでインターリ
ーブされ、そしてこれらのコンテクストがキャッシュの
ようなメモリシステムリソースを共用するときに関連性
が高められるようなマルチスレッド型プロセッサに対し
て特に重要である。しかしながら、これは、キャッシュ
が、スケジューリング量子化の間にスレッドによりなさ
れるメモリトランザクションの数に対して充分に大きい
ときには単一スレッド型のプロセッサに対しても重要で
ある。次いで、次の量子が特定のコンテクストに割り当
てられるときに何らかの有効なキャッシュ内容を保持す
ることが要望される。これら全てのスケジューリング判
断は、オンラインオペレーション中にメモリシステムト
ランザクションの統計学的サンプリングから収集される
フィードバックに動的に適応することができる。
【0052】これは、ここに述べるように、メモリシス
テムトランザクション情報をサンプリングすることによ
り実行できる。オペレーティングシステムソフトウェア
は、スケジューリングの判断を行うときにスレッド又は
プロセッサのメモリ参照パターンの種々の特徴を考慮す
ることから利益を得ることができる。このプロセスが図
7に示されている。ステップ710は、特定のコンテク
ストに対してトランザクションをサンプリングする。キ
ャッシュモニタの一部分として古い及び新しいコンテク
スト識別子を捕獲することにより、オペレーティングシ
ステムソフトウェアは、異なるコンテクストがキャッシ
ュにおいて共用及び競合する程度を統計学的に推定する
ことができる(720)。これらの推定値は、ステップ
731−733においてコンテクストスケジューラによ
り使用され、コンテクストを優先的にスケジューリング
することができる。以下に述べるスケジューリング判断
731−733は、メモリリソースに対して競合するコ
ンテクスト間の共用の程度を増加するか、又はメモリリ
ソースに対して競合するコンテクスト間の競合共用を減
少することを含む種々のメトリックを考慮することから
利益を得ることができる。
【0053】同時スケジューリング 例えば、キャッシュを控えめにのみ使用するスレッドと
同時に大きなキャッシュフットプリントを有するスレッ
ドを、これらスレッドのメモリシステム需要が互いに相
補的であることから、優先的に同時スケジューリングし
て、共用性を高めることに意味がある。又、できるだけ
キャッシュの非重畳領域を使用することに意味がある。
他方、オペレーティングシステムソフトウェアは、スレ
ッドがキャッシュから有効なデータを互いに追放すると
きに多数の更なる競合ミスを招くことから、例えば、大
きなキャッシュフットプリントをもつ2つのスレッドの
同時スケジューリングをできるだけ回避するように、リ
ソース競合を最小にし、競合を減少するように努めなけ
ればならない。
【0054】共用をベースとするスケジューリング 共用をベースとする又は比例的共用のスケジューリング
ポリシーは、メモリハイアラーキーにおいて各キャッシ
ュメモリの特定の共用を各コンテクストに与えることを
希望するのが理想的である。本発明のサンプリング技術
では、ステップ720において、各コンテクストにより
占有されるキャッシュの部分を統計学的に推定すること
ができる。これは、スケジューラが、メモリシステムリ
ソースの特定の共用を各プロセスに与えるといったメト
リックに対する判断をベースとして、コンテクスト間で
メモリシステムリソースをそれらの必要性に比例して有
効に区画化できるようにする。
【0055】割り当てをベースとするスケジューリング スケジューリングすることのできる各コンテクストに
は、それが使用できるキャッシュの量のような割り当て
られたリソースを関連させることができる。割り当てら
れた以上の共用を使用するコンテクストは、低速化又は
保留することができる。同様に、割り当てられた共用を
充分に使用しないコンテクストは、有利なものとなる。
あるコンテクストが保留される間に、他のコンテクスト
は、キャッシュの共用を増加することができる。保留さ
れたコンテクストは、他のアクティブなコンテクストか
らのキャッシュ圧力が増加した結果としてそのキャッシ
ュ使用が減少した後に継続することが許される。これ
は、模擬による以外、キャッシュライン又はブロックレ
ベルにおいて情報を一般的に監視できない既知の解決策
と区別し得るものである。これら全てのスケジューリン
グ判断は、オンラインのオペレーション中にメモリシス
テムトランザクションの統計学的サンプリングから収集
されるフィードバックに動的に適応させることができ
る。
【0056】以上、特定の実施形態について本発明を詳
細に説明した。上述した実施形態に対して変更を加えて
も、全ての又は幾つかの効果が達成されることは当業者
に明らかであろう。それ故、本発明の精神及び範囲内に
入るこのような全ての変更や修正は特許請求の範囲内に
包含されるものとする。
【図面の簡単な説明】
【図1】本発明によるメモリトランザクションサンプリ
ングを伴うコンピュータシステムのブロック図である。
【図2】好ましい実施形態によりメモリ性能を監視する
ためのサンプリングハードウェアを示すブロック図であ
る。
【図3】サンプル情報を記憶するためのサンプリングバ
ッファのブロック図である。
【図4】コンピュータシステムコンテクスト間のメモリ
システム相互作用に関する共用及び競合統計値を推定す
るための方法のフローチャートである。
【図5】メモリシステムトランザクションの特性の統計
値を推定する方法のフローチャートである。
【図6】メモリシステムの振る舞いに関する統計値を用
いてデータ複写及び移動の判断を行う方法を示すフロー
チャートである。
【図7】メモリシステム相互作用に関する統計値を使用
してコンテクストスケジューリングの判断を行う方法を
示すフローチャートである。
【符号の説明】
100 コンピュータシステム 110 プロセッサ 111 プロセッサパイプライン 112 命令キャッシュ(Iキャッシュ) 113 データキャッシュ(Dキャッシュ) 119 ハードウェア 120 メモリ 121 ボードレベルキャッシュ(Bキャッシュ) 122 揮発性メモリ(DRAM) 123 永続的メモリ 130 入力/出力インターフェイス 140 バスライン 200 キャッシュ 201 ブロック 202 ライン 210 タグ 220 データ 230 状態情報 240 変換ルックアサイドバッファ(TLB) 250 トリガーファンクション 260 選択ファンクション 265 カウンタ 301−303 サンプリングバッファ 280 サンプリングソフトウェア
───────────────────────────────────────────────────── フロントページの続き (72)発明者 ジェームズ イー ヒックス アメリカ合衆国 マサチューセッツ州 02159 ニュートン ボウ ロード 63 (72)発明者 カール エイ ウォールドスパージャー アメリカ合衆国 カリフォルニア州 94027 アサートン パーク ドライヴ 27 (72)発明者 ウィリアム イー ウィール アメリカ合衆国 カリフォルニア州 94114 サン フランシスコ クリッパー ストリート 280

Claims (33)

    【特許請求の範囲】
  1. 【請求項1】 コンピュータシステムのメモリサブシス
    テムにより処理されるトランザクションの特性の統計値
    を推定する方法において、 メモリサブシステムによって処理されるメモリトランザ
    クションをランダムに選択し、 その選択されたトランザクションがメモリサブシステム
    により処理される間にシステムの状態をサンプルとして
    記録し、そして選択されたトランザクションのサブセッ
    トからの記録された状態を統計学的に分析して、メモリ
    トランザクションの統計値を推定する、という段階を備
    えたことを特徴とする方法。
  2. 【請求項2】 上記選択されたトランザクションのサブ
    セットは、記録された状態のファンクションとして選択
    される請求項1に記載の方法。
  3. 【請求項3】 上記ファンクションは、選択されたトラ
    ンザクションによりアクセスされるアドレスに基づいて
    選択を行う請求項2に記載の方法。
  4. 【請求項4】 上記ファンクションは、選択されたトラ
    ンザクションをイッシューしたコンテクストに基づいて
    選択を行う請求項2に記載の方法。
  5. 【請求項5】 上記コンテクストは、プロセス識別子、
    スレッド識別子、ハードウェアコンテクスト識別子、ア
    ドレススペース番号、直接メモリアクセスデバイス識別
    子、及びキャッシュコヒレンシートラフィックのプロセ
    ッサ識別子を含む請求項4に記載の方法。
  6. 【請求項6】 上記分析段階は、選択されたトランザク
    ションの特性の平均値を決定する請求項1に記載の方
    法。
  7. 【請求項7】 上記分析段階は、選択されたトランザク
    ションの特性の標準偏差を決定する請求項1に記載の方
    法。
  8. 【請求項8】 上記分析段階は、選択されたトランザク
    ションの特性の値の分布のヒストグラムを決定する請求
    項1に記載の方法。
  9. 【請求項9】 上記平均値は、特定のトランザクション
    が発生する割合を含む請求項6に記載の方法。
  10. 【請求項10】 上記平均値は、選択されたトランザク
    ションの処理に関連した待ち時間を含む請求項6に記載
    の方法。
  11. 【請求項11】 上記平均値は、連続的な選択されたト
    ランザクション間に発生するサンプリング事象の率を含
    む請求項6に記載の方法。
  12. 【請求項12】 上記サンプリング事象は、時間の単位
    である請求項11に記載の方法。
  13. 【請求項13】 上記サンプリング事象は、何らかのメ
    モリトランザクションである請求項11に記載の方法。
  14. 【請求項14】 上記分布は、選択されたトランザクシ
    ョンの処理に関連した待ち時間を含む請求項8に記載の
    方法。
  15. 【請求項15】 上記平均値は、所定のコンテクストの
    キャッシュヒット/ミス率を含み、所定のコンテクスト
    は、プロセス、スレッド又はハードウェアコンテクスト
    から選択される請求項6に記載の方法。
  16. 【請求項16】 上記メモリサブシステムは、複数のハ
    イアラーキーレベルを含み、そして上記平均値は、特定
    のコンテクストにより消費されるメモリの量を含む請求
    項1に記載の方法。
  17. 【請求項17】 上記統計値は、特性の統計学的推定値
    のエラーに関する限界を含む請求項1に記載の方法。
  18. 【請求項18】 上記限界は、推定される特定の特性に
    対するサンプル数の平方根の逆数で近似される請求項1
    7に記載の方法。
  19. 【請求項19】 上記限界は、選択されたトランザクシ
    ョンがサンプリングされる割合を動的に制御する請求項
    17に記載の方法。
  20. 【請求項20】 上記メモリサブシステムは、複数のハ
    イアラーキーレベルを含み、上記ファンクションは、メ
    モリハイアラーキーの特定にレベルに対してトランザク
    ションを選択する請求項2に記載の方法。
  21. 【請求項21】 上記ファンクションは、メモリトラン
    ザクションの特定形式のトランザクションを選択する請
    求項2に記載の方法。
  22. 【請求項22】 上記特定形式は、読み取りオペレーシ
    ョン、書き込みオペレーション、無効化オペレーショ
    ン、直接メモリアクセスオペレーション、メモリコヒレ
    ンシーオペレーションから選択される請求項21に記載
    の方法。
  23. 【請求項23】 上記記録された状態は、選択されたト
    ランザクションにより参照されたキャッシュラインに関
    する状態情報を含む請求項1に記載の方法。
  24. 【請求項24】 上記記録された状態は、各参照された
    キャッシュラインに対するキャッシュプロトコル状態を
    含む請求項23に記載の方法。
  25. 【請求項25】 上記記録された状態は、各参照された
    キャッシュラインに対するダーティ/クリーン状態を含
    む請求項23に記載の方法。
  26. 【請求項26】 上記記録された状態は、各参照された
    キャッシュラインに対する共用/非共用状態を含む請求
    項23に記載の方法。
  27. 【請求項27】 上記記録された状態は、各参照された
    キャッシュラインに対する有効/無効状態を含む請求項
    23に記載の方法。
  28. 【請求項28】 上記記録された状態は、各参照された
    キャッシュラインに対するヒット/ミスのキャッシュル
    ックアップ状態を含む請求項23に記載の方法。
  29. 【請求項29】 上記メモリサブシステムは、複数のハ
    イアラーキーレベルを含み、上記記録された状態は、ハ
    イアラーキーの各レベルにおいて特定の選択されたトラ
    ンザクションを処理するのに必要な時間の量を含む請求
    項1に記載の方法。
  30. 【請求項30】 上記記録された状態は、特定の選択さ
    れたトランザクションを処理するのに必要な合計時間を
    含む請求項1に記載の方法。
  31. 【請求項31】 上記記録された状態は、選択されたト
    ランザクションにより参照されるアドレスを含む請求項
    1に記載の方法。
  32. 【請求項32】 上記記録された状態は、選択されたト
    ランザクションをイッシューしたコンテクストからの識
    別コンテクスト情報を含む請求項1に記載の方法。
  33. 【請求項33】 上記識別コンテクスト情報は、プロセ
    ス識別子、スレッド識別子、ハードウェアコンテクスト
    識別子、アドレススペース番号、直接メモリアクセスデ
    バイス識別子、及びキャッシュコヒレンシートラフィッ
    クのプロセッサ識別子を含む請求項32に記載の方法。
JP10375363A 1997-11-26 1998-11-26 メモリシステムトランザクションの特性の統計値を推定する方法 Pending JPH11316711A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/980,165 US6332178B1 (en) 1997-11-26 1997-11-26 Method for estimating statistics of properties of memory system transactions
US08/980165 1997-11-26

Publications (1)

Publication Number Publication Date
JPH11316711A true JPH11316711A (ja) 1999-11-16

Family

ID=25527389

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10375363A Pending JPH11316711A (ja) 1997-11-26 1998-11-26 メモリシステムトランザクションの特性の統計値を推定する方法

Country Status (4)

Country Link
US (1) US6332178B1 (ja)
EP (1) EP0919920B1 (ja)
JP (1) JPH11316711A (ja)
DE (1) DE69812849T2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013105256A (ja) * 2011-11-11 2013-05-30 Internatl Business Mach Corp <Ibm> 情報処理装置、プロファイル対象決定プログラム及び方法

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6839739B2 (en) * 1999-02-09 2005-01-04 Hewlett-Packard Development Company, L.P. Computer architecture with caching of history counters for dynamic page placement
US6636905B1 (en) * 1999-03-26 2003-10-21 Unisys Corporation Method for analyzing input/output performance of a data processing system
US6606671B1 (en) * 1999-04-19 2003-08-12 Unisys Corporation Method for analyzing input/output operations of a data processing system
US6748589B1 (en) 1999-10-20 2004-06-08 Transmeta Corporation Method for increasing the speed of speculative execution
US20050131792A1 (en) * 2000-02-03 2005-06-16 Rick Rowe Financial transaction system with integrated, automatic reward detection
US7996839B2 (en) * 2003-07-16 2011-08-09 Hewlett-Packard Development Company, L.P. Heterogeneous processor core systems for improved throughput
US7308683B2 (en) * 2003-10-30 2007-12-11 International Business Machines Corporation Ordering of high use program code segments using simulated annealing
US7590063B2 (en) * 2003-11-10 2009-09-15 Honeywell International Inc. Real-time estimation of event-driven traffic latency distributions when layered on static schedules
US7350046B2 (en) * 2004-04-02 2008-03-25 Seagate Technology Llc Managed reliability storage system and method monitoring storage conditions
US8155113B1 (en) 2004-12-13 2012-04-10 Massachusetts Institute Of Technology Processing data in a parallel processing environment
US20060149766A1 (en) * 2004-12-30 2006-07-06 Amol Ghoting Method and an apparatus to improve processor utilization in data mining
US20060259701A1 (en) * 2005-05-16 2006-11-16 Texas Instruments Incorporated Providing cache status information across multiple cache levels
US7457931B1 (en) * 2005-06-01 2008-11-25 Sun Microsystems, Inc. Method and apparatus for estimating the effect of processor cache memory bus delays on multithreaded processor throughput
US7363450B1 (en) 2005-06-01 2008-04-22 Sun Microsystems, Inc. Method and apparatus for estimating multithreaded processor throughput based on processor cache performance
US7487317B1 (en) * 2005-11-03 2009-02-03 Sun Microsystems, Inc. Cache-aware scheduling for a chip multithreading processor
US7588618B2 (en) * 2006-01-20 2009-09-15 Aaf-Mcquay Inc. Filter cassette rack
US7882307B1 (en) 2006-04-14 2011-02-01 Tilera Corporation Managing cache memory in a parallel processing environment
US7805575B1 (en) 2006-09-29 2010-09-28 Tilera Corporation Caching in multicore and multiprocessor architectures
ATE516542T1 (de) * 2007-10-18 2011-07-15 Nxp Bv Schaltung und verfahren mit cachekohärenz- belastungssteuerung
US7979662B2 (en) * 2007-12-28 2011-07-12 Sandisk Il Ltd. Storage device with transaction indexing capability
US7913030B2 (en) * 2007-12-28 2011-03-22 Sandisk Il Ltd. Storage device with transaction logging capability
US8250302B2 (en) * 2008-01-31 2012-08-21 Hewlett-Packard Development Company, L.P. Cache management using sampled values assigned to a request
US9417803B2 (en) * 2011-09-20 2016-08-16 Apple Inc. Adaptive mapping of logical addresses to memory devices in solid state drives
US9684600B2 (en) * 2011-11-30 2017-06-20 International Business Machines Corporation Dynamic process/object scoped memory affinity adjuster
CN104115210B (zh) * 2011-12-16 2018-08-03 英特尔公司 显示控制器的电力管理
US11119915B2 (en) * 2018-02-08 2021-09-14 Samsung Electronics Co., Ltd. Dynamic memory mapping for neural networks
CN112199291A (zh) * 2020-10-16 2021-01-08 天津飞腾信息技术有限公司 一种多核处理器Cache一致性模拟验证方法及验证装置

Family Cites Families (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4084231A (en) 1975-12-18 1978-04-11 International Business Machines Corporation System for facilitating the copying back of data in disc and tape units of a memory hierarchial system
US4481583A (en) 1981-10-30 1984-11-06 At&T Bell Laboratories Method for distributing resources in a time-shared system
JPS6047623B2 (ja) 1982-02-12 1985-10-22 株式会社日立製作所 アドレス変換方式
US4583165A (en) 1982-06-30 1986-04-15 International Business Machines Corporation Apparatus and method for controlling storage access in a multilevel storage system
US4800521A (en) 1982-09-21 1989-01-24 Xerox Corporation Task control manager
US4590550A (en) 1983-06-29 1986-05-20 International Business Machines Corporation Internally distributed monitoring system
US4845615A (en) 1984-04-30 1989-07-04 Hewlett-Packard Company Software performance analyzer
US5103394A (en) 1984-04-30 1992-04-07 Hewlett-Packard Company Software performance analyzer
US4972338A (en) 1985-06-13 1990-11-20 Intel Corporation Memory management for microprocessor system
US4821178A (en) 1986-08-15 1989-04-11 International Business Machines Corporation Internal performance monitoring by event sampling
US4965717A (en) 1988-12-09 1990-10-23 Tandem Computers Incorporated Multiple processor system having shared memory with private-write capability
JPH02271435A (ja) 1989-04-13 1990-11-06 Mitsubishi Electric Corp タスクトレース装置
JPH03210649A (ja) 1990-01-12 1991-09-13 Fujitsu Ltd マイクロコンピュータおよびそのバスサイクル制御方法
US5282274A (en) 1990-05-24 1994-01-25 International Business Machines Corporation Translation of multiple virtual pages upon a TLB miss
US5301299A (en) 1990-06-07 1994-04-05 Intel Corporation Optimized write protocol for memory accesses utilizing row and column strobes
US5151981A (en) 1990-07-13 1992-09-29 International Business Machines Corporation Instruction sampling instrumentation
US5450609A (en) 1990-11-13 1995-09-12 Compaq Computer Corp. Drive array performance monitor
US5339425A (en) 1990-12-11 1994-08-16 Fisher Controls International, Inc. Operating system for a process controller
JPH0774984B2 (ja) 1991-06-10 1995-08-09 インターナショナル・ビジネス・マシーンズ・コーポレイション システム資源利用率測定方法とデータ処理システム
US5630157A (en) 1991-06-13 1997-05-13 International Business Machines Corporation Computer organization for multiple and out-of-order execution of condition code testing and setting instructions
JPH079632B2 (ja) 1991-06-18 1995-02-01 インターナショナル・ビジネス・マシーンズ・コーポレイション アドレス変換装置および方法
US5450586A (en) 1991-08-14 1995-09-12 Hewlett-Packard Company System for analyzing and debugging embedded software through dynamic and interactive use of code markers
US5269017A (en) 1991-08-29 1993-12-07 International Business Machines Corporation Type 1, 2 and 3 retry and checkpointing
US5450379A (en) 1991-10-09 1995-09-12 Seiko Epson Corporation Information storage medium, method of fabricating same, and drive unit for such medium
US5287508A (en) 1992-04-07 1994-02-15 Sun Microsystems, Inc. Method and apparatus for efficient scheduling in a multiprocessor system
GB2266606B (en) 1992-04-27 1996-02-14 Intel Corp A microprocessor with an external command mode
JP3544214B2 (ja) 1992-04-29 2004-07-21 サン・マイクロシステムズ・インコーポレイテッド プロセッサの状態を監視する方法及び監視システム
US5515538A (en) * 1992-05-29 1996-05-07 Sun Microsystems, Inc. Apparatus and method for interrupt handling in a multi-threaded operating system kernel
US5418973A (en) 1992-06-22 1995-05-23 Digital Equipment Corporation Digital computer system with cache controller coordinating both vector and scalar operations
US5452457A (en) 1993-01-29 1995-09-19 International Business Machines Corporation Program construct and methods/systems for optimizing assembled code for execution
JPH06290079A (ja) 1993-03-30 1994-10-18 Hitachi Ltd 情報処理システム
US5594741A (en) * 1993-03-31 1997-01-14 Digital Equipment Corporation Method for control of random test vector generation
US5452440A (en) 1993-07-16 1995-09-19 Zitel Corporation Method and structure for evaluating and enhancing the performance of cache memory systems
US5379432A (en) 1993-07-19 1995-01-03 Taligent, Inc. Object-oriented interface for a procedural operating system
US5485574A (en) 1993-11-04 1996-01-16 Microsoft Corporation Operating system based performance monitoring of programs
US5623627A (en) 1993-12-09 1997-04-22 Advanced Micro Devices, Inc. Computer memory architecture including a replacement cache
US5922070A (en) 1994-01-11 1999-07-13 Texas Instruments Incorporated Pipelined data processing including program counter recycling
US5603004A (en) 1994-02-14 1997-02-11 Hewlett-Packard Company Method for decreasing time penalty resulting from a cache miss in a multi-level cache system
US5493673A (en) 1994-03-24 1996-02-20 International Business Machines Corporation Method and apparatus for dynamically sampling digital counters to improve statistical accuracy
US5446876A (en) 1994-04-15 1995-08-29 International Business Machines Corporation Hardware mechanism for instruction/data address tracing
US5581482A (en) 1994-04-26 1996-12-03 Unisys Corporation Performance monitor for digital computer system
EP0689141A3 (en) 1994-06-20 1997-10-15 At & T Corp Disruption-based hardware support for system performance profiling
US5528753A (en) 1994-06-30 1996-06-18 International Business Machines Corporation System and method for enabling stripped object software monitoring in a computer system
US5537541A (en) 1994-08-16 1996-07-16 Digital Equipment Corporation System independent interface for performance counters
JP3588485B2 (ja) 1994-08-26 2004-11-10 富士通株式会社 プロセススケジューリング方式
JP2908739B2 (ja) 1994-12-16 1999-06-21 インターナショナル・ビジネス・マシーンズ・コーポレイション 多重プロセッサ・システムにおけるcpuのモニタリング・システム及び方法
US5673426A (en) 1995-02-14 1997-09-30 Hal Computer Systems, Inc. Processor structure and method for tracking floating-point exceptions
US5748468A (en) * 1995-05-04 1998-05-05 Microsoft Corporation Prioritized co-processor resource manager and method
US5608892A (en) 1995-06-09 1997-03-04 Alantec Corporation Active cache for a microprocessor
JPH0997214A (ja) 1995-09-29 1997-04-08 Internatl Business Mach Corp <Ibm> 補助プロセッサのためのアドレス変換を含む情報処理システム
US5751945A (en) 1995-10-02 1998-05-12 International Business Machines Corporation Method and system for performance monitoring stalls to identify pipeline bottlenecks and stalls in a processing system
US5691920A (en) 1995-10-02 1997-11-25 International Business Machines Corporation Method and system for performance monitoring of dispatch unit efficiency in a processing system
US5765204A (en) 1996-06-05 1998-06-09 International Business Machines Corporation Method and apparatus for adaptive localization of frequently accessed, randomly addressed data
US5854934A (en) 1996-08-23 1998-12-29 Hewlett-Packard Company Optimizing compiler having data cache prefetch spreading
US5799143A (en) 1996-08-26 1998-08-25 Motorola, Inc. Multiple context software analysis
US5802593A (en) 1996-09-06 1998-09-01 Intel Corporation Method and apparatus for improving disk drive performance
US5802386A (en) 1996-11-19 1998-09-01 International Business Machines Corporation Latency-based scheduling of instructions in a superscalar processor
US5878208A (en) 1996-11-25 1999-03-02 International Business Machines Corporation Method and system for instruction trace reconstruction utilizing limited output pins and bus monitoring
US5862371A (en) 1996-11-25 1999-01-19 International Business Machines Corporation Method and system for instruction trace reconstruction utilizing performance monitor outputs and bus monitoring
US5884080A (en) * 1996-11-26 1999-03-16 International Business Machines Corporation System and method for instruction burst performance profiling for single-processor and multi-processor systems
US5857097A (en) 1997-03-10 1999-01-05 Digital Equipment Corporation Method for identifying reasons for dynamic stall cycles during the execution of a program
US5944841A (en) 1997-04-15 1999-08-31 Advanced Micro Devices, Inc. Microprocessor with built-in instruction tracing capability
US5933626A (en) 1997-06-12 1999-08-03 Advanced Micro Devices, Inc. Apparatus and method for tracing microprocessor instructions
US5860018A (en) 1997-06-25 1999-01-12 Sun Microsystems, Inc. Method for tracking pipeline resources in a superscalar processor
US5987598A (en) 1997-07-07 1999-11-16 International Business Machines Corporation Method and system for tracking instruction progress within a data processing system
US5809450A (en) * 1997-11-26 1998-09-15 Digital Equipment Corporation Method for estimating statistics of properties of instructions processed by a processor pipeline
US6000044A (en) 1997-11-26 1999-12-07 Digital Equipment Corporation Apparatus for randomly sampling instructions in a processor pipeline
US5964867A (en) 1997-11-26 1999-10-12 Digital Equipment Corporation Method for inserting memory prefetch operations based on measured latencies in a program optimizer
US5923872A (en) 1997-11-26 1999-07-13 Digital Equipment Corporation Apparatus for sampling instruction operand or result values in a processor pipeline

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013105256A (ja) * 2011-11-11 2013-05-30 Internatl Business Mach Corp <Ibm> 情報処理装置、プロファイル対象決定プログラム及び方法
US9250939B2 (en) 2011-11-11 2016-02-02 International Business Machines Corporation Information processing device, profile target determining program, and method

Also Published As

Publication number Publication date
EP0919920A3 (en) 2000-01-19
DE69812849T2 (de) 2003-11-13
EP0919920B1 (en) 2003-04-02
US6332178B1 (en) 2001-12-18
DE69812849D1 (de) 2003-05-08
EP0919920A2 (en) 1999-06-02

Similar Documents

Publication Publication Date Title
JP4371452B2 (ja) コンピュータメモリシステムにおいて空間的及び時間的サンプリングを行う装置
US6442585B1 (en) Method for scheduling contexts based on statistics of memory system interactions in a computer system
US6237059B1 (en) Method for estimating statistics of properties of memory system interactions among contexts in a computer system
US6332178B1 (en) Method for estimating statistics of properties of memory system transactions
US6374367B1 (en) Apparatus and method for monitoring a computer system to guide optimization
Sudan et al. Micro-pages: increasing DRAM efficiency with locality-aware data placement
US7434002B1 (en) Utilizing cache information to manage memory access and cache utilization
US7581064B1 (en) Utilizing cache information to manage memory access and cache utilization
US7962314B2 (en) Mechanism for profiling program software running on a processor
US10394714B2 (en) System and method for false sharing prediction
US8140903B2 (en) Hardware process trace facility
CN108463808B (zh) 事件触发的可编程预取器
JPH0830494A (ja) システム性能をプロファイルするための割り込みベースのハードウエア・サポート
WO2011025720A1 (en) Optimized thread scheduling via hardware performance monitoring
Voskuilen et al. Timetraveler: exploiting acyclic races for optimizing memory race recording
Du Bois et al. Per-thread cycle accounting in multicore processors
Giardino et al. Soft2LM: Application guided heterogeneous memory management
US9678883B2 (en) System and method for detecting false sharing
US20050172079A1 (en) System and method for improved collection of software application profile data for performance optimization
US20230161678A1 (en) Classification of different types of cache misses
West et al. Core monitors: monitoring performance in multicore processors
Bock Collaborative hardware-software management of hybrid main memory
Calhoun et al. Optimizing kernel block memory operations
WO2008058292A2 (en) System for and method of capturing application characteristics from a computer system and modeling target system
Gupta Software and hardware techniques for mitigating the multicore interference problem