JPH11186226A - Plasma cleaning method in plasma processor - Google Patents

Plasma cleaning method in plasma processor

Info

Publication number
JPH11186226A
JPH11186226A JP9347565A JP34756597A JPH11186226A JP H11186226 A JPH11186226 A JP H11186226A JP 9347565 A JP9347565 A JP 9347565A JP 34756597 A JP34756597 A JP 34756597A JP H11186226 A JPH11186226 A JP H11186226A
Authority
JP
Japan
Prior art keywords
plasma
cleaning
gas
chlorine
mixed gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP9347565A
Other languages
Japanese (ja)
Other versions
JP3566522B2 (en
Inventor
Hiroyuki Kitsunai
浩之 橘内
Shigeru Tsunoda
茂 角田
Makoto Nawata
誠 縄田
Nobuo Tsumaki
伸夫 妻木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi Ltd
Original Assignee
Hitachi Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Ltd filed Critical Hitachi Ltd
Priority to JP34756597A priority Critical patent/JP3566522B2/en
Publication of JPH11186226A publication Critical patent/JPH11186226A/en
Application granted granted Critical
Publication of JP3566522B2 publication Critical patent/JP3566522B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To efficiently remove the remaining deposit film on the inner wall of a plasma processor by providing cleaning steps including cleaning with an O gas plasma and cleaning with a mixed gas plasma of Cl and BCl3 . SOLUTION: A microwave etcher introduces a plasma generating gas after evacuating into a high vacuum, oscillates a microwave 10 which is fed into a quartz bell-jar 3 through a waveguide 11 to ionize a gas in a process chamber through a solenoid coil 12, and etches by utilizing this plasma 14. In a series of plasma cleaning steps, a cleaning step with an O gas plasma and cleaning step with a mixed gas plasma of Cl and BCl3 . The O gas plasma is applied to a chloride, it is substituted in O and Cl, thus gasifying Cl. The deposit can be easily removed by removal of Cl molecules.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は,半導体装置の製造
工程において,CVD等の成膜やエッチング装置等の半
導体製造装置の処理室内のドライクリーニング方法に関
する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry cleaning method in a processing chamber of a semiconductor manufacturing apparatus such as a film forming apparatus such as CVD or an etching apparatus in a semiconductor device manufacturing process.

【0002】[0002]

【従来の技術】半導体装置の製造工程において,塵埃
(異物)が基板に付着すると,目的のデバイスのパター
ン欠陥を引き起こし,製造工程における歩留まりを低下
させる。一方,近年の製造工程の微細加工においては,
プラズマを利用するドライエッチングプロセスが重要に
なっている。すなわち,各種ガスを半導体製造装置内に
導入し,導入したガスのプラズマの反応を利用してエッ
チングを行うものである。このようなプロセスでは,エ
ッチングにともなって発生する生成物が半導体製造装置
内壁のいたるところに堆積膜となって付着する。すなわ
ちドライエッチングおいてはエッチングガスがプラズマ
中で分解や結合されること,また,エッチングにより生
成されるエッチング副生成物により装置内壁に堆積膜が
付着する。このような堆積膜は,処理枚数が増加し膜厚
が厚くなると部分的に剥離して塵埃となる。
2. Description of the Related Art In the manufacturing process of a semiconductor device, if dust (foreign matter) adheres to a substrate, pattern defects of a target device are caused, and the yield in the manufacturing process is reduced. On the other hand, in microfabrication in recent manufacturing processes,
A dry etching process using plasma has become important. That is, various gases are introduced into the semiconductor manufacturing apparatus, and etching is performed by utilizing the reaction of the plasma of the introduced gases. In such a process, products generated by the etching adhere as deposited films everywhere on the inner wall of the semiconductor manufacturing apparatus. That is, in dry etching, the etching gas is decomposed or combined in the plasma, and a deposited film adheres to the inner wall of the device due to an etching by-product generated by the etching. When the number of processed films increases and the film thickness increases, such a deposited film partially peels off and becomes dust.

【0003】そこで,これらの付着堆積物を定期的に除
去する必要が生じる。
[0003] Therefore, it is necessary to periodically remove these deposits.

【0004】従来,このような付着堆積物の除去方法と
しては,半導体製造装置を大気開放してアルコールや純
水等の溶媒を用いて拭き取る,いわゆるウェットクリー
ニングと,クリーニング用のプラズマを用いてクリーニ
ングするプラズマクリーニングが知られている。プラズ
マクリーニング方法の例としては,特表平7-508313号に
開示されている。
Conventionally, as a method of removing such deposits, a semiconductor manufacturing apparatus is opened to the atmosphere and wiped with a solvent such as alcohol or pure water, that is, wet cleaning, and cleaning using a cleaning plasma. Plasma cleaning is known. An example of the plasma cleaning method is disclosed in JP-T-7-508313.

【0005】[0005]

【発明が解決しようとする課題】しかしながら上記従来
のクリーニング方法は以下に示す課題がある。
However, the above-mentioned conventional cleaning method has the following problems.

【0006】まず,ウェットクリーニングに関しては,
半導体製造装置を大気開放し分解する必要があるためウ
ェットクリーニング後の真空排気が必要となる。したが
って,クリーニング毎に長時間半導体製造装置を停止さ
せることとなり,著しい装置稼働率の低下,スループッ
トの低下を引き起こす。
First, regarding wet cleaning,
Since it is necessary to open the semiconductor manufacturing apparatus to the atmosphere and disassemble it, vacuum evacuation after wet cleaning is required. Therefore, the semiconductor manufacturing apparatus is stopped for a long time for each cleaning, which causes a remarkable reduction in the apparatus operation rate and a reduction in throughput.

【0007】これらのウェットクリーニングの欠点を改
善する方法として,特表平7-508313号に示されたよう
に,処理チャンバを大気開放せずにプラズマを用いて行
うプラズマクリーニングが知られている。
As a method for improving the disadvantages of the wet cleaning, a plasma cleaning method using plasma without opening the processing chamber to the atmosphere is known, as shown in Japanese Patent Publication No. Hei 7-508313.

【0008】プラズマクリーニングは,除去対象である
堆積物に対して,堆積物と反応して蒸気圧の高い化合物
し,結果的には蒸発・真空排気して除去することにより
行われる。例えば堆積物が炭素化合物であれば,O2ガス
のプラズマと反応させ,気体であるCO,CO2にして除去
するというように行われる。ドライエッチング装置にお
ける残留堆積物は,エッチング対象が多種類の金属の積
層膜の連続エッチングによるもの,マスク材料であるフ
ォトレジストによる有機物,エッチングガスの重合物か
らなる複雑な混合物であり,どのようなプラズマを選択
しクリーニングを行うかで,残留堆積膜を除去できるか
どうかが決まる。特表平7-508313号では,酸素ガスと塩
素ガスを含む混合ガスをプラズマ化しクリーニングを行
うものであり,有機物除去を酸素ガスプラズマに,金属
化合物除去を塩素ガスプラズマにより行うことでクリー
ニング効果を向上させることを狙いとしている。
[0008] Plasma cleaning is performed by removing a deposit to be removed by reacting with the deposit to form a compound having a high vapor pressure, and consequently evaporating and evacuating the deposit. For example, if the deposit is a carbon compound, it is made to react with the plasma of O2 gas to remove it into gaseous CO and CO2 and to remove it. Residual deposits in dry etching equipment are complex mixtures consisting of a series of multi-metal layers that are continuously etched, organic materials based on photoresist as a mask material, and polymers of etching gas. Whether cleaning can be performed by selecting the plasma determines whether the residual deposited film can be removed. In Japanese Patent Publication No. Hei 7-508313, cleaning is performed by converting a mixed gas containing oxygen gas and chlorine gas into plasma, and cleaning is performed by removing organic substances with oxygen gas plasma and removing metal compounds with chlorine gas plasma. It aims to improve.

【0009】しかしながら,本願の発明者の分析によれ
ば,アルミ合金,あるいはアルミ合金を含む金属の積層
膜を,三塩化ホウ素と塩素の混合ガスでエッチングした
場合,装置内壁に残留する堆積膜は,アルミ合金と塩化
ホウ素の混合物となっている。アルミ合金は金属配線と
しては最も一般的であり多く用いられており,またこれ
をエッチングするために三塩化ホウ素と塩素の混合ガス
は最も一般的であり多く用いられる。先に示した従来例
は,有機物と金属との混合物からなる残留堆積膜のクリ
ーニングには有効であると考えられるが,このような塩
化物と金属との混合物には有効性が低いと考えられる。
However, according to the analysis of the inventor of the present invention, when an aluminum alloy or a laminated film of a metal containing an aluminum alloy is etched with a mixed gas of boron trichloride and chlorine, the deposited film remaining on the inner wall of the apparatus is not removed. , A mixture of aluminum alloy and boron chloride. Aluminum alloys are the most common and widely used as metal wiring, and a mixed gas of boron trichloride and chlorine is most commonly and frequently used for etching the same. The above-mentioned conventional example is considered to be effective for cleaning residual deposited films composed of a mixture of an organic substance and a metal, but is considered to be less effective for such a mixture of a chloride and a metal. .

【0010】本発明の目的は,半導体素子の金属配線と
して最も一般的であり多く用いられるアルミ合金のエッ
チング装置に有効であるプラズマクリーニング方法を提
供することにある。
An object of the present invention is to provide a plasma cleaning method which is effective as an aluminum alloy etching apparatus which is most commonly used as a metal wiring of a semiconductor element and is often used.

【0011】[0011]

【課題を解決するための手段】上記目的は,プラズマク
リーニングに,少なくとも酸素ガスプラズマによるクリ
ーニングと,塩素と三塩化ホウ素の混合ガスのプラズマ
によるクリーニングの工程とを有するクリーニングを実
施することにより達成される。
The above object can be attained by carrying out plasma cleaning including at least cleaning with oxygen gas plasma and cleaning with plasma of a mixed gas of chlorine and boron trichloride. You.

【0012】また,酸素ガスプラズマによるクリーニン
グは,塩素と三塩化ホウ素の混合ガスのプラズマによる
クリーニングよりも前に行われることが好ましい。
Further, it is preferable that the cleaning by the oxygen gas plasma is performed before the cleaning by the plasma of the mixed gas of chlorine and boron trichloride.

【0013】[0013]

【発明の実施の形態】以下本発明のプラズマクリーニン
グ方法の実施例について図に従って詳細に説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of the plasma cleaning method of the present invention will be described below in detail with reference to the drawings.

【0014】図1は、本発明に係るドライクリーニング
方法に使用されたマイクロ波エッチング装置の処理室を
示す。また,図2には処理室内に残留した堆積膜の組成
を示す模式図を,図3には残留堆積膜が除去されていく
様子を表わす模式図を示す。
FIG. 1 shows a processing chamber of a microwave etching apparatus used in the dry cleaning method according to the present invention. FIG. 2 is a schematic diagram showing the composition of the deposited film remaining in the processing chamber, and FIG. 3 is a schematic diagram showing a state in which the residual deposited film is being removed.

【0015】図1において1は,微細加工を施すシリコ
ンウエハ(基板),2,3は各々,石英ベルジャー,メ
インチャンバーであり,真空雰囲気を作る。4は真空排
気のための排気口,5はエッチング,あるいはプラズマ
クリーニングのためのガス導入口である。6は,ウエハ
を固定保持する基板ステージであり,7はウエハをクラ
ンプするメカクランパーである。ウエハをステージに支
持する手段は、静電吸着手段を用いても良い。クランプ
8はアース板であり,9はウエハステージ6にRFバイア
スを印加するための高周波電源である。
In FIG. 1, reference numeral 1 denotes a silicon wafer (substrate) to be subjected to fine processing, and reference numerals 2 and 3 denote a quartz bell jar and a main chamber, respectively, for creating a vacuum atmosphere. Reference numeral 4 denotes an exhaust port for evacuation, and 5 denotes a gas introduction port for etching or plasma cleaning. Reference numeral 6 denotes a substrate stage for fixedly holding the wafer, and reference numeral 7 denotes a mechanical clamper for clamping the wafer. As means for supporting the wafer on the stage, an electrostatic suction means may be used. The clamp 8 is a ground plate, and 9 is a high frequency power supply for applying an RF bias to the wafer stage 6.

【0016】マイクロ波エッチング装置は,まず,高真
空排気後にプラズマ生成用のガスを導入する。そして,
マイクロ波10をマグネトロン(図示しない)から発
振,導波管11を通して石英ベルジャ3(処理室)内に導
入して,処理室の周囲に配置されたソレノイドコイル1
2により形成する磁場との共鳴(ECR)により,処理室の
ガスをプラズマ化し,そのプラズマ14を利用してエッ
チングを行う。アース8とウエハステージ6との間に
は,イオンを引き込むことにより異方性エッチングを行
う目的で,高周波電源9によりRFバイアス電力が印加さ
れる。
The microwave etching apparatus first introduces a gas for plasma generation after evacuation under high vacuum. And
A microwave 10 is oscillated from a magnetron (not shown), introduced into a quartz bell jar 3 (processing chamber) through a waveguide 11, and a solenoid coil 1 disposed around the processing chamber.
The gas in the processing chamber is turned into plasma by resonance (ECR) with the magnetic field formed by step 2 and etching is performed using the plasma 14. An RF bias power is applied between the earth 8 and the wafer stage 6 by a high frequency power supply 9 for the purpose of performing anisotropic etching by drawing ions.

【0017】ところで,半導体素子の金属配線材料とし
ては,アルミニウムもしくはアルミニウムに銅やシリコ
ンなどが添加された合金が最も一般的であり多く用いら
れている。最近ではマイグレーション耐性の向上やリソ
グラフィでの反射防止のために,アルミニウム配線の下
や上に窒化チタンTiNを敷き多重金属層とすることが多
くなっている。
By the way, as a metal wiring material of a semiconductor element, aluminum or an alloy obtained by adding copper, silicon, or the like to aluminum is most commonly used. Recently, in order to improve migration resistance and prevent reflection in lithography, titanium nitride TiN is spread below and above aluminum wiring to form a multi-metal layer.

【0018】これらをエッチングするためには,塩素Cl
2と三塩化ホウ素BCl3の混合ガスが最も一般的であり多
く使われている。エッチングはエッチング対象である膜
材料に対して,膜材料と反応して蒸気圧の高い化合物に
なるガスのプラズマが用いられる。すなわち,プラズマ
を発生させ物理的に叩くだけではなく化学的に反応さ
せ,最終的には蒸発・真空排気してエッチングを進行さ
せる。例えばアルミニウム合金に対しては,Cl2ガスプ
ラズマにより蒸気圧の高い三塩化アルミニウムAlCl3を
生成させてエッチングを進行させる。この際,アルミニ
ウム合金の表面にはアルミニウムの酸化層ができてお
り,これを貫き易くするために塩素Cl2ガスに三塩化ホ
ウ素BCl3を混合させるのが一般的である。
In order to etch these, chlorine Cl
A gas mixture of 2 and boron trichloride, BCl3, is the most common and widely used. For the etching, plasma of a gas that reacts with the film material to be a compound having a high vapor pressure is used for the film material to be etched. That is, plasma is generated and not only physically struck, but also chemically reacted, and finally evaporated and evacuated to progress the etching. For example, with respect to an aluminum alloy, etching is advanced by generating aluminum trichloride AlCl3 having a high vapor pressure by Cl2 gas plasma. At this time, an aluminum oxide layer is formed on the surface of the aluminum alloy, and in general, boron trichloride BCl3 is mixed with chlorine Cl2 gas in order to easily penetrate the aluminum oxide layer.

【0019】本願の発明者の分析によれば,アルミニウ
ム合金単膜,あるいは窒化チタン/アルミ合金/窒化チタ
ンの積層膜を,塩素Cl2と三塩化ホウ素BCl3の混合ガス
でエッチングした場合,装置内壁に残留する堆積膜13
は,アルミニウムと塩化ホウ素BClxの混合物となってお
り,特に塩化ホウ素の割合が多いことが明らかになっ
た。処理室内に残留した堆積膜の組成を示す模式図を図
2に示す。
According to the analysis of the inventor of the present application, when an aluminum alloy single film or a laminated film of titanium nitride / aluminum alloy / titanium nitride is etched with a mixed gas of chlorine Cl2 and boron trichloride BCl3, the inner wall of the device is etched. Residual deposited film 13
Is a mixture of aluminum and boron chloride, BClx, and it is clear that the proportion of boron chloride is particularly large. FIG. 2 is a schematic diagram showing the composition of the deposited film remaining in the processing chamber.

【0020】アルミニウムの残留堆積物に対しては,エ
ッチングの場合と同様にCl2ガスプラズマにより蒸気圧
の高いAlCl3を生成して除去することが行われる。ただ
し,残留堆積物は純粋にアルミニウムだけから成るので
はなく,マスク材料であるフォトレジストからの有機物
やエッチングガスの重合物からなる複雑な混合物であ
り,塩素ガスによるプラズマクリーニングをいかに有効
に働かせるかが,残留堆積膜除去の決め手となる。残留
堆積物は,図2の模式図に示したように塩素がアルミニ
ウムを包み込むような形となるために塩素によるプラズ
マクリーニングは有効に働かず,残留堆積物の除去はで
きない。
The remaining deposit of aluminum is removed by generating AlCl 3 having a high vapor pressure by Cl 2 gas plasma as in the case of etching. However, the residual deposit is not only pure aluminum, but also a complex mixture of organic matter from the photoresist used as the mask material and a polymer of the etching gas. How can plasma cleaning with chlorine gas work effectively? Is the decisive factor in removing the residual deposited film. As shown in the schematic diagram of FIG. 2, the residual deposit has a form in which chlorine surrounds the aluminum, so that plasma cleaning with chlorine does not work effectively, and the residual deposit cannot be removed.

【0021】本発明のプラズマクリーニングの働きを表
わす模式図を図3に示す。本発明によれば,一連のプラ
ズマクリーニングの工程の中に,酸素O2ガスプラズマに
よるクリーニング工程と,塩素Cl2と三塩化ホウ素BCl3
の混合ガスのプラズマによるクリーニングの工程が含ま
れている。O2ガスプラズマを塩化物に当てると酸素と塩
素は置換され,塩素が気化される。すなわち,BClx +
O2 → BO + Cl2の反応がおこり,Cl2ガスとしてメイン
チャンバ3から除去・真空排気される。さらに,BClxの
形の堆積物はCl分子が取れることによりBCl3となり除去
され,残留堆積膜中に含まれるAlもその一部がAlCl3と
なり除去される。
FIG. 3 is a schematic diagram showing the function of the plasma cleaning of the present invention. According to the present invention, a series of plasma cleaning steps include a cleaning step using oxygen O2 gas plasma, chlorine Cl2 and boron trichloride BCl3.
Cleaning process using a plasma of a mixed gas of When O2 gas plasma is exposed to chloride, oxygen and chlorine are replaced, and chlorine is vaporized. That is, BClx +
The reaction of O2 → BO + Cl2 occurs, and is removed from the main chamber 3 as Cl2 gas and evacuated. Further, the deposit in the form of BClx is removed as BCl3 by removing Cl molecules, and part of Al contained in the residual deposited film is removed as AlCl3.

【0022】このようなO2ガスプラズマによる酸素と塩
素の置換によって,アルミニウムを囲んでいた塩素がな
くなる。この時,酸素のプラズマが当てられるたために
アルミニウムの表面は酸化される。ここで,塩素Cl2と
三塩化ホウ素BCl3の混合ガスのプラズマによるクリーニ
ングを行うことによって,残ったアルミ酸化物の除去を
行うことができる。アルミニウムが酸化物になっている
場合には,Al-Clの原子間結合エネルギーよりもAl-Oの
原子間結合エネルギーの方が大きいために,Cl2単独の
プラズマではAlCl3が生成できずクリーニングによる除
去はできない。そこで,Al-Oの原子間結合エネルギーよ
りも, 酸素に対する原子間結合エネルギーが大きいBを
含むガス,Bcl3をCl2ガスに混ぜたプラズマを用いるこ
とにより,Al-OからOを引き抜き,Cl2によるクリーニン
グを有効にすることができる。
By the replacement of oxygen and chlorine by the O2 gas plasma, chlorine surrounding aluminum is eliminated. At this time, the surface of the aluminum is oxidized because the plasma of oxygen is applied. Here, the remaining aluminum oxide can be removed by performing cleaning using plasma of a mixed gas of chlorine Cl2 and boron trichloride BCl3. When aluminum is an oxide, the interatomic bond energy of Al-O is larger than the interatomic bond energy of Al-Cl, so that ClCl alone cannot generate AlCl3 and is removed by cleaning. Can not. Therefore, O is extracted from Al-O by using a plasma containing B2 gas and Bcl3 gas, which has a higher interatomic bond energy to oxygen than Al-O interatomic bond energy. Can be enabled.

【0023】したがって,酸素ガスプラズマによるクリ
ーニングは,少なくとも塩素と三塩化ホウ素の混合ガス
のプラズマによるクリーニングよりも前に行われること
が必要である。この場合,酸素ガスプラズマによるクリ
ーニングと塩素と三塩化ホウ素の混合ガスのプラズマに
よるクリーニングは連続して行われてもよいし,その間
に例えばフッ素系ガスのプラズマクリーニング等,他の
プラズマクリーニング工程が入ってもよい。
Therefore, the cleaning by the oxygen gas plasma needs to be performed at least before the cleaning by the plasma of the mixed gas of chlorine and boron trichloride. In this case, the cleaning with the oxygen gas plasma and the cleaning with the plasma of the mixed gas of chlorine and boron trichloride may be performed continuously, or another plasma cleaning step such as a plasma cleaning of a fluorine-based gas may be performed between them. You may.

【0024】[0024]

【発明の効果】以上のように本発明によれば,プラズマ
処理装置の内壁に付着した残留堆積膜を効率的に除去す
ることができる。これにより,堆積膜厚の増加(処理枚
数の増加)にともなう,堆積膜の剥離,これによる塵埃
の発生を防止することが可能となり,製造工程における
歩留まりの向上,製造装置の稼働率向上を図ることがで
きる。
As described above, according to the present invention, the residual deposited film adhered to the inner wall of the plasma processing apparatus can be efficiently removed. As a result, it is possible to prevent the separation of the deposited film and the generation of dust due to the increase in the thickness of the deposited film (increase in the number of processed sheets), thereby improving the yield in the manufacturing process and improving the operation rate of the manufacturing apparatus. be able to.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明を適用したプラズマ処理装置を示す図で
ある。
FIG. 1 is a diagram showing a plasma processing apparatus to which the present invention is applied.

【図2】処理室内に残留した堆積膜の組成を示す模式図
である。
FIG. 2 is a schematic diagram illustrating a composition of a deposited film remaining in a processing chamber.

【図3】本発明のプラズマクリーニングにより残留堆積
膜が除去されていく様子を表わす模式図である。
FIG. 3 is a schematic diagram showing a state where a residual deposited film is being removed by the plasma cleaning of the present invention.

【符号の説明】[Explanation of symbols]

1…ウエハ、2…石英ベルジャー、3…メインチャンバ
ー、4…真空排気口、5…ガス導入口、6…ウエハステ
ージ、7…ウエハクランパ、8…アース、9…高周波電
源、10…マイクロ波、11…導波管、12…ソレノイ
ドコイル、13…残留堆積物、14…プラズマ。
DESCRIPTION OF SYMBOLS 1 ... Wafer, 2 ... Quartz bell jar, 3 ... Main chamber, 4 ... Vacuum exhaust port, 5 ... Gas inlet, 6 ... Wafer stage, 7 ... Wafer clamper, 8 ... Ground, 9 ... High frequency power supply, 10 ... Microwave, 11: waveguide, 12: solenoid coil, 13: residual deposit, 14: plasma.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 妻木 伸夫 茨城県土浦市神立町502番地 株式会社日 立製作所機械研究所内 ──────────────────────────────────────────────────続 き Continued on the front page (72) Inventor Nobuo Tsumaki 502, Kachimachi, Tsuchiura-shi, Ibaraki Pref.

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】基板上のアルミニウム膜,またはアルミニ
ウムを含む金属化合物からなる膜,またはアルミニウム
を含む膜を有する積層膜を,塩素と三塩化ホウ素の混合
ガスのプラズマを用いてエッチング処理をするプラズマ
処理装置の内部に残留する残留物を除去するプラズマク
リーニング方法であって,プラズマ処理工程とプラズマ
処理装置内のクリーニングのためにプラズマを使用した
プラズマクリーニング工程を有し,プラズクリーニング
工程に,酸素ガスプラズマによるクリーニング工程と,
塩素と三塩化ホウ素の混合ガスのプラズマによるクリー
ニングの工程とを有することを特徴とするプラズマクリ
ーニング方法。
A plasma for etching an aluminum film, a film made of a metal compound containing aluminum, or a laminated film having a film containing aluminum on a substrate by using plasma of a mixed gas of chlorine and boron trichloride. A plasma cleaning method for removing residues remaining inside a processing apparatus, comprising a plasma processing step and a plasma cleaning step using plasma for cleaning the inside of the plasma processing apparatus. Cleaning process by plasma,
A plasma cleaning method using a plasma of a mixed gas of chlorine and boron trichloride.
【請求項2】請求項1記載のプラズマクリーニング方法
において,酸素ガスプラズマによるクリーニングは,塩
素と三塩化ホウ素の混合ガスのプラズマによるクリーニ
ングよりも前に行われることを特徴とするプラズマクリ
ーニング方法。
2. The plasma cleaning method according to claim 1, wherein the cleaning with oxygen gas plasma is performed before the cleaning with plasma of a mixed gas of chlorine and boron trichloride.
【請求項3】請求項1記載のプラズマクリーニング方法
において,酸素ガスプラズマによるクリーニングと塩素
と三塩化ホウ素の混合ガスのプラズマによるクリーニン
グは連続して行われることを特徴とするプラズマクリー
ニング方法。
3. The plasma cleaning method according to claim 1, wherein the cleaning with oxygen gas plasma and the cleaning with plasma of a mixed gas of chlorine and boron trichloride are performed continuously.
JP34756597A 1997-12-17 1997-12-17 Plasma cleaning method in plasma processing apparatus Expired - Lifetime JP3566522B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP34756597A JP3566522B2 (en) 1997-12-17 1997-12-17 Plasma cleaning method in plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP34756597A JP3566522B2 (en) 1997-12-17 1997-12-17 Plasma cleaning method in plasma processing apparatus

Publications (2)

Publication Number Publication Date
JPH11186226A true JPH11186226A (en) 1999-07-09
JP3566522B2 JP3566522B2 (en) 2004-09-15

Family

ID=18391089

Family Applications (1)

Application Number Title Priority Date Filing Date
JP34756597A Expired - Lifetime JP3566522B2 (en) 1997-12-17 1997-12-17 Plasma cleaning method in plasma processing apparatus

Country Status (1)

Country Link
JP (1) JP3566522B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001308068A (en) * 2000-04-24 2001-11-02 Nec Corp Method of cleaning chamber of etching apparatus
US7025855B2 (en) 2001-12-04 2006-04-11 Anelva Corporation Insulation-film etching system
KR100602334B1 (en) * 1999-07-15 2006-07-14 주식회사 하이닉스반도체 A plasma cleaning method
KR20190076868A (en) 2017-12-21 2019-07-02 도쿄엘렉트론가부시키가이샤 Removal method and processing method

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07335626A (en) * 1994-06-10 1995-12-22 Hitachi Ltd Plasma processing device and method
JPH09171999A (en) * 1995-12-20 1997-06-30 Hitachi Ltd Plasma cleaning treatment method

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07335626A (en) * 1994-06-10 1995-12-22 Hitachi Ltd Plasma processing device and method
JPH09171999A (en) * 1995-12-20 1997-06-30 Hitachi Ltd Plasma cleaning treatment method

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100602334B1 (en) * 1999-07-15 2006-07-14 주식회사 하이닉스반도체 A plasma cleaning method
JP2001308068A (en) * 2000-04-24 2001-11-02 Nec Corp Method of cleaning chamber of etching apparatus
US7025855B2 (en) 2001-12-04 2006-04-11 Anelva Corporation Insulation-film etching system
KR20190076868A (en) 2017-12-21 2019-07-02 도쿄엘렉트론가부시키가이샤 Removal method and processing method
US10460988B2 (en) 2017-12-21 2019-10-29 Tokyo Electron Limited Removal method and processing method

Also Published As

Publication number Publication date
JP3566522B2 (en) 2004-09-15

Similar Documents

Publication Publication Date Title
JP3594759B2 (en) Plasma processing method
US5756400A (en) Method and apparatus for cleaning by-products from plasma chamber surfaces
JP2674488B2 (en) Dry etching chamber cleaning method
EP0416774B1 (en) A method of treating a sample of aluminium-containing material
US6437512B1 (en) Plasma generator
JP3502096B2 (en) Plasma cleaning method for removing residue in plasma processing apparatus
JPH0336300B2 (en)
JP3798491B2 (en) Dry etching method
JP3170783B2 (en) Semiconductor device wiring forming method and manufacturing apparatus
JP3566522B2 (en) Plasma cleaning method in plasma processing apparatus
JPH1140502A (en) Method for dry-cleaning semiconductor manufacturing apparatus
US6329294B1 (en) Method for removing photoresist mask used for etching of metal layer and other etching by-products
JP3449741B2 (en) Plasma etching method
JPH06302565A (en) Plasma cleaning method for chamber
JP2000012523A (en) Manufacturing semiconductor device
JP3307239B2 (en) Plasma cleaning method
IL109698A (en) Device for etching thin layers
JP3727312B2 (en) Plasma processing method for plasma processing apparatus
JPH113880A (en) Method for processing semiconductor wafer
JPS62177189A (en) Method for local reforming of solid surface by plasma
JPH11251292A (en) Method and apparatus for treating with halogen-containing gas
JPH04155911A (en) Manufacture of semiconductor device
JPH0714824A (en) Plasma treatment
JPH0322532A (en) Dry etching
JP2000123323A (en) Production of thin film magnetic head

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040601

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040610

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080618

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080618

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090618

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090618

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100618

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100618

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110618

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110618

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120618

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120618

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130618

Year of fee payment: 9

EXPY Cancellation because of completion of term