JPH06302565A - Plasma cleaning method for chamber - Google Patents

Plasma cleaning method for chamber

Info

Publication number
JPH06302565A
JPH06302565A JP8711893A JP8711893A JPH06302565A JP H06302565 A JPH06302565 A JP H06302565A JP 8711893 A JP8711893 A JP 8711893A JP 8711893 A JP8711893 A JP 8711893A JP H06302565 A JPH06302565 A JP H06302565A
Authority
JP
Japan
Prior art keywords
gas
plasma
chamber
cleaning
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8711893A
Other languages
Japanese (ja)
Inventor
Hiroshi Imai
宏 今井
Michinari Yamanaka
通成 山中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP8711893A priority Critical patent/JPH06302565A/en
Publication of JPH06302565A publication Critical patent/JPH06302565A/en
Pending legal-status Critical Current

Links

Landscapes

  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PURPOSE:To clean a chamber without releasing vacuum by using plasma of gas containing at least hydrogen or at least hydroxyl group when the chamber is cleaned after dry etching. CONSTITUTION:Cleaning using plasma of mixed gas of methane (CH4) and N2 is conducted. First, chlorine content included in a deposit in a chamber 1 is reacted with hydrogen radical or ion generated from the CH4 in the cleaning gas plasma to become hydrochloride (HCl) to be removed. Methyl (CH3) group generated in the plasma is reacted with aluminum in the deposit in the chamber 1 to become trimethyl aluminum (Al(CH3)3), etc. Since the trimethyl aluminum has a vapor pressure of 157mmHg at 80 deg.C, it can be easily vaporized in a vacuum chamber and removed from the chamber 1.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は半導体製造装置のクリー
ニング方法に関するものであり、特に、金属薄膜のドラ
イエッチング後のチャンバーのプラズマクリーニング方
法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor manufacturing apparatus cleaning method, and more particularly to a plasma cleaning method for a chamber after dry etching of a metal thin film.

【0002】[0002]

【従来の技術】半導体装置の電極配線形成工程において
は、種々の金属薄膜の選択的エッチングが行なわれてい
る。ところが、このエッチングの際に、エッチングガス
と被エッチング材との反応生成物の一部がチャンバー内
に付着することが避けられない。この付着物の量が多く
なると、チャンバー内の雰囲気の不安定性の要因とな
り、エッチングの再現性の低下を引き起こす。また、チ
ャンバー内のクリーン度の低下の原因にもなって、エッ
チング時にパーティクルの発生を招き、ひいては、エッ
チングの不良につながってしまう。従来、このチャンバ
ー内の付着物を除去するために、酸素(O2)ガスのプ
ラズマを用いたクリーニングや三弗化窒素(NF3)な
どの弗素(F)を含むガスのプラズマを用いたクリーニ
ングを行なってきた。また、NF3とH2Oとの混合ガス
をクリーニングガスとして用いるドライクリーニング方
法(特開平2−94522)、NF3やSF6とH2との
混合ガスをクリーニングガスとして用いるドライクリー
ニング方法(特開平2−304923)なども提案され
ている。
2. Description of the Related Art In the process of forming electrode wiring of a semiconductor device, various metal thin films are selectively etched. However, during this etching, it is inevitable that a part of the reaction product of the etching gas and the material to be etched adheres to the inside of the chamber. When the amount of the deposits increases, it becomes a factor of instability of the atmosphere in the chamber, which causes deterioration of etching reproducibility. Further, it also causes a decrease in cleanliness in the chamber, which causes particles to be generated during etching, and eventually leads to defective etching. Conventionally, in order to remove deposits in this chamber, cleaning using plasma of oxygen (O 2 ) gas or cleaning using plasma of gas containing fluorine (F) such as nitrogen trifluoride (NF 3 ). Has been done. In addition, a dry cleaning method using a mixed gas of NF 3 and H 2 O as a cleaning gas (JP-A-2-94522), a dry cleaning method using a mixed gas of NF 3 or SF 6 and H 2 as a cleaning gas (special Kaihei 2-304923) and the like are also proposed.

【0003】しかし、酸素(O2)ガスのプラズマを用
いたクリーニングでは、エッチング中にスパッタされた
フォトレジスト等の有機系物質がチャンバー内に再付着
したものを除去できるだけである。また、三弗化窒素
(NF3)などの弗素を含むガスのプラズマを用いたク
リーニングでは、弗素ラジカルと反応して気化しやすい
シリコン(Si)等からなる付着物が除去できるにすぎ
ない。また、NF3とH2Oとの混合ガスをクリーニング
ガスとして用いる方法でも、有機系物質がチャンバー内
に再付着したものやシリコン(Si)等からなる付着物
が除去できるにすぎない。NF3やSF6とH2との混合
ガスをクリーニングガスとして用いる方法は、チャンバ
ー内付着、堆積した残留臭素分を除去するためのもので
ある。ところが、塩素系または臭素系のガスを用いた金
属薄膜のエッチングでは、チャンバー内に、金属と塩素
または臭素とを含む反応生成物が付着する場合が多く、
この付着物は、従来の酸素(O2)ガスのプラズマや三
弗化窒素(NF3)などの弗素を含むガスのプラズマを
用いたクリーニングでは、除去することが困難である。
一方、これらのプラズマを用いたクリーニングでチャン
バー内の付着物を完全に除去できない場合は、チャンバ
ーの真空を破って洗浄することにより、付着物の除去を
行なっていた。したがって、塩素系または臭素系のガス
を用いた金属薄膜のエッチング後のチャンバークリーニ
ングでは、チャンバーの真空を破って洗浄することが必
須となっている。
However, the cleaning using the plasma of oxygen (O 2 ) gas can only remove the organic substances such as the photoresist sputtered during the etching which are redeposited in the chamber. Further, cleaning using a plasma of a gas containing fluorine such as nitrogen trifluoride (NF 3 ) can only remove deposits made of silicon (Si) or the like that easily react with fluorine radicals and vaporize. Further, the method of using a mixed gas of NF 3 and H 2 O as a cleaning gas can only remove the redeposited organic substance in the chamber and the adhered substance such as silicon (Si). The method of using a mixed gas of NF 3 or SF 6 and H 2 as a cleaning gas is for removing the residual bromine content that has adhered and accumulated in the chamber. However, in etching a metal thin film using a chlorine-based or bromine-based gas, a reaction product containing a metal and chlorine or bromine often adheres to the inside of the chamber.
This deposit is difficult to remove by conventional cleaning using plasma of oxygen (O 2 ) gas or plasma of gas containing fluorine such as nitrogen trifluoride (NF 3 ).
On the other hand, when the deposits in the chamber cannot be completely removed by the cleaning using these plasmas, the deposits are removed by breaking the vacuum of the chamber and cleaning. Therefore, in chamber cleaning after etching a metal thin film using a chlorine-based or bromine-based gas, it is essential to break the vacuum of the chamber and perform cleaning.

【0004】[0004]

【発明が解決しようとする課題】上述のように、従来の
プラズマを用いたドライエッチング後のチャンバークリ
ーニング方法においては、塩素系または臭素系のガスを
用いた金属薄膜のエッチングの際にチャンバー内に付着
した金属と塩素または臭素とを含む反応生成物を除去す
ることが困難であった。このことは、エッチングの再現
性の低下や、エッチング時のパーティクルの発生による
エッチングの不良につながってしまうという問題を生じ
る。そして、チャンバーの真空を破って洗浄することに
なるが、これには、(1)チャンバーを再び真空に戻
し、残留空気の影響をなくすために一定時間のダミー放
電を行なう必要があり、エッチング装置の再立ち上げに
非常に時間がかかる、(2)洗浄作業に時間がかかる、
(3)エッチングに使用するガスが反応性の高い有毒ガ
スであるため、作業者の安全に対して危険が伴う、とい
う問題があった。
As described above, in the conventional chamber cleaning method after dry etching using plasma, when the metal thin film is etched using chlorine or bromine gas, the inside of the chamber is It was difficult to remove the reaction product containing the adhered metal and chlorine or bromine. This causes problems that the reproducibility of etching is deteriorated and that etching is defective due to generation of particles during etching. Then, the vacuum of the chamber is broken and cleaned. (1) It is necessary to return the chamber to the vacuum again and perform dummy discharge for a certain period of time to eliminate the influence of residual air. It takes a very long time to restart, (2) It takes a long time for cleaning work,
(3) Since the gas used for etching is a highly reactive poisonous gas, there is a problem in that it is dangerous for the safety of the operator.

【0005】本発明は、上記事情を考慮してなされたも
のであり、その目的は、塩素系あるいは臭素系のガスを
用いた金属薄膜のドライエッチング後にチャンバー内に
付着した反応生成物の除去を効率よく行なうことがで
き、チャンバーの真空を破ることなくクリーニングが可
能なプラズマクリーニング方法を提供することにある。
The present invention has been made in consideration of the above circumstances, and an object thereof is to remove reaction products adhering to the inside of a chamber after dry etching of a metal thin film using a chlorine-based or bromine-based gas. It is an object of the present invention to provide a plasma cleaning method that can be efficiently performed and can be cleaned without breaking the vacuum of the chamber.

【0006】[0006]

【課題を解決するための手段】この目的を達成するため
に、本発明は、次のような手段を用いている。すなわ
ち、本発明に係るチャンバーのプラズマクリーニング方
法では、塩素系あるいは臭素系のガスを用いた金属薄膜
のドライエッチング後にチャンバーをクリーニングする
方法において、少なくとも水素を含むガスのプラズマを
用いることとするか、あるいは、少なくとも水酸基を含
むガスのプラズマを用いることとしている。
In order to achieve this object, the present invention uses the following means. That is, in the plasma cleaning method of the chamber according to the present invention, in the method of cleaning the chamber after dry etching of a metal thin film using a chlorine-based or bromine-based gas, plasma of gas containing at least hydrogen is used, Alternatively, plasma of a gas containing at least a hydroxyl group is used.

【0007】[0007]

【作用】本発明では、上記の方法により、塩素系あるい
は臭素系のガスを用いた金属薄膜のドライエッチング後
にチャンバー内に付着した反応生成物は、少なくとも水
素を含む有機化合物のガスのプラズマを用いた場合に
は、付着した反応生成物中の塩素あるいは臭素が水素と
結合して除去され、また金属は、前記の有機化合物から
水素が脱離したものとの間で蒸気圧の高い化合物を形成
できるため、付着した反応生成物は通常のプラズマクリ
ーニング方法により容易に除去することができる。
According to the present invention, the reaction product deposited in the chamber after dry etching of a metal thin film using a chlorine-based or bromine-based gas by the above method uses plasma of an organic compound gas containing at least hydrogen. If it is present, chlorine or bromine in the attached reaction product is removed by combining with hydrogen, and the metal forms a compound with a high vapor pressure with the above-mentioned organic compound from which hydrogen has been desorbed. Therefore, the attached reaction product can be easily removed by an ordinary plasma cleaning method.

【0008】また、少なくとも水酸基を含むガスのプラ
ズマを用いた場合には、付着した反応生成物中の金属
が、たとえば、水酸基を含むガスがアルコールである場
合は金属アルコキシド化合物となるように、アルコキシ
ド類似の化合物となって除去されるため、付着した反応
生成物は通常のプラズマクリーニング方法により容易に
除去することができる。
When plasma of a gas containing at least a hydroxyl group is used, the metal in the attached reaction product becomes a metal alkoxide compound, for example, when the gas containing a hydroxyl group is alcohol. Since the similar compound is removed, the attached reaction product can be easily removed by a usual plasma cleaning method.

【0009】以上により、チャンバー内に付着した反応
生成物の除去を効率よく行なうことができる。上記のよ
うに、本発明のチャンバーのプラズマクリーニング方法
においては、塩素系あるいは臭素系のガスを用いた金属
薄膜のドライエッチング後にチャンバー内に付着した反
応生成物の除去を効率よく行なうことができ、チャンバ
ーの真空を破ることなくクリーニングを行なうことがで
きる。
As described above, the reaction products attached to the chamber can be efficiently removed. As described above, in the chamber plasma cleaning method of the present invention, it is possible to efficiently remove the reaction product attached in the chamber after dry etching of a metal thin film using a chlorine-based or bromine-based gas, Cleaning can be performed without breaking the vacuum of the chamber.

【0010】[0010]

【実施例】以下、本発明の実施例を図面を参照しながら
説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0011】(第1実施例)本実施例は本発明の請求項
1の発明を具体化したものである。
(First Embodiment) This embodiment embodies the invention of claim 1 of the present invention.

【0012】図1に本発明実施例に使用したRIE(反
応性イオンエッチング)装置の概略構成図を示す。図1
において、チャンバー(真空処理室)1には、ガス導入
口2および排気口3が設けられている。このチャンバー
1内には、試料載置電極(カソード)4が配置され、こ
の試料載置電極4に対向するようにチャンバー1の上端
に対向電極(アノード)5が取り付けられている。試料
載置電極4には、高周波電源6からマッチング回路7を
介して高周波電力が印加され、対向電極5は接地されて
いる。なお、試料載置電極4は冷却機構8によって冷却
される。そして、試料載置電極4の上に被エッチング試
料9が載置される。
FIG. 1 is a schematic block diagram of an RIE (reactive ion etching) device used in the embodiment of the present invention. Figure 1
In the chamber (vacuum processing chamber) 1, a gas introduction port 2 and an exhaust port 3 are provided. A sample mounting electrode (cathode) 4 is arranged in the chamber 1, and a counter electrode (anode) 5 is attached to the upper end of the chamber 1 so as to face the sample mounting electrode 4. High frequency power is applied to the sample mounting electrode 4 from a high frequency power source 6 through a matching circuit 7, and the counter electrode 5 is grounded. The sample mounting electrode 4 is cooled by the cooling mechanism 8. Then, the sample 9 to be etched is placed on the sample placing electrode 4.

【0013】図2に本発明実施例に用いた試料の断面図
を示す。ここで、試料は、シリコン基板10の上に絶縁
酸化膜として二酸化シリコン(SiO2)膜11を形成
し、この上に、膜厚0.8μmのアルミニウム−シリコ
ン−銅合金膜(Al−Si−Cu膜)12をスパッタ法
により形成する。さらにその上に、公知のフォトリソグ
ラフィー技術により、フォトレジストのマスクパターン
13を形成したものである。
FIG. 2 shows a sectional view of the sample used in the embodiment of the present invention. Here, in the sample, a silicon dioxide (SiO 2 ) film 11 is formed as an insulating oxide film on a silicon substrate 10, and an aluminum-silicon-copper alloy film (Al-Si-) having a film thickness of 0.8 μm is formed thereon. Cu film) 12 is formed by the sputtering method. Further, a mask pattern 13 of photoresist is formed thereon by a known photolithography technique.

【0014】この試料に対し、上記のRIE装置を用い
て、マスクパターン 13 をエッチングマスクとして、
SiCl4とCl2とCHCl3とN2の混合ガスを用いた
エッチングを行なう。エッチングの圧力は20Pa、周
波数13.56MHzの高周波電力密度は1W/cm2であ
る。エッチング後のチャンバー内に付着しているものと
しては、Alと塩素との反応生成物やSiと塩素との反
応生成物、さらには、レジストのスパッタ分解物、およ
びこれらが混ざり合ったものなどがある。
Using the mask pattern 13 as an etching mask for this sample, using the above-mentioned RIE apparatus,
Etching is performed using a mixed gas of SiCl 4 , Cl 2 , CHCl 3 and N 2 . The etching pressure is 20 Pa, and the high frequency power density at a frequency of 13.56 MHz is 1 W / cm 2 . The substances adhering to the inside of the chamber after etching include reaction products of Al and chlorine, reaction products of Si and chlorine, sputter decomposition products of resist, and a mixture of these. is there.

【0015】ここで、NF3ガスのプラズマによるチャ
ンバーのクリーニングとO2ガスのプラズマによるチャ
ンバーのクリーニングを行ない、その後、メタン(CH
4)とN2の混合ガスのプラズマを用いてチャンバーをク
リーニングする。ここで、メタン(CH4)とN2の混合
ガスのプラズマを用いたクリーニングの際、チャンバー
の内壁の温度を60℃以上に保持する。
Here, cleaning of the chamber with plasma of NF 3 gas and cleaning of the chamber with plasma of O 2 gas are performed, and then methane (CH
4 ) Clean the chamber using plasma of a mixed gas of N 2 and N 2 . Here, at the time of cleaning using plasma of a mixed gas of methane (CH 4 ) and N 2 , the temperature of the inner wall of the chamber is maintained at 60 ° C. or higher.

【0016】ここで、NF3ガスのプラズマによるチャ
ンバーのクリーニングにより、主として、弗素ラジカル
と反応して気化しやすいシリコン(Si)等からなる付
着物が除去できる。O2ガスのプラズマを用いたクリー
ニングでは、主に、エッチング中にスパッタされたフォ
トレジスト等の有機系物質がチャンバー内に再付着した
ものを除去できる。
Here, by cleaning the chamber with the plasma of NF 3 gas, it is possible to remove the deposit mainly made of silicon (Si) or the like that easily reacts with the fluorine radicals and is easily vaporized. In the cleaning using the plasma of O 2 gas, it is possible to mainly remove the organic substances such as photoresist sputtered during the etching that are re-deposited in the chamber.

【0017】そして、メタン(CH4)とN2の混合ガス
のプラズマを用いたクリーニングでは、まず、チャンバ
ー内の付着物に含まれている塩素成分が、クリーニング
ガスプラズマ中のCH4から生じた水素ラジカルまたは
イオンと反応して塩化水素(HCl)となって除去され
る。そして、プラズマ中で生成したメチル(CH3)基
がチャンバー内の付着物中のアルミニウムと反応してト
リメチルアルミニウム(Al(CH3)3)などとなり、こ
のトリメチルアルミニウムは、蒸気圧が80℃で157
mmHgあるため、真空チャンバー中では容易に気化する
ことができ、チャンバーから除去することができる。こ
こで、N2ガスは、プラズマ中でメチル基が生成しやす
くするとともに、トリメチルアルミニウムを安定化させ
る働きをするものである。
In the cleaning using the plasma of a mixed gas of methane (CH 4 ) and N 2 , the chlorine component contained in the deposit in the chamber was generated from CH 4 in the cleaning gas plasma. It reacts with hydrogen radicals or ions to become hydrogen chloride (HCl) and is removed. Then, the methyl (CH 3 ) group generated in the plasma reacts with aluminum in the deposit in the chamber to become trimethylaluminum (Al (CH 3 ) 3 ) and the like, and this trimethylaluminum has a vapor pressure of 80 ° C. 157
Since it is in mmHg, it can be easily vaporized in a vacuum chamber and can be removed from the chamber. Here, the N 2 gas serves to stabilize the formation of trimethylaluminum while facilitating the formation of a methyl group in plasma.

【0018】このようにして、塩素系ガスを用いたアル
ミニウム合金のエッチング後にチャンバー内に付着した
反応生成物の除去を効率よく行なうことができる。そし
て、このクリーニング後に、再び、SiCl4とCl2
CHCl3とN2の混合ガスを用いた反応性イオンエッチ
ング(RIE)によりAl−Si−Cu合金のエッチン
グを行なう時、クリーニング処理前の初期と比較して、
エッチング速度、エッチング形状、マスク(レジスト)
や下地との選択比などのエッチング特性に変化は生じな
い。
In this way, the reaction products adhering to the inside of the chamber can be efficiently removed after the etching of the aluminum alloy using the chlorine-based gas. Then, after this cleaning, when the Al-Si-Cu alloy is etched again by reactive ion etching (RIE) using a mixed gas of SiCl 4 , Cl 2 , CHCl 3 and N 2 , the initial state before the cleaning process is performed. Compared to
Etching rate, etching shape, mask (resist)
There is no change in etching characteristics such as the selection ratio with respect to the base and the base.

【0019】なお、本実施例におけるクリーニングに際
し、水素を含む有機化合物のガスのプラズマとして、C
4とN2の混合ガスのプラズマを用いたが、代わりに、
エタン(C26)、プロパン(C38)、ブタン(C4
10)、エチレン(C24)、プロピレン(C36)、
ブチレン(C48)、アセトン(CH3COCH3)のい
ずれかのガスのプラズマもしくはこれらを組み合わせた
混合ガスのプラズマ、または、各ガスに、弗素(F)を
含むガスおよび酸素(O2)および窒素(N2)の各単独
ガスもしくはそれらを組み合わせた混合ガスを加えたガ
スのプラズマを用いることができる。さらに、これら
に、Ar、He等の不活性ガスを添加して用いることも
できる。また、本実施例で用いたNF3の代わりに、S
6、CF4、ClF3などの弗素を含むガスのプラズマ
を用いることもできる。また、弗素を含むガスのプラズ
マを用いたクリーニングとO2ガスのプラズマを用いた
クリーニングとを分けた場合について説明したが、弗素
を含むガスとO2ガスとの混合ガスのプラズマを用いて
クリーニングを行なってもよい。同様に、本実施例で示
した各種のクリーニングガスについて、クリーニング処
理の際、適当なガスを選択して、ガスおよび処理の組合
せを最適なものに変形して応用が可能である。そして、
クリーニングの際のチャンバーの内壁の温度を適切なも
のとすることで、クリーニング効果を高めることができ
る。
During the cleaning in this embodiment, as a plasma of a gas of an organic compound containing hydrogen, C
A plasma of a mixed gas of H 4 and N 2 was used, but instead,
Ethane (C 2 H 6 ), propane (C 3 H 8 ), butane (C 4
H 10), ethylene (C 2 H 4), propylene (C 3 H 6),
Plasma of gas of butylene (C 4 H 8 ) or acetone (CH 3 COCH 3 ) or plasma of mixed gas combining these gases, or gas containing fluorine (F) and oxygen (O 2 ) And nitrogen (N 2 ) each of which is a single gas, or a plasma of a gas to which a mixed gas of a combination thereof is added can be used. Further, an inert gas such as Ar or He may be added to these and used. Further, instead of NF 3 used in this example, S
It is also possible to use plasma of a gas containing fluorine such as F 6 , CF 4 , ClF 3 or the like. Further, the case where the cleaning using the plasma of the gas containing fluorine and the cleaning using the plasma of the O 2 gas are described separately, but the cleaning is performed using the plasma of the mixed gas of the gas containing the fluorine and the O 2 gas. May be performed. Similarly, it is possible to apply various cleaning gases shown in this embodiment by selecting an appropriate gas at the time of cleaning processing and transforming the combination of gas and processing into the optimum one. And
The cleaning effect can be enhanced by setting the temperature of the inner wall of the chamber at the time of cleaning to be appropriate.

【0020】また、本実施例では、エッチングガスとし
て、SiCl4とCl2とCHCl3とN2の混合ガスを用
いているが、これ以外にBCl3やHClなどの塩素系
ガス、BBr3、HBr、Br2などの臭素系ガスをエッ
チングガスとして用いる場合にも、本発明を適用するこ
とができる。さらに、本実施例では、エッチング対象の
金属として、Al−Si−Cu合金膜を選んだが、他の
アルミニウム(Al)、シリコン(Si)、チタン(T
i)、モリブデン(Mo)などの金属膜もしくはこれら
の金属を含む合金膜またはそれらからなる積層膜を塩素
系あるいは臭素系ガスをエッチングガスとしてドライエ
ッチングする場合も同様の効果が得られる。
Further, in this embodiment, a mixed gas of SiCl 4 , Cl 2 , CHCl 3 and N 2 is used as the etching gas, but in addition to this, chlorine-based gas such as BCl 3 or HCl, BBr 3 , The present invention can also be applied when using a bromine-based gas such as HBr or Br 2 as an etching gas. Further, in this embodiment, an Al-Si-Cu alloy film is selected as the metal to be etched, but other aluminum (Al), silicon (Si), titanium (T
The same effect can be obtained when dry etching a metal film such as i), molybdenum (Mo) or the like, an alloy film containing these metals, or a laminated film made of them is used as a chlorine-based or bromine-based gas as an etching gas.

【0021】また、エッチングおよびクリーニングの方
法も、RIEのほかに、マグネトロンRIEやECRエ
ッチングなどを用いてもよい。
As the etching and cleaning method, magnetron RIE or ECR etching may be used instead of RIE.

【0022】(第2実施例)本実施例は本発明の請求項
2の発明を具体化したものである。
(Second Embodiment) This embodiment embodies the invention of claim 2 of the present invention.

【0023】本実施例に使用したRIE(反応性イオン
エッチング)装置は、第1実施例に使用したRIE装置
と同様のものである。図1に本実施例に使用したRIE
装置の概略構成図を示す。また、本実施例に用いた試料
は、第1実施例に用いた試料と同様のものである。図2
に本発明実施例に用いた試料の断面図を示す。ここで、
試料は、シリコン基板1の上に絶縁酸化膜として二酸化
シリコン(SiO2)膜2を形成し、この上に、膜厚0.
8μmのアルミニウム−シリコン−銅合金膜(Al−S
i−Cu膜)3をスパッタ法により形成する。さらにそ
の上に、公知のフォトリソグラフィー技術により、フォ
トレジストのマスクパターン4を形成したものである。
The RIE (reactive ion etching) device used in this embodiment is the same as the RIE device used in the first embodiment. FIG. 1 shows the RIE used in this example.
The schematic block diagram of an apparatus is shown. The sample used in this example is the same as the sample used in the first example. Figure 2
The sectional view of the sample used in the example of the present invention is shown in FIG. here,
In the sample, a silicon dioxide (SiO 2 ) film 2 is formed as an insulating oxide film on a silicon substrate 1, and a film thickness of 0.1 is formed on the silicon dioxide (SiO 2 ) film 2.
8 μm aluminum-silicon-copper alloy film (Al-S
An i-Cu film) 3 is formed by the sputtering method. Further, a mask pattern 4 of photoresist is formed thereon by a known photolithography technique.

【0024】この試料に対し第1実施例と同様のエッチ
ングを行なう。すなわち、上記のRIE装置を用いて、
マスクパターン13をエッチングマスクとして、SiC
4とCl2とCHCl3とN2の混合ガスを用いたエッチ
ングを行なう。エッチングの圧力は20Pa、周波数1
3.56MHzの高周波電力密度は1W/cm2である。エ
ッチング後のチャンバー内に付着しているものとして
は、Alと塩素との反応生成物やSiと塩素との反応生
成物、さらには、レジストのスパッタ分解物、およびこ
れらが混ざり合ったものなどがある。
The same etching as in the first embodiment is performed on this sample. That is, using the above RIE device,
Using the mask pattern 13 as an etching mask, SiC
Etching is performed using a mixed gas of l 4 , Cl 2 , CHCl 3 and N 2 . Etching pressure is 20 Pa, frequency 1
The high frequency power density at 3.56 MHz is 1 W / cm 2 . The substances adhering to the inside of the chamber after etching include reaction products of Al and chlorine, reaction products of Si and chlorine, sputter decomposition products of resist, and a mixture of these. is there.

【0025】ここで、NF3ガスのプラズマによるチャ
ンバーのクリーニングを行ない、その後、イソプロピル
アルコール(i-C37OH)とO2の混合ガスのプラズ
マを用いてチャンバーをクリーニングする。ここで、イ
ソプロピルアルコール(i-C 37OH)とO2の混合ガ
スのプラズマを用いたクリーニングの際、チャンバーの
内壁の温度を100℃以上に保持する。
Where NF3Gas plasma plasma
Clean the chamber and then use isopropyl
Alcohol (i-C3H7OH) and O2Mixed gas plasm
Use a marker to clean the chamber. Where i
Sopropyl alcohol (i-C 3H7OH) and O2A mixed moth
Of the chamber during cleaning with plasma
The temperature of the inner wall is maintained at 100 ° C or higher.

【0026】ここで、NF3ガスのプラズマによるチャ
ンバーのクリーニングにより、主として、弗素ラジカル
と反応して気化しやすいシリコン(Si)等からなる付
着物が除去できる。
Here, by cleaning the chamber with the plasma of NF 3 gas, it is possible to remove the deposit mainly made of silicon (Si) or the like which easily reacts with the fluorine radicals and is easily vaporized.

【0027】そして、イソプロピルアルコール(i-C3
7OH)とO2の混合ガスのプラズマを用いたクリーニ
ングでは、まず、チャンバー内の付着物に含まれている
塩素成分が、クリーニングガスプラズマ中のi-C37
Hから生じた水素ラジカルまたはイオンと反応してHC
lとなって除去される。そして、プラズマ中で生成した
アルコキシル基(i-OC37)がチャンバー内の付着物
中のアルミニウムと反応してトリイソプロポキシアルミ
ニウム(Al(i-OC37)3)となり、このトリイソプ
ロポキシアルミニウムは、蒸気圧が132℃で8.3mm
Hgあるため、真空チャンバー中では容易に気化するこ
とができ、チャンバーから除去することができる。プラ
ズマ中の酸素成分(O)は、主に、エッチング中にスパ
ッタされたフォトレジスト等の有機系物質がチャンバー
内に再付着したものを除去できる。
Then, isopropyl alcohol (i-C 3
In cleaning using plasma of a mixed gas of (H 7 OH) and O 2 , first, the chlorine component contained in the deposit in the chamber is changed to i-C 3 H 7 O in the cleaning gas plasma.
HC by reacting with hydrogen radicals or ions generated from H
It becomes 1 and is removed. Then, the alkoxyl group (i-OC 3 H 7 ) generated in the plasma reacts with aluminum in the deposit in the chamber to form triisopropoxyaluminum (Al (i-OC 3 H 7 ) 3 ). Isopropoxy aluminum has a vapor pressure of 8.3 mm at 132 ° C.
Due to the presence of Hg, it can be easily vaporized in the vacuum chamber and removed from the chamber. The oxygen component (O) in the plasma can mainly remove the organic substance such as the photoresist sputtered during the etching and redeposited in the chamber.

【0028】このようにして、塩素系ガスを用いたアル
ミニウム合金のエッチング後にチャンバー内に付着した
反応生成物の除去を効率よく行なうことができる。そし
て、このクリーニング後に、再び、SiCl4とCl2
CHCl3とN2の混合ガスを用いた反応性イオンエッチ
ング(RIE)によりAl−Si−Cu合金のエッチン
グを行なう時、クリーニング処理前の初期と比較して、
エッチング速度、エッチング形状、マスク(レジスト)
や下地との選択比などのエッチング特性に変化は生じな
い。
In this way, it is possible to efficiently remove the reaction products adhering to the inside of the chamber after etching the aluminum alloy using the chlorine-based gas. Then, after this cleaning, when the Al-Si-Cu alloy is etched again by reactive ion etching (RIE) using a mixed gas of SiCl 4 , Cl 2 , CHCl 3 and N 2 , the initial state before the cleaning process is performed. Compared to
Etching rate, etching shape, mask (resist)
There is no change in etching characteristics such as the selection ratio with respect to the base and the base.

【0029】なお、本実施例におけるクリーニングに際
し、水酸基を含むガスのプラズマとして、i-C37OH
とO2の混合ガスのプラズマを用いたが、代わりに、メ
タノール(CH3OH)、エタノール(C25OH)、
ブチルアルコール(C49OH)、のいずれかのガスの
プラズマもしくはこれらを組み合わせた混合ガスのプラ
ズマ、または、各ガスに、弗素(F)を含むガスおよび
酸素(O2)および窒素(N2)の各単独ガスもしくはそ
れらを組み合わせた混合ガスを加えたガスのプラズマを
用いることができる。さらに、これらに、Ar、He等
の不活性ガスを添加して用いることもできる。また、本
実施例で用いたNF3の代わりに、SF6、CF4、Cl
3などの弗素を含むガスのプラズマを用いることもで
きる。また、弗素を含むガスのプラズマを用いたクリー
ニングとO2ガスのプラズマを用いたクリーニングとを
分けた場合について説明したが、弗素を含むガスとO2
ガスとの混合ガスのプラズマを用いてクリーニングを行
なってもよい。同様に、本実施例で示した各種のクリー
ニングガスについて、クリーニング処理の際、適当なガ
スを選択して、ガスおよび処理の組合せを最適なものに
変形して応用が可能である。そして、クリーニングの際
のチャンバーの内壁の温度を適切なものとすることで、
クリーニング効果を高めることができる。
In the cleaning of this embodiment, i-C 3 H 7 OH was used as plasma of a gas containing a hydroxyl group.
A mixed gas plasma of O and O 2 was used, but instead of this, methanol (CH 3 OH), ethanol (C 2 H 5 OH),
Butyl alcohol (C 4 H 9 OH), a plasma of any gas, or a plasma of a mixed gas in which these gases are combined, or a gas containing fluorine (F) in each gas and oxygen (O 2 ) and nitrogen (N It is possible to use the plasma of a gas obtained by adding the individual gases of 2 ) or a mixed gas combining them. Further, an inert gas such as Ar or He may be added to these and used. Further, instead of NF 3 used in this example, SF 6 , CF 4 , Cl
It is also possible to use plasma of a gas containing fluorine such as F 3 . Further, the case where the cleaning using the plasma of the gas containing fluorine and the cleaning using the plasma of the O 2 gas are explained separately, but the gas containing the fluorine and the O 2 gas are explained.
Cleaning may be performed using plasma of a mixed gas with a gas. Similarly, it is possible to apply various cleaning gases shown in this embodiment by selecting an appropriate gas at the time of cleaning processing and transforming the combination of gas and processing into the optimum one. And by making the temperature of the inner wall of the chamber at the time of cleaning appropriate,
The cleaning effect can be enhanced.

【0030】また、本実施例では、エッチングガスとし
て、SiCl4とCl2とCHCl3とN2の混合ガスを用
いているが、これ以外にBCl3やHClなどの塩素系
ガス、BBr3、HBr、Br2などの臭素系ガスをエッ
チングガスとして用いる場合にも、本発明を適用するこ
とができる。さらに、本実施例では、被エッチング物と
して、Al−Si−Cu合金膜を選んだが、他のアルミ
ニウム(Al)、シリコン(Si)、チタン(Ti)、
モリブデン(Mo)、などの金属膜もしくはこれらの金
属を含む合金膜またはそれらからなる積層膜を塩素系あ
るいは臭素系ガスをエッチングガスとしてドライエッチ
ングする場合も同様の効果が得られる。
In this embodiment, a mixed gas of SiCl 4 , Cl 2 , CHCl 3 and N 2 is used as the etching gas, but other than this, chlorine-based gas such as BCl 3 or HCl, BBr 3 , The present invention can also be applied when using a bromine-based gas such as HBr or Br 2 as an etching gas. Further, in the present embodiment, an Al-Si-Cu alloy film is selected as the etching target, but other aluminum (Al), silicon (Si), titanium (Ti),
The same effect can be obtained when dry etching a metal film such as molybdenum (Mo), an alloy film containing these metals, or a laminated film made of them using chlorine or bromine gas as an etching gas.

【0031】また、エッチングおよびクリーニングの方
法も、RIEのほかに、マグネトロンRIEやECRエ
ッチングなどを用いてもよい。
Further, as the etching and cleaning method, magnetron RIE or ECR etching may be used in addition to RIE.

【0032】[0032]

【発明の効果】本発明は、塩素系あるいは臭素系のガス
を用いた金属薄膜のドライエッチング後にチャンバーを
クリーニングする方法において、少なくとも水素を含む
有機化合物のガスのプラズマを用いることとするか、あ
るいは、少なくとも水酸基を含むガスのプラズマを用い
ることとする、というものである。これにより、塩素系
あるいは臭素系のガスを用いた金属薄膜のドライエッチ
ング後にチャンバー内に付着した反応生成物の除去を効
率よく行なうことができ、チャンバーの真空を破ること
なくクリーニングが可能となる。
According to the present invention, in a method of cleaning a chamber after dry etching a metal thin film using a chlorine-based or bromine-based gas, plasma of an organic compound gas containing at least hydrogen is used, or That is, plasma of a gas containing at least a hydroxyl group is used. As a result, the reaction products adhering to the inside of the chamber can be efficiently removed after dry etching of the metal thin film using a chlorine-based or bromine-based gas, and cleaning can be performed without breaking the chamber vacuum.

【0033】したがって、塩素系あるいは臭素系のガス
を用いた金属薄膜のドライエッチングの再現性が向上
し、また、エッチング時のパーティクルの発生が抑制で
きることによりエッチング不良率が低減できる。これら
は、LSIの高集積化をさらに進める上で、大きな効果
をもたらす。そして、クリーニングをチャンバーの真空
を破ることなく実施することができるので、エッチング
装置の稼働率の向上がもたらされる効果があるととも
に、作業者が毒性の強い化学物質に触れることがなくな
り、安全性の面でも効果が大きい。
Therefore, the reproducibility of dry etching of a metal thin film using a chlorine-based or bromine-based gas is improved, and the generation of particles during etching can be suppressed to reduce the etching defect rate. These have a great effect in further increasing the integration of the LSI. Further, since the cleaning can be performed without breaking the vacuum of the chamber, there is an effect that the operating rate of the etching apparatus is improved, and the worker is prevented from coming into contact with a highly toxic chemical substance, so that safety can be improved. The effect is also large.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明実施例に使用したRIE(反応性イオン
エッチング)装置の概略構成図
FIG. 1 is a schematic configuration diagram of an RIE (reactive ion etching) device used in an embodiment of the present invention.

【図2】本発明実施例に用いた試料の断面図FIG. 2 is a sectional view of a sample used in an example of the present invention.

【符号の説明】[Explanation of symbols]

1 チャンバー(真空反応室) 2 ガス導入口 3 排気口 4 試料載置電極(カソード) 5 対向電極(アノード) 6 高周波電源 7 マッチング回路 8 冷却機構 9 被エッチング試料 10 シリコン基板 11 二酸化シリコン(SiO2)膜 12 アルミニウム−シリコン−銅合金膜(Al−Si
−Cu膜) 13 マスクパターン
1 chamber (vacuum reaction chamber) 2 gas inlet 3 exhaust port 4 sample mounting electrode (cathode) 5 counter electrode (anode) 6 high frequency power supply 7 matching circuit 8 cooling mechanism 9 sample to be etched 10 silicon substrate 11 silicon dioxide (SiO 2 ) Film 12 Aluminum-silicon-copper alloy film (Al-Si
-Cu film) 13 mask pattern

Claims (6)

【特許請求の範囲】[Claims] 【請求項1】塩素系あるいは臭素系のガスを用いた金属
薄膜のドライエッチング後にチャンバーをクリーニング
する方法において、少なくとも水素を含む有機化合物の
ガスのプラズマを用いることを特徴とするチャンバーの
プラズマクリーニング方法。
1. A plasma cleaning method for a chamber, characterized in that plasma of a gas of an organic compound containing at least hydrogen is used in a method of cleaning the chamber after dry etching a metal thin film using a chlorine-based or bromine-based gas. .
【請求項2】塩素系あるいは臭素系のガスを用いた金属
薄膜のドライエッチング後にチャンバーをクリーニング
する方法において、少なくとも水酸基を含むガスのプラ
ズマを用いることを特徴とするチャンバーのプラズマク
リーニング方法。
2. A plasma cleaning method for a chamber, wherein plasma of a gas containing at least a hydroxyl group is used in a method of cleaning the chamber after dry etching a metal thin film using a chlorine-based or bromine-based gas.
【請求項3】請求項1記載の水素を含む有機化合物のガ
スのプラズマが、メタン(CH4)、エタン(C
26)、プロパン(C38)、ブタン(C410)、エ
チレン(C24)、プロピレン(C36)、ブチレン
(C48)、アセトン(CH3COCH3)のいずれかの
ガスのプラズマもしくはこれらを組み合わせた混合ガス
のプラズマ、または、各ガスに、弗素(F)を含むガス
および酸素(O2)および窒素(N2)の各単独ガスもし
くはそれらを組み合わせた混合ガスを加えたガスのプラ
ズマであることを特徴とするチャンバーのプラズマクリ
ーニング方法。
3. The plasma of the gas of an organic compound containing hydrogen according to claim 1, wherein the plasma of methane (CH 4 ) or ethane (C
2 H 6), propane (C 3 H 8), butane (C 4 H 10), ethylene (C 2 H 4), propylene (C 3 H 6), butylene (C 4 H 8), acetone (CH 3 COCH 3 ) Plasma of any gas of 3 ) or plasma of a mixed gas combining these, or a gas containing fluorine (F) in each gas, and each single gas of oxygen (O 2 ) and nitrogen (N 2 ) or those A plasma cleaning method for a chamber, wherein the plasma is a gas obtained by adding a mixed gas obtained by combining the above.
【請求項4】請求項2記載の水酸基を含むガスのプラズ
マが、メタノール(CH3OH)、エタノール(C25
OH)、イソプロピルアルコール(i−C37OH)、
ブチルアルコール(C49OH)のいずれかのガスのプ
ラズマもしくはこれらを組み合わせた混合ガスのプラズ
マ、または、これらの各ガスに、弗素(F)を含むガス
および酸素(O2)および窒素(N2)の各単独ガスもし
くはそれらを組み合わせた混合ガスを加えたガスのプラ
ズマであることを特徴とするチャンバーのプラズマクリ
ーニング方法。
4. The plasma of the gas containing a hydroxyl group according to claim 2 is methanol (CH 3 OH), ethanol (C 2 H 5
OH), isopropyl alcohol (i-C 3 H 7 OH ),
Plasma of any gas of butyl alcohol (C 4 H 9 OH) or plasma of a mixed gas of these gases, or a gas containing fluorine (F) and oxygen (O 2 ) and nitrogen ( A plasma cleaning method for a chamber, characterized in that the plasma is a plasma obtained by adding a single gas of N 2 ) or a mixed gas of a combination thereof.
【請求項5】請求項1または2記載の金属薄膜が、アル
ミニウム金属薄膜、またはアルミニウムを含む合金の薄
膜であることを特徴とするチャンバーのプラズマクリー
ニング方法。
5. A plasma cleaning method for a chamber, wherein the metal thin film according to claim 1 is an aluminum metal thin film or a thin film of an alloy containing aluminum.
【請求項6】請求項3または4記載の弗素(F)を含む
ガスが、三弗化窒素(NF3)ガスまたは四弗化炭素
(CF4)ガスまたは六弗化硫黄(SF6)ガスまたは三
弗化塩素(ClF3)ガスであることを特徴とするチャ
ンバーのプラズマクリーニング方法。
6. The gas containing fluorine (F) according to claim 3 or 4, wherein nitrogen trifluoride (NF 3 ) gas, carbon tetrafluoride (CF 4 ) gas or sulfur hexafluoride (SF 6 ) gas is used. Alternatively, there is provided a chamber plasma cleaning method characterized by using chlorine trifluoride (ClF 3 ) gas.
JP8711893A 1993-04-14 1993-04-14 Plasma cleaning method for chamber Pending JPH06302565A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8711893A JPH06302565A (en) 1993-04-14 1993-04-14 Plasma cleaning method for chamber

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8711893A JPH06302565A (en) 1993-04-14 1993-04-14 Plasma cleaning method for chamber

Publications (1)

Publication Number Publication Date
JPH06302565A true JPH06302565A (en) 1994-10-28

Family

ID=13906045

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8711893A Pending JPH06302565A (en) 1993-04-14 1993-04-14 Plasma cleaning method for chamber

Country Status (1)

Country Link
JP (1) JPH06302565A (en)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6149984A (en) * 1995-10-15 2000-11-21 Semiconductor Energy Laboratory, Inc. Laser irradiation method
US6533952B2 (en) * 1999-06-08 2003-03-18 Euv Llc Mitigation of radiation induced surface contamination
JP2013062342A (en) * 2011-09-13 2013-04-04 Toshiba Corp Cleaning method of film forming device
US8673790B2 (en) 2010-06-08 2014-03-18 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device, method of cleaning a process vessel, and substrate processing apparatus
JP2016174059A (en) * 2015-03-17 2016-09-29 東京エレクトロン株式会社 Thin film formation method and thin film formation device
CN114752918A (en) * 2021-01-08 2022-07-15 江苏鲁汶仪器有限公司 Cleaning method of cavity

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6149984A (en) * 1995-10-15 2000-11-21 Semiconductor Energy Laboratory, Inc. Laser irradiation method
US6533952B2 (en) * 1999-06-08 2003-03-18 Euv Llc Mitigation of radiation induced surface contamination
US8673790B2 (en) 2010-06-08 2014-03-18 Hitachi Kokusai Electric Inc. Method of manufacturing a semiconductor device, method of cleaning a process vessel, and substrate processing apparatus
JP2013062342A (en) * 2011-09-13 2013-04-04 Toshiba Corp Cleaning method of film forming device
JP2016174059A (en) * 2015-03-17 2016-09-29 東京エレクトロン株式会社 Thin film formation method and thin film formation device
CN114752918A (en) * 2021-01-08 2022-07-15 江苏鲁汶仪器有限公司 Cleaning method of cavity
CN114752918B (en) * 2021-01-08 2024-06-04 江苏鲁汶仪器股份有限公司 Chamber cleaning method

Similar Documents

Publication Publication Date Title
JP3594759B2 (en) Plasma processing method
JP3502096B2 (en) Plasma cleaning method for removing residue in plasma processing apparatus
US5647953A (en) Plasma cleaning method for removing residues in a plasma process chamber
JPH05267256A (en) Method of cleaning reaction chamber
US7485580B2 (en) Method for removing organic electroluminescent residues from a substrate
JPH0245927A (en) Etching method
JP2008078678A (en) Method for processing plasma
JPH06326059A (en) Etching method of copper thin film
US5801101A (en) Method of forming metal wirings on a semiconductor substrate by dry etching
US20050009356A1 (en) Method of manufacturing semiconductor device and method of cleaning plasma etching apparatus used therefor
JPH06302565A (en) Plasma cleaning method for chamber
JP5233097B2 (en) Substrate processing method, substrate processing apparatus, and storage medium
JP3195066B2 (en) Dry etching method
JPH1140502A (en) Method for dry-cleaning semiconductor manufacturing apparatus
JPH11140675A (en) Method of cleaning vacuum chamber
US6329294B1 (en) Method for removing photoresist mask used for etching of metal layer and other etching by-products
JP3566522B2 (en) Plasma cleaning method in plasma processing apparatus
JP3038827B2 (en) Method for manufacturing semiconductor device
JPH0432228A (en) Dry etching method and manufacture of semiconductor device using it
JP2003068705A (en) Manufacturing method of semiconductor element
JP2003178993A (en) Method of conditioning cvd chamber after cleaning thereof
JPH0855838A (en) Cleaning method of fine machining apparatus
JPH04322425A (en) Etching method for copper film
JPH05259133A (en) Dry etching apparatus and cleaning method therefor
JPH0290521A (en) Manufacture of semiconductor device