JPH11162697A - Spiral resonance device for plasma generation - Google Patents

Spiral resonance device for plasma generation

Info

Publication number
JPH11162697A
JPH11162697A JP9343850A JP34385097A JPH11162697A JP H11162697 A JPH11162697 A JP H11162697A JP 9343850 A JP9343850 A JP 9343850A JP 34385097 A JP34385097 A JP 34385097A JP H11162697 A JPH11162697 A JP H11162697A
Authority
JP
Japan
Prior art keywords
plasma
resonator
frequency
resonance
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP9343850A
Other languages
Japanese (ja)
Inventor
Shimao Yoneyama
詩麻夫 米山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MC Electronics Co Ltd
Original Assignee
MC Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MC Electronics Co Ltd filed Critical MC Electronics Co Ltd
Priority to JP9343850A priority Critical patent/JPH11162697A/en
Publication of JPH11162697A publication Critical patent/JPH11162697A/en
Pending legal-status Critical Current

Links

Landscapes

  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a spiral resonance device capable of coping with drift of the resonance point in a resonator at the time of generating a plasma and capable of generating a plasma with much lower electrical potential. SOLUTION: A spiral resonance device for plasma generation is equipped with a resonator 1 comprising a container 11 structured to permit pressure reduction and supplied with gas for the plasma, a resonance coil 12 wound around the outer circumference of the container, and an outside shield 13 disposed around the outer circumference of the resonance coil 12, and a high-frequency power supply 4 for supplying the resonator 1 with high-frequency power of prescribed frequency, and the electrical length of the resonance coil 12 is set up to be a product of one wave length at the fixed frequency multiplied by an integer. A power-supply control means 5 is annexed to the high-frequency power supply 4 for detecting reflected-wave power from the resonator 1 at the time of generating the plasma and adjusting the prescribed frequency so as to minimize the reflected-wave power.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、半導体基板などに
エッチング、アッシング、CVD等の処理を施すプラズ
マ処理装置に適用されるプラズマ生成用の螺旋共振装置
であって、プラズマ発生時の共振器における共振点のず
れに対応でき、電気的ポテンシャルの一層低いプラズマ
を生成できる螺旋共振装置に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a spiral resonator for generating plasma applied to a plasma processing apparatus for performing processes such as etching, ashing, and CVD on a semiconductor substrate or the like. The present invention relates to a spiral resonance device capable of coping with a shift of a resonance point and generating plasma having a lower electric potential.

【0002】[0002]

【従来の技術】プラズマ処理装置は、例えば、半導体基
板に対するドライエッチング、イオンエッチング、アッ
シング、プラズマ蒸着などの種々の乾式処理に使用され
る。この種の処理の中、例えば、CVD処理において
は、ラジカルによる反応を促進し、イオンダメージを極
力低減することが要求される。すなわち、過剰なイオン
は、基板における層間での材料の混合、酸化物の破壊、
汚染物質の侵入、形質変化などを惹起する。また、高精
度に選択比を規定するエッチング処理などにおいては、
低選択性をもたらすイオン衝撃を避けるのが好ましい。
2. Description of the Related Art A plasma processing apparatus is used for various dry processes such as dry etching, ion etching, ashing, and plasma deposition on a semiconductor substrate. Among such processes, for example, in a CVD process, it is required to promote a reaction by radicals and to reduce ion damage as much as possible. That is, excess ions can cause material mixing between layers in the substrate, oxide breakdown,
Causes invasion of contaminants and changes in traits. In addition, in an etching process for defining a selectivity with high accuracy,
It is preferred to avoid ion bombardment which results in low selectivity.

【0003】米国特許第4368092号、同第491
8031号、同第5234529号などには、均一な分
布のプラズマを効率的に励起し且つプラズマ中のラジカ
ルを有効に利用するため、共振コイルによってプラズマ
を生成する螺旋共振装置に関する技術が提案されてい
る。プラズマ生成用の螺旋共振装置は、減圧可能な放電
管(容器)の外周に共振コイルを巻回して成る共振器お
よび高周波電源によって構成され、放電管に真空状態で
材料ガスを供給し且つ共振器に高周波電力を供給するこ
とにより、放電管内部に誘導プラズマを励起させる装置
である。
[0003] US Patent Nos. 4368092 and 491
No. 8031, No. 5,234,529, etc. propose a technique relating to a spiral resonance device for generating plasma by a resonance coil in order to efficiently excite plasma having a uniform distribution and to effectively use radicals in the plasma. I have. A spiral resonator for plasma generation is composed of a resonator formed by winding a resonance coil around a discharge tube (container) that can be decompressed, and a high-frequency power supply, supplies a material gas in a vacuum state to the discharge tube, and forms a resonator. Is a device for exciting induction plasma inside the discharge tube by supplying high-frequency power to the discharge tube.

【0004】また、上記の螺旋共振装置に関しては、半
導体基板などに対するイオンダメージを低減するため、
プラズマ発生位置と基板との離間距離を大きくしたり、
放電管と共振コイルの間にシールドを介装したり、ある
いは、放電管の内部に接地グリッドを配置してイオンの
対流および拡散を抑制する手段が開示されている。
In the above spiral resonator, in order to reduce ion damage to a semiconductor substrate and the like,
Increasing the separation distance between the plasma generation position and the substrate,
Means of disposing a shield between the discharge tube and the resonance coil or disposing a ground grid inside the discharge tube to suppress convection and diffusion of ions are disclosed.

【0005】しかしながら、プラズマ電位が高い場合に
は、プラズマ生成位置と基板との間に相当な距離があっ
たとしても、プラズマ電位による寄生プラズマが発生
し、基板に向かうイオン電流を抑制することが困難とな
る。また、プラズマ生成位置と基板の離間距離を更に大
きくした場合には、プラズマ電位による寄生プラズマあ
る程度抑制できるものの、ラジカルの再結合による損失
が増大し、ラジカルの有効利用が不可能となる。
However, when the plasma potential is high, even if there is a considerable distance between the plasma generation position and the substrate, a parasitic plasma is generated due to the plasma potential, and the ion current flowing toward the substrate can be suppressed. It will be difficult. Further, when the separation distance between the plasma generation position and the substrate is further increased, parasitic plasma due to the plasma potential can be suppressed to some extent, but the loss due to recombination of radicals increases, making it impossible to effectively use the radicals.

【0006】一方、接地グリッドを設けた場合には、接
地グリッドを介して共振器のグランドや容器のグランド
に流れるイオンの接地グリッドへの衝突により、接地グ
リッドからパーティクルが発生する可能性がある。更
に、接地グリッドは、部分的に電位を持つため、一般的
にグランド電位にあるウェーハ台と接地グリッドとの間
で2次的なプラズマを発生し、これがプロセス上の障害
となることもある。
On the other hand, when a ground grid is provided, particles flowing from the ground grid may be generated by collision of ions flowing to the ground of the resonator or the ground of the container via the ground grid with the ground grid. Further, since the ground grid has a partial potential, a secondary plasma is generally generated between the wafer stage and the ground grid which are generally at the ground potential, and this may cause a process hindrance.

【0007】そこで、本発明者等は、電気的ポテンシャ
ルの低いプラズマを得ることを主眼に鋭意検討した結
果、従来の螺旋共振装置で採用されていた1/2波長あ
るいは1/4波長の共振コイルに替え、全波長モードで
共振するコイルによって定在波を誘導し、放電管内部に
誘導電界を発生させさせるならば、位相電圧と逆位相電
圧が互いに相殺され、位相電圧の切り替わり点、すなわ
ち、電位が略ゼロのノードにおいて、誘導性結合によっ
て極めて電位の低いプラズマが励起されることを見出
し、先に、「誘導結合によるプラズマ放電処理方法」と
して特許出願済みである(国際公開 WO 97/21
332号公報参照)。斯かる公報に開示した螺旋共振装
置においては、電気的ポテンシャルが極めて低いため、
バッフル等のイオン抑制手段を設ける必要がなく、しか
も、プラズマ発生位置に基板を近付けて効率的にラジカ
ルを利用できる。
The inventors of the present invention have conducted intensive studies with a view to obtaining plasma having a low electric potential, and as a result, have found that a half-wavelength or quarter-wavelength resonance coil employed in a conventional helical resonance device has been used. If, instead, a standing wave is induced by a coil that resonates in the all-wavelength mode and an induced electric field is generated inside the discharge tube, the phase voltage and the antiphase voltage cancel each other, and the switching point of the phase voltage, that is, It has been found that a plasma having a very low potential is excited by inductive coupling at a node where the potential is substantially zero, and a patent application has already been filed as a “plasma discharge treatment method by inductive coupling” (International Publication WO 97/21).
332). In the spiral resonator disclosed in such publication, the electric potential is extremely low,
There is no need to provide ion suppression means such as a baffle, and moreover, radicals can be efficiently used by bringing the substrate close to the plasma generation position.

【0008】[0008]

【発明が解決しようとする課題】ところで、本発明者等
が提案した螺旋共振装置においては、更に実用化された
プラズマ装置に適用せんとした場合、次の様な新たな課
題が見出された。すなわち、全波長の定在波を利用する
上記の螺旋共振装置においては、共振コイルと発生した
プラズマとの間の容量結合や誘導結合により、僅かでは
あるが、共振器の本来の共振点に対して共振状態にずれ
が発生する。その結果、共振コイル側の定在波が乱れ、
プラズマの電気的ポテンシャルが若干高くなると言う問
題がある。また、プラズマによる容量結合や誘導結合の
変動は、電源側とのインピーダンスの整合性を損うた
め、電源から共振コイルに至る伝送線路においては、反
射波電力によって実効負荷電力の低下を惹起する。
By the way, in the spiral resonance device proposed by the present inventors, the following new problems have been found when applied to a more practical plasma device. . That is, in the above-described spiral resonator using the standing wave of all wavelengths, the capacitive resonance or the inductive coupling between the resonance coil and the generated plasma causes the resonance point of the resonator to be small, though slightly. Causes a shift in the resonance state. As a result, the standing wave on the resonance coil side is disturbed,
There is a problem that the electrical potential of the plasma is slightly increased. In addition, fluctuations in capacitive coupling and inductive coupling due to plasma impair the impedance matching with the power supply side, so that in the transmission line from the power supply to the resonance coil, the effective load power is reduced by the reflected wave power.

【0009】もっとも、従来の螺旋共振装置や同軸給電
型、対向電極型のプラズマ装置では、容器側のプラズマ
発生回路におけるインピーダンスの変動を調整し、電力
の転送効率を高めるための整合回路(マッチング回路)
が設けられている。しかしながら、プラズマ状態も常に
変動するため、インピーダンス整合回路によっては完全
な整合が得られていない。
However, in a conventional spiral resonance device, a coaxial power supply type, and a counter electrode type plasma device, a matching circuit (matching circuit) for adjusting the fluctuation of impedance in the plasma generating circuit on the container side and improving the power transfer efficiency. )
Is provided. However, since the plasma state always fluctuates, perfect matching has not been obtained with some impedance matching circuits.

【0010】本発明は、全波長の定在波を利用した上記
の螺旋共振装置における効用を一層高めるべくなされた
ものであり、その目的は、半導体基板などにエッチン
グ、アッシング、CVD等の処理を施すプラズマ処理装
置に適用されるプラズマ生成用の螺旋共振装置であっ
て、プラズマ発生時の共振器における共振点のずれに対
応でき、電気的ポテンシャルの一層低いプラズマを生成
できる螺旋共振装置を提供することにある。また、反射
波電力による実効負荷電力の低下を確実に補完できる螺
旋共振装置を提供することにある。
The present invention has been made to further enhance the utility of the above-described spiral resonator using standing waves of all wavelengths, and an object of the present invention is to carry out processes such as etching, ashing, and CVD on a semiconductor substrate or the like. Provided is a spiral resonator for plasma generation applied to a plasma processing apparatus to be applied, which can cope with a shift of a resonance point in a resonator at the time of plasma generation and can generate plasma with a lower electric potential. It is in. Another object of the present invention is to provide a helical resonance device that can reliably compensate for a decrease in effective load power due to reflected wave power.

【0011】[0011]

【課題を解決するための手段】上記の課題を解決するた
め、本発明の螺旋共振装置は、減圧可能に構成され且つ
プラズマ用ガスが供給される容器と、当該容器の外周に
巻回された共振コイルと、当該共振コイルの外周に配置
された外側シールドとから成る共振器、および、当該共
振器に所定周波数の高周波電力を供給する高周波電源を
備え、かつ、前記共振コイルの電気的長さが前記所定周
波数における1波長の整数倍に設定されたプラズマ生成
用の螺旋共振装置において、前記高周波電源には、プラ
ズマが発生した際の前記共振器からの反射波電力を検出
し、反射波電力が最小となる様に前記所定周波数を増減
させる電源制御手段が付設されていることを特徴する。
In order to solve the above-mentioned problems, a spiral resonator according to the present invention is configured to be capable of reducing pressure and is provided with a container to which a plasma gas is supplied and a container wound around the container. A resonator comprising a resonance coil and an outer shield disposed on the outer periphery of the resonance coil; and a high-frequency power supply for supplying high-frequency power of a predetermined frequency to the resonator, and an electrical length of the resonance coil. Is set to an integral multiple of one wavelength at the predetermined frequency, wherein the high-frequency power source detects reflected wave power from the resonator when plasma is generated, Power supply control means for increasing or decreasing the predetermined frequency so as to minimize.

【0012】上記の螺旋共振装置において、高周波電源
に付設された特定の電源制御手段は、発生したプラズマ
の容量結合や誘導結合の変動による共振器における共振
点のずれを高周波電源側で補償する。すなわち、電源制
御手段は、プラズマの容量結合や誘導結合の変動による
反射波電力を検出し、反射波電力が最小となる様に、反
射波電力の発生要因である共振周波数のずれに相当する
分だけ前記の所定周波を増減させることにより、プラズ
マ条件下における共振器の共振周波数の高周波を出力さ
せる。また、電力の転送効率を高めるため、電源制御手
段は、反射波電力と同等の電力を加算出力させる機能を
有していてもよい。
In the above spiral resonator, the specific power supply control means attached to the high-frequency power supply compensates for the shift of the resonance point in the resonator due to the variation of the capacitive coupling or the inductive coupling of the generated plasma on the high-frequency power supply side. That is, the power supply control means detects the reflected wave power due to the fluctuation of the capacitive coupling or the inductive coupling of the plasma, and detects the reflected wave power by a factor corresponding to the shift of the resonance frequency which is a factor of the reflected wave power so as to minimize the reflected wave power. Only by increasing or decreasing the predetermined frequency, a high frequency of the resonance frequency of the resonator under the plasma condition is output. Further, in order to increase the power transfer efficiency, the power supply control means may have a function of adding and outputting power equivalent to the reflected wave power.

【0013】[0013]

【発明の実施の形態】本発明に係るプラズマ生成用の螺
旋共振装置の実施形態を図面に基づいて説明する。図1
は、プラズマ生成用の螺旋共振装置の基本構成およびプ
ラズマの発生位置を示す模式図である。図2は、プラズ
マ生成用の螺旋共振装置における電源制御手段を示すブ
ロック図である。図3は、電源制御手段における周波数
制御回路の一例を示す回路ブロック図である。図4は、
プラズマ生成用の螺旋共振装置の適用例を示すプラズマ
処理装置の縦断面図である。以下、実施形態の説明にお
いては、プラズマ生成用の螺旋共振装置を「共振装置」
と略記する。また、被処理物としての半導体基板または
半導体素子を「基板」と略記する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of a spiral resonator for plasma generation according to the present invention will be described with reference to the drawings. FIG.
FIG. 2 is a schematic diagram showing a basic configuration of a spiral resonator for plasma generation and a position where plasma is generated. FIG. 2 is a block diagram showing power supply control means in the spiral resonance device for plasma generation. FIG. 3 is a circuit block diagram showing an example of a frequency control circuit in the power supply control means. FIG.
FIG. 9 is a longitudinal sectional view of a plasma processing apparatus showing an application example of a spiral resonator for plasma generation. Hereinafter, in the description of the embodiment, a spiral resonator for plasma generation is referred to as a “resonator”.
Abbreviated. A semiconductor substrate or a semiconductor element as an object to be processed is abbreviated as “substrate”.

【0014】本発明の共振装置は、図1に示す様に、概
略、減圧可能に構成され且つプラズマ用ガスが供給され
る容器(11)と、容器(11)の外周に巻回された共
振コイル(12)と、共振コイル(12)の外周に配置
され外側シールド(13)とから成る共振器(1)、お
よび、共振器(1)に所定周波数の高周波電力を供給す
る高周波電源(4)を備えている。
As shown in FIG. 1, the resonance device of the present invention is generally configured to be capable of reducing pressure, and has a container (11) to which a plasma gas is supplied, and a resonance coil wound around the outer periphery of the container (11). A resonator (1) comprising a coil (12) and an outer shield (13) arranged on the outer periphery of the resonance coil (12); and a high-frequency power supply (4) for supplying high-frequency power of a predetermined frequency to the resonator (1). ).

【0015】容器(11)は、通常、高純度の石英硝子
やセラミックスにて円筒状に形成された所謂チャンバー
である。容器(11)は、一般的なプラズマ装置に適用
する場合、軸線が垂直になる様に配置され、上下端を気
密に封止し得る様に構成される。図示しないが、容器
(11)には、真空ポンプに接続され且つ容器(11)
内部を真空引きするための排気管、および、材料ガス供
給設備から伸長され且つ所要のプラズマ用ガスを所定流
量で供給するためのガス供給管が付設される。
The container (11) is usually a so-called chamber made of high-purity quartz glass or ceramics in a cylindrical shape. When applied to a general plasma apparatus, the container (11) is arranged so that its axis is vertical, and is configured so that the upper and lower ends can be hermetically sealed. Although not shown, the container (11) is connected to a vacuum pump and is connected to the container (11).
An exhaust pipe for evacuating the inside and a gas supply pipe extending from the material gas supply facility and supplying a required plasma gas at a predetermined flow rate are additionally provided.

【0016】共振コイル(12)は、所定の波長の定在
波を形成するため、全波長モードで共振する様に巻径、
巻回ピッチ、巻数が設定される。すなわち、共振コイル
(12)の電気的長さは、高周波電源(4)から与えら
れる電力の所定周波数における1波長の整数倍(1倍,
2倍,…)に設定される。
The resonance coil (12) has a winding diameter so as to resonate in all wavelength modes in order to form a standing wave having a predetermined wavelength.
The winding pitch and the number of turns are set. That is, the electric length of the resonance coil (12) is an integral multiple (1 time, 1 time) of one wavelength at a predetermined frequency of the power supplied from the high frequency power supply (4).
...).

【0017】具体的には、印加する電力や発生させる磁
界強度または適用する装置の外形などを勘案し、共振コ
イル(12)は、例えば、800kHz〜50MHz、
0.5〜5KWの高周波電力によって0.01〜10ガ
ウス程度の磁場を発生し得る様に、50〜300mm2
有効断面積であって且つ200〜500mmのコイル直径
とされ、容器(1)の外周側に2〜60回程度巻回され
る。なお、共振コイル(12)を構成する素材として
は、銅パイプ、銅の薄板、アルミニウムパイプ、アルミ
ニウム薄板、ポリマーベルトに銅またはアルミニウムを
蒸着した素材などが使用される。
Specifically, considering the applied power, the intensity of the magnetic field to be generated, and the outer shape of the device to be applied, the resonance coil (12) is, for example, 800 kHz to 50 MHz,
The container (1) has an effective sectional area of 50 to 300 mm 2 and a coil diameter of 200 to 500 mm so that a magnetic field of about 0.01 to 10 Gauss can be generated by a high frequency power of 0.5 to 5 KW. About 2 to 60 turns. As a material constituting the resonance coil (12), a copper pipe, a copper thin plate, an aluminum pipe, an aluminum thin plate, a material in which copper or aluminum is deposited on a polymer belt, or the like is used.

【0018】また、共振コイル(12)の一端または両
端は、当該共振コイルの電気的長さを設置の際に微調整
し、共振特性を高周波電源(4)と略等しくするため、
通常は可動タップを介して接地される。更に、位相及び
逆位相電流が共振コイル(12)の電気的中点に関して
対称に流れる様に、共振コイル(12)の一端(若しく
は他端または両端)には、コイル及びシールドから成る
波形調整回路が挿入されてもよい。波形調整回路は、共
振コイル(12)の端部を電気的に非接続状態とするか
又は電気的に等価の状態に設定することにより開路に構
成する。また、共振コイル(12)の端部は、チョーク
直列抵抗によって非接地とし、固定基準電位に直流接続
されてもよい。
One end or both ends of the resonance coil (12) is finely adjusted at the time of installation to make the electrical length of the resonance coil fine so that the resonance characteristics are substantially equal to those of the high-frequency power supply (4).
Usually, it is grounded via a movable tap. Further, a waveform adjusting circuit including a coil and a shield is provided at one end (or the other end or both ends) of the resonance coil (12) so that phase and anti-phase currents flow symmetrically with respect to the electrical midpoint of the resonance coil (12). May be inserted. The waveform adjusting circuit is configured to be open by setting an end of the resonance coil (12) to an electrically disconnected state or an electrically equivalent state. Further, the end of the resonance coil (12) may be ungrounded by a choke series resistor, and may be DC-connected to a fixed reference potential.

【0019】外側シールド(13)は、共振コイル(1
2)の外側の電界を遮蔽すると共に、共振回路を構成す
るのに必要な容量成分(C成分)を共振コイル(12)
との間に形成するために設けられる。外側シールド(1
3)は、一般的には、アルミニウム合金、銅または銅合
金などの導電性材料を使用して円筒状に構成される。外
側シールド(13)は、共振コイル(12)の外周から
5〜150mm程度隔てて配置される。そして、通常、
外側シールド(13)は、共振コイル(12)の両端と
電位が等しくなる様に接地されるが、共振コイル(1
2)の共振数を正確に設定するため、外側シールド(1
3)の一端または両端は、タップ位置を調整可能になさ
れたり、あるいは、共振コイル(12)と外側シールド
(13)の間には、トリミングキャパシタンスが挿入さ
れてもよい。
The outer shield (13) is provided with a resonance coil (1).
The electric field outside of 2) is shielded, and a capacitance component (C component) necessary for forming a resonance circuit is added to the resonance coil (12).
To be formed between them. Outer shield (1
3) is generally formed in a cylindrical shape using a conductive material such as an aluminum alloy, copper or a copper alloy. The outer shield (13) is arranged at a distance of about 5 to 150 mm from the outer periphery of the resonance coil (12). And usually,
The outer shield (13) is grounded so that the potential is equal to both ends of the resonance coil (12).
In order to accurately set the resonance number of 2), the outer shield (1
At one end or both ends of 3), the tap position can be adjusted, or a trimming capacitance may be inserted between the resonance coil (12) and the outer shield (13).

【0020】高周波電源(4)は、基本的には通常のR
fゼネレータであり、図2に示す様に、発振周波数およ
び出力を規定するための高周波発振回路およびプリアン
プを含む電源制御手段(コントロール回路)(5)と、
所定の出力に増幅するための増幅器(出力回路)(4
1)とを備えている。すなわち、電源制御手段(5)
は、操作パネルを通じて予め設定された周波数および電
力に関する出力条件に基づいて増幅器(41)を制御
し、増幅器(41)は、上記の共振コイル(12)に伝
送線路(45)を介して一定の高周波電力を供給する。
The high frequency power supply (4) is basically a normal R
f generator, as shown in FIG. 2, a power control means (control circuit) (5) including a high-frequency oscillation circuit for defining an oscillation frequency and an output, and a preamplifier;
An amplifier (output circuit) for amplifying to a predetermined output (4)
1). That is, the power control means (5)
Controls the amplifier (41) based on preset output conditions related to frequency and power through the operation panel, and the amplifier (41) is connected to the resonance coil (12) via a transmission line (45). Supply high frequency power.

【0021】具体的には、高周波電源(4)としては、
80kHz〜800MHzの周波数の電力を供給可能な
高周波発生器が使用可能である。典型的には、コムデル
社(Comdel Inc)製の商品名「CX−3000」として
知られる固定周波数型の高周波電源(周波数:28.1
2MHz、出力:3KW)が挙げられる。また、IFI
社製の商品名「TCCX3500」として知られる高出
力の高帯域増幅をヒューレットパッカード社製の商品名
「HP116A」として知られる0〜50MHzのパル
ス発生器と共に使用することにより、800kHz〜5
0MHzの周波数域で2kWの出力が可能な可変周波数
電源を構成できる。
Specifically, as the high frequency power supply (4),
A high-frequency generator capable of supplying power at a frequency of 80 kHz to 800 MHz can be used. Typically, a fixed-frequency type high-frequency power supply (frequency: 28.1) known as “CX-3000” manufactured by Comdel Inc.
2 MHz, output: 3 KW). Also, IFI
The use of a high-power, high-bandwidth amplification known as "TCCX3500" manufactured by Hewlett-Packard Company, together with a 0-50 MHz pulse generator known as "HP116A" manufactured by Hewlett-Packard Company, provides a frequency range of 800 kHz to 5 kHz.
A variable frequency power supply capable of outputting 2 kW in a frequency range of 0 MHz can be configured.

【0022】高周波電源(4)から共振コイル(12)
に電力を供給するための伝送線路(45)としては、ポ
リエチレン樹脂の同軸絶縁シースを有する定格の同軸ケ
ーブル、具体的には、フジクラ社製の型式「RG−17
/U」として公知のケーブル等が使用される。そして、
伝送線路(45)は、共振コイル(12)の接地された
両端の間のあるポイントに可動タップを介して接続され
てもよい。
From the high frequency power supply (4) to the resonance coil (12)
As a transmission line (45) for supplying power to a cable, a rated coaxial cable having a coaxial insulating sheath made of polyethylene resin, specifically, a model “RG-17” manufactured by Fujikura Co., Ltd.
A known cable or the like is used as "/ U". And
The transmission line (45) may be connected via a movable tap to a point between the grounded ends of the resonance coil (12).

【0023】ところで、共振コイル(12)によって構
成されるプラズマ発生回路は、RLCの並列共振回路で
あり、高周波電源(4)の波長と共振コイル(12)の
電気的長さが同じ場合、共振器(1)の共振条件は、共
振器(1)の容量成分や誘導成分によって作り出される
リアクタンス成分が相殺され、純抵抗になることであ
る。しかしながら、上記プラズマ発生回路においては、
プラズマを発生させた場合、共振コイル(12)の電圧
部とプラズマとの間の容量結合、容器(11)とプラズ
マの間の誘導結合の変動などにより、そして、プラズマ
の励起状態により、実際の共振周波数が僅かながら変動
する。実際には、共振器(1)の無負荷状態における共
振点よりも僅かに低い周波数で共振する。
The plasma generating circuit constituted by the resonance coil (12) is an RLC parallel resonance circuit, and when the wavelength of the high-frequency power supply (4) and the electrical length of the resonance coil (12) are the same, resonance occurs. The resonance condition of the device (1) is that a reactance component generated by a capacitance component and an inductive component of the resonator (1) is canceled out to become a pure resistance. However, in the above plasma generation circuit,
When the plasma is generated, the actual coupling is caused by the capacitive coupling between the voltage part of the resonance coil (12) and the plasma, the variation of the inductive coupling between the container (11) and the plasma, and the excited state of the plasma. The resonance frequency fluctuates slightly. In practice, the resonator resonates at a frequency slightly lower than the resonance point in the no-load state of the resonator (1).

【0024】そこで、本発明の共振装置においては、プ
ラズマ発生時の共振器(1)における共振のずれを電源
側で補償するため、図2に示す様に、高周波電源(4)
の電源制御手段(5)は、プラズマが発生した際の共振
器(1)からの反射波電力を検出して出力を補完する機
能を有する。斯かる構成により、本発明の共振装置で
は、共振器(1)において一層正確に定在波を形成で
き、容量結合の極めて少ないプラズマを発生させ得る。
Therefore, in the resonance device of the present invention, as shown in FIG. 2, a high-frequency power supply (4) is used for compensating the resonance deviation in the resonator (1) during plasma generation on the power supply side.
The power control means (5) has a function of detecting the power of the reflected wave from the resonator (1) when the plasma is generated and complementing the output. With such a configuration, in the resonance device of the present invention, a standing wave can be formed more accurately in the resonator (1), and plasma with extremely little capacitive coupling can be generated.

【0025】すなわち、上記の電源制御手段(5)は、
プラズマが発生した際の前記の共振器(1)からの反射
波電力を検出し、反射波電力が最小となる様に前記の所
定周波を増加または減少させる。具体的には、電源制御
手段(5)には、予め設定された発振周波数を補正する
周波数制御回路(51)が構成され、かつ、増幅器(4
1)の出力側には、伝送線路(45)における反射波電
力を検出し、その電圧信号を周波数制御回路(51)に
フィードバックする電源制御手段(5)の一部としての
反射波パワーメータ(54)が介装される。
That is, the above-mentioned power supply control means (5)
The reflected wave power from the resonator (1) when plasma is generated is detected, and the predetermined frequency is increased or decreased so that the reflected wave power is minimized. Specifically, the power supply control means (5) includes a frequency control circuit (51) for correcting a preset oscillation frequency, and includes an amplifier (4).
On the output side of 1), a reflected wave power meter (as a part of a power control means (5) for detecting the reflected wave power in the transmission line (45) and feeding back the voltage signal to the frequency control circuit (51)). 54) are interposed.

【0026】周波数制御回路(51)は、図3に示す様
に、反射波パワーメータ(54)からの電圧信号が入力
され且つ当該電圧信号を周波数信号にデジタル変換する
A/Dコンバータ(51a)、変換された反射波に相当
する周波数信号の値と予め設定記憶された発振周波数の
値とを加減算処理する演算処理回路(51b)、加減算
処理して得られた周波数の値を電圧信号にアナログ変換
するD/Aコンバータ(51c)、および、D/Aコン
バータ(51c)からの印加電圧に応じて発振する電圧
制御発振器(51d)によって構成される。従って、周
波数制御回路(51)は、プラズマ点灯前は共振器
(1)の無負荷共振周波数で発振し、プラズマ点灯後は
反射電力が最小となる様に前記所定周波数を増加または
減少させた周波数を発振し、結果的には、伝送線路(4
5)における反射波がゼロとなる様に周波数信号を増幅
器(41)に与える。
As shown in FIG. 3, the frequency control circuit (51) receives an A / D converter (51a) which receives a voltage signal from the reflected wave power meter (54) and converts the voltage signal into a frequency signal. An arithmetic processing circuit (51b) for adding / subtracting the value of the frequency signal corresponding to the converted reflected wave and the value of the oscillation frequency stored in advance, and converting the frequency value obtained by the addition / subtraction processing into a voltage signal It is composed of a D / A converter (51c) for conversion, and a voltage controlled oscillator (51d) that oscillates according to an applied voltage from the D / A converter (51c). Therefore, the frequency control circuit (51) oscillates at the no-load resonance frequency of the resonator (1) before plasma lighting, and increases or decreases the predetermined frequency so that the reflected power is minimized after plasma lighting. And consequently, the transmission line (4
A frequency signal is given to the amplifier (41) so that the reflected wave in 5) becomes zero.

【0027】また、電源制御手段(5)は、反射波電力
と同等の電力を加算出力させる機能を有していてもよ
い。斯かる構成により、本発明の共振装置では、反射波
電力による実効負荷電力の低下を補完でき、共振器
(1)に対して常に所定の電力を送電し得る。具体的に
は、電源制御手段(5)には、予め設定された出力を補
正する出力制御回路(52)が構成され、かつ、増幅器
(41)の出力側には、伝送線路(45)における進行
波電力を検出し、その電圧信号を出力制御回路(52)
にフィードバックする電源制御手段(5)の一部として
の進行波パワーメータ(53)が介装される。そして、
上記の反射波パワーメータ(54)は、伝送線路(4
5)における反射波電力を検出し、その電圧信号を出力
制御回路(52)にフィードバックする様に構成され
る。
The power supply control means (5) may have a function of adding and outputting power equivalent to the reflected wave power. With such a configuration, in the resonance device of the present invention, it is possible to supplement the reduction of the effective load power due to the reflected wave power, and to always transmit a predetermined power to the resonator (1). Specifically, the power control means (5) is provided with an output control circuit (52) for correcting a preset output, and the output side of the amplifier (41) is connected to a transmission line (45). An output control circuit that detects the traveling wave power and outputs the voltage signal
A traveling wave power meter (53) as a part of the power supply control means (5) for feeding back the power is provided. And
The reflected wave power meter (54) is connected to the transmission line (4).
It is configured to detect the reflected wave power in 5) and feed back the voltage signal to the output control circuit (52).

【0028】すなわち、進行波パワーメータ(53)
は、検出された進行波電力を出力制御回路(52)にフ
ィードバックし、また、反射波パワーメータ(54)
は、検出された反射波電力を出力制御回路(52)にフ
ィードバックする。そして、出力制御回路(52)は、
予め設定記憶された出力条件と、進行波パワーメータ
(53)で検出された実際の進行波電力の大きさ及び反
射波パワーメータ(54)で検出された実際の反射波電
力の大きさとに基づき、前記の進行波電力の大きさと反
射波電力の大きさの差が出力制御回路(52)に記憶さ
れた設定値となる様に増幅器(41)を制御する。
That is, a traveling wave power meter (53)
Feeds back the detected traveling wave power to the output control circuit (52), and outputs the reflected wave power meter (54).
Feeds back the detected reflected wave power to the output control circuit (52). The output control circuit (52)
Based on the output conditions set and stored in advance and the magnitude of the actual traveling wave power detected by the traveling wave power meter (53) and the magnitude of the actual reflected wave power detected by the reflected wave power meter (54). The amplifier (41) is controlled so that the difference between the magnitude of the traveling wave power and the magnitude of the reflected wave power becomes the set value stored in the output control circuit (52).

【0029】本発明の共振装置によるプラズマの発生操
作においては、容器(11)の内部を例えば0.01〜
50Torrに減圧した後、前記の真空度を維持しつつ
容器(11)にプラズマ用ガスを供給する。プラズマ用
ガスとしては、従来と同様に、処理に応じて各種の材料
ガスが使用される。例えば、アッシング処理の場合は、
酸素、水素、アルゴン、水などが使用され、また、エッ
チング処理の場合は、フッ素、臭素、塩素などが使用さ
れる。そして、高周波電源(4)から共振器(1)に例
えば27.12MHz、2KWの高周波電力を供給する
と、容器(11)の内部に誘導電界が生じ、その結果、
供給されたガスが容器(11)においてプラズマ化す
る。
In the operation of generating plasma by the resonance device of the present invention, the inside of the vessel (11) is set to, for example, 0.01 to
After reducing the pressure to 50 Torr, a plasma gas is supplied to the container (11) while maintaining the above-mentioned degree of vacuum. As the plasma gas, various material gases are used in accordance with the processing, as in the conventional case. For example, in the case of ashing processing,
Oxygen, hydrogen, argon, water and the like are used. In the case of etching, fluorine, bromine, chlorine and the like are used. When high-frequency power of, for example, 27.12 MHz and 2 KW is supplied from the high-frequency power supply (4) to the resonator (1), an induced electric field is generated inside the container (11).
The supplied gas is turned into plasma in the container (11).

【0030】本発明の共振装置において、高周波電源
(4)に付設された電源制御手段(5)は、発生したプ
ラズマの容量結合や誘導結合の変動による共振器(1)
における共振点のずれを高周波電源(4)側で補償す
る。すなわち、電源制御手段(5)の反射波パワーメー
タ(54)は、プラズマの容量結合や誘導結合の変動に
よる反射波電力を検出し、周波数制御回路(51)は、
反射波電力が最小となる様に、反射波電力の発生要因で
ある共振周波数のずれに相当する分だけ前記の所定周波
を増減させることにより、プラズマ条件下における共振
器(1)の共振周波数の高周波を増幅器(41)に出力
させる。
In the resonance apparatus according to the present invention, the power supply control means (5) attached to the high-frequency power supply (4) includes a resonator (1) based on a change in capacitive coupling or inductive coupling of generated plasma.
At the high frequency power supply (4). That is, the reflected wave power meter (54) of the power supply control means (5) detects the reflected wave power due to the fluctuation of the capacitive coupling or the inductive coupling of the plasma, and the frequency control circuit (51)
By increasing or decreasing the predetermined frequency by an amount corresponding to the shift of the resonance frequency, which is a factor of the reflected wave power, so that the reflected wave power is minimized, the resonance frequency of the resonator (1) under the plasma condition is reduced. The high frequency is output to the amplifier (41).

【0031】換言すれば、本発明の共振装置において
は、プラズマ発生時およびプラズマ生成条件の変動時の
共振器(1)の共振点のずれに応じて正確に共振する周
波数の高周波を出力するため、共振器(1)で一層正確
に定在波を形成できる。すなわち、図1に示す様に、共
振器(1)においては、プラズマを含む当該共振器の実
際の共振周波数の送電により、位相電圧と逆位相電圧が
常に相殺される状態の完全な定在波が形成され、コイル
の電気的中点(電圧がゼロのノード)に最も高い位相電
流が生起される。従って、上記の電気的中点において励
起された誘導プラズマは、容量結合が殆どなく、容器
(11)中には、電気的ポテンシャルの極めて低いドー
ナツ状のプラズマを形成できる。
In other words, the resonance device of the present invention outputs a high frequency having a frequency that accurately resonates according to the shift of the resonance point of the resonator (1) when plasma is generated and when the plasma generation conditions fluctuate. The standing wave can be formed more accurately by the resonator (1). That is, as shown in FIG. 1, in the resonator (1), a complete standing wave in a state where the phase voltage and the anti-phase voltage are always canceled by the transmission of the actual resonance frequency of the resonator including the plasma. Is formed, and the highest phase current is generated at the electrical midpoint of the coil (the node where the voltage is zero). Therefore, the induction plasma excited at the electric midpoint has almost no capacitive coupling, and can form a donut-shaped plasma having an extremely low electric potential in the container (11).

【0032】また、本発明の共振装置において、電源制
御手段(5)は、共振器(1)の共振点のずれ(インピ
ーダンスの不整合)による反射波電力を高周波電源
(4)側で補償する。すなわち、進行波パワーメータ
(53)は、伝送線路(45)における進行波電力を検
出し、その大きさを出力制御回路(52)に出力する。
また、反射波パワーメータ(54)は、伝送線路(4
5)に生じた反射波電力を検出し、その大きさを出力制
御回路(52)に出力する。そして、出力制御回路(5
2)は、反射波電力と同等の電力を加算して新たな設定
値として増幅器(41)に出力させる。
Further, in the resonance device of the present invention, the power supply control means (5) compensates on the high frequency power supply (4) side for the reflected wave power caused by the shift of the resonance point of the resonator (1) (impedance mismatch). . That is, the traveling wave power meter (53) detects the traveling wave power in the transmission line (45) and outputs the magnitude to the output control circuit (52).
The reflected wave power meter (54) is connected to the transmission line (4).
The reflected wave power generated in 5) is detected, and the magnitude is output to the output control circuit (52). Then, the output control circuit (5
2) The power equivalent to the reflected wave power is added, and the added power is output to the amplifier (41) as a new set value.

【0033】伝送線路(45)に生じる反射波電力は、
プラズマの種類によっても相違するが、例えば、最初の
電源出力が上述の様に2KW程度の場合、10〜400
W程度である。勿論、反射波電力の値は、増幅器(4
1)の出力変化によっても変動はするが、電源制御手段
(5)が連続的に応答することにより、最終的には増幅
器(41)からの出力を所期の設定値に限りなく近付け
ることが出来る。従って、本発明の螺旋共振器は、反射
波電力による実効負荷電力の低下を補完することが出
来、共振器(1)に対して常に所期のレベルの高周波電
力を確実に供給できるため、プラズマを安定して発生さ
せることが出来る。また、従来のプラズマ装置の様に、
インピーダンス整合回路を設ける必要もない。
The reflected wave power generated in the transmission line (45) is
For example, if the initial power output is about 2 KW as described above,
It is about W. Of course, the value of the reflected wave power depends on the amplifier (4
Although the output fluctuates depending on the output change of 1), the output from the amplifier (41) can be finally brought as close as possible to the intended set value by the continuous response of the power supply control means (5). I can do it. Therefore, the spiral resonator of the present invention can compensate for the decrease in the effective load power due to the reflected wave power, and can always supply the desired level of high-frequency power to the resonator (1) without fail. Can be generated stably. Also, like the conventional plasma device,
There is no need to provide an impedance matching circuit.

【0034】次に、本発明の共振装置を利用したプラズ
マ処理装置について説明する。本発明の共振装置は、図
4に示す様な高周波無電極放電のプラズマ処理装置
(7)に適用される。プラズマ処理装置(7)は、水平
なベース(70)を備えた架台に上述の共振装置を配置
して構成される。共振装置は、上述の様に、容器(1
1)、容器(11)に巻回された共振コイル(12)、
および、共振コイル(12)の外周に配置されたアルミ
ニウム等の外側シールド(13)から成る共振器(1)
と、高周波電源(4)(図4においては省略)とから主
として構成される。そして、共振器(1)の下方には、
基板(W)を収容する処理室(8)が容器(11)と連
続的する状態で設けられる。
Next, a plasma processing apparatus using the resonance device of the present invention will be described. The resonance apparatus of the present invention is applied to a high frequency electrodeless discharge plasma processing apparatus (7) as shown in FIG. The plasma processing apparatus (7) is configured by arranging the above-described resonator on a pedestal having a horizontal base (70). As described above, the resonance device includes the container (1).
1) a resonance coil (12) wound around a container (11);
And a resonator (1) comprising an outer shield (13) made of aluminum or the like arranged on the outer periphery of the resonance coil (12).
And a high frequency power supply (4) (omitted in FIG. 4). And, below the resonator (1),
A processing chamber (8) for accommodating the substrate (W) is provided so as to be continuous with the container (11).

【0035】容器(11)は、外観的には各種の態様と
することが出来るが、少なくともその底面が開放され、
そして、僅かに拡径された底面周縁をリング状のフラン
ジ(71)によって気密に係止される。容器(11)の
頂部は、例えば、略ドーム状に形成され、頂部の中央に
は、プラズマ用ガスを導入するための細管が一体的に設
けられる。そして、斯かる細管には、各種ガス容器を含
み且つプラズマ用ガスを適当な流量で供給可能なガス供
給装置から伸長されたガス供給管(16)が接続され
る。
The container (11) can have various appearances, but at least its bottom surface is open.
The periphery of the slightly enlarged bottom surface is hermetically locked by a ring-shaped flange (71). The top of the container (11) is formed, for example, in a substantially dome shape, and a thin tube for introducing a plasma gas is integrally provided at the center of the top. A gas supply pipe (16) extending from a gas supply device including various gas containers and capable of supplying a plasma gas at an appropriate flow rate is connected to the thin tube.

【0036】共振コイル(12)は、上述の様な態様で
あり、絶縁性材料にて平板状に形成され且つフランジ
(71)の上端面に鉛直に立設された複数のサポート
(21)によって支持される。共振コイル(12)の両
端は、電気的に接地された外側シールド(13)に接続
され、両端が接地された共振コイル(12)の両接地点
の間のある点は、可動タップを介して高周波電源(4)
(図1参照)に接続される。そして、共振コイル(1
2)の電気的長さは、電源周波数の例えば1波長分に調
整される。共振コイル(12)の一端側もしくは他端側
または両端側の伝送線路には、上述の波形調整回路が挿
入されていてもよい。
The resonance coil (12) is in the above-mentioned mode, and is formed by a plurality of supports (21) which are formed in a flat plate shape from an insulating material and which are vertically provided on the upper end surface of the flange (71). Supported. Both ends of the resonance coil (12) are connected to an outer shield (13) electrically grounded, and a point between both ground points of the resonance coil (12) grounded at both ends is connected via a movable tap. High frequency power supply (4)
(See FIG. 1). Then, the resonance coil (1
The electrical length of 2) is adjusted to, for example, one wavelength of the power supply frequency. The above-described waveform adjustment circuit may be inserted into the transmission line at one end, the other end, or both ends of the resonance coil (12).

【0037】基板(W)が装填される処理室(8)は、
短軸の略有底円筒状に形成され、架台のベース(70)
の下面に吊持される。処理室(8)は、ベース(70)
に形成された開口部及び当該処理室の天板に形成された
開口部を介して容器(11)に連続する。処理室(8)
の底部には、真空ポンプに至る排気管(14)が取り付
けられており、容器(11)及び処理室(8)は、排気
管(14)を通じて所定の真空度に減圧可能に構成され
ている。
The processing chamber (8) in which the substrate (W) is loaded,
It is formed in a substantially cylindrical shape with a short axis and a bottom, and the base of the gantry (70)
Is hung on the lower surface. The processing room (8) has a base (70)
And to the container (11) via the opening formed in the top plate of the processing chamber. Processing room (8)
An exhaust pipe (14) leading to a vacuum pump is attached to the bottom of the container, and the container (11) and the processing chamber (8) are configured to be able to reduce the pressure to a predetermined degree of vacuum through the exhaust pipe (14). .

【0038】処理室(8)には、基板(W)を水平に保
持するホルダー(81)が設けられる。ホルダー(8
1)は、短軸円柱状に形成され且つ基板(W)が搭載さ
れるホルダーブロック(81a)と、処理室(8)の底
板に挿通され且つホルダーブロック(81a)を支持す
る支柱(81b)とから構成される。ホルダーブロック
(81a)は、一般に使用される電磁チャックを備えて
いてもよい。
The processing chamber (8) is provided with a holder (81) for horizontally holding the substrate (W). Holder (8
1) is a holder block (81a) formed in a short-axis cylindrical shape and on which the substrate (W) is mounted, and a column (81b) inserted through the bottom plate of the processing chamber (8) and supporting the holder block (81a). It is composed of The holder block (81a) may include a commonly used electromagnetic chuck.

【0039】支柱(81b)は、固定されていてもよい
が、例えば、サーボモーター及びボールネジ等を組合せ
て成る駆動機構(図示せず)によって昇降可能に構成さ
れる。そして、ホルダーブロック(81a)は、処理室
(8)からベース(70)の開口部を経て容器(1)の
略底部に侵入する様に構成される。更に、ホルダーブロ
ック(81a)が昇降可能な場合、ホルダーブロック
(81a)と処理室(8)の底板との間には、気密に保
持するためのベローズ(82)が介装される。
The column (81b) may be fixed, but is configured to be able to move up and down by a driving mechanism (not shown) composed of a combination of a servomotor and a ball screw, for example. The holder block (81a) is configured to enter the processing chamber (8) through the opening of the base (70) and substantially into the bottom of the container (1). Further, when the holder block (81a) can be moved up and down, a bellows (82) for keeping the airtight is interposed between the holder block (81a) and the bottom plate of the processing chamber (8).

【0040】また、処理室(8)の周面にはゲートバル
ブ(9)が備えられ、基板(W)の装填および排出はゲ
ートバルブ(9)を通じて操作可能に構成される。そし
て、ゲートバルブ(9)には、装置内を常に一定の真空
度に保持するため、処理室(8)と同様の真空度に保持
可能なロードロック容器(図示省略)が接続されてもよ
く、更に、ロードロック容器を介してプレ・ロード容器
が接続されてもよい。ロードロック容器やプレ・ロード
容器は、通常、排気管(14)を吸引する上記の真空ポ
ンプによって減圧される。
A gate valve (9) is provided on the peripheral surface of the processing chamber (8), and loading and discharging of the substrate (W) can be operated through the gate valve (9). A load lock container (not shown) capable of maintaining the same degree of vacuum as the processing chamber (8) may be connected to the gate valve (9) so as to always maintain the inside of the apparatus at a constant degree of vacuum. Further, a pre-load container may be connected via a load lock container. The pressure of the load lock container or the pre-load container is usually reduced by the above-described vacuum pump that sucks the exhaust pipe (14).

【0041】上記の様なのプラズマ処理装置(7)にお
いては、プレ・ロード容器やロードロック容器を通じ、
先ず、被処理物としての基板(W)が処理室(8)に装
填されてホルダー(81)上に保持される。次いで、真
空ポンプの駆動により、排気管(14)を通じて減圧さ
れ、容器(11)及び処理室(8)の内部が例えば10
〜200ミリトールまで減圧される。そして、容器(1
1)内の真空度を維持しつつ、ガス供給管(16)を通
じて上述の様なプラズマ用ガスが供給され且つ高周波電
源(4)から例えば27.12MHzの高周波電力が供
給される。その結果、共振コイル(12)の周囲に誘導
電界が発生し、共振コイル(12)の電気的中点に相当
する例えば容器(11)の中間高さの位置にドーナツ状
の誘導プラズマが励起される。
In the plasma processing apparatus (7) as described above, the pre-load container or the load lock container
First, a substrate (W) as an object to be processed is loaded into a processing chamber (8) and held on a holder (81). Then, the pressure is reduced through the exhaust pipe (14) by driving the vacuum pump, and the inside of the container (11) and the processing chamber (8) is reduced to, for example,
Reduce pressure to ~ 200 mTorr. And the container (1
While maintaining the degree of vacuum in 1), the above-described plasma gas is supplied through the gas supply pipe (16), and high frequency power of, for example, 27.12 MHz is supplied from the high frequency power supply (4). As a result, an induced electric field is generated around the resonance coil (12), and a donut-shaped induction plasma is excited at, for example, an intermediate height position of the container (11) corresponding to an electrical midpoint of the resonance coil (12). You.

【0042】その際、本発明の共振装置は、上述の様
に、高周波電源(4)に付設された電源制御手段(5)
がプラズマの容量結合や誘導結合の変動による共振器
(1)における共振点のずれを補償し、一層正確に定在
波を形成すため、容量結合が殆どなく、電気的ポテンシ
ャルの極めて低いプラズマを容器(11)中に形成でき
る。従って、プラズマ処理装置(7)においては、容器
(11)の下端に装填された基板(W)をラジカルによ
って効果的に処理でき、かつ、基板(W)に対するイオ
ンダメージを防止できる。
At this time, as described above, the resonance device of the present invention uses the power supply control means (5) attached to the high-frequency power supply (4).
Compensates for the shift of the resonance point in the resonator (1) due to fluctuations in the capacitive coupling and inductive coupling of the plasma, and more accurately forms a standing wave. It can be formed in a container (11). Therefore, in the plasma processing apparatus (7), the substrate (W) loaded at the lower end of the container (11) can be effectively treated by radicals, and ion damage to the substrate (W) can be prevented.

【0043】更に、実質的に電位がゼロの領域で発生し
たプラズマ中のイオンは、電気的ポテンシャルが極めて
低く、加速されることがないため、プラズマ処理装置
(7)においては、容器(11)の周壁に対するスパッ
タリング作用がなく、容器(11)の周壁に損傷を与え
ることもない。その結果、装置の寿命を向上させること
が出来、しかも、容器(11)等の部材成分がプラズマ
中に混入して基板(W)を汚染するという不具合も防止
し得る。
Further, the ions in the plasma generated in the region where the potential is substantially zero have an extremely low electric potential and are not accelerated. Therefore, in the plasma processing apparatus (7), the vessel (11) Has no sputtering effect on the peripheral wall of the container, and does not damage the peripheral wall of the container (11). As a result, the life of the apparatus can be improved, and the problem that the components of the container (11) and the like are mixed in the plasma and contaminate the substrate (W) can be prevented.

【0044】また、本発明の共振装置は、上述の様に、
高周波電源(4)に付設された電源制御手段(5)が共
振器(1)で発生するインピーダンスの不整合による反
射波電力を高周波電源(4)側で補償し、実効負荷電力
の低下を補完するため、共振器(1)に対して常に所期
のレベルの高周波電力を確実に供給でき、プラズマを安
定させることが出来る。従って、プラズマ処理装置
(7)においては、容器(11)の下端に装填された基
板(W)を一定のレートで且つ均一に処理できる。
Further, as described above, the resonance device of the present invention
Power supply control means (5) attached to the high-frequency power supply (4) compensates on the high-frequency power supply (4) side for reflected wave power due to impedance mismatch generated in the resonator (1) and complements the reduction in effective load power. Therefore, the desired level of high-frequency power can always be reliably supplied to the resonator (1), and the plasma can be stabilized. Therefore, in the plasma processing apparatus (7), the substrate (W) loaded at the lower end of the container (11) can be uniformly processed at a constant rate.

【0045】ところで、プラズマ処理装置(7)におい
ては、プラズマ用ガスの種類や流量、電界強度といった
処理条件の他、容器(11)内におけるラジカルの流
れ、基板(W)の温度などの種々の条件を制御すること
によっても一層好適な処理が可能である。
In the plasma processing apparatus (7), in addition to the processing conditions such as the type and flow rate of the plasma gas and the electric field intensity, various conditions such as the flow of radicals in the vessel (11) and the temperature of the substrate (W) are used. More suitable processing is possible by controlling the conditions.

【0046】例えば、プラズマ処理装置(7)の一つの
態様において、処理室(8)には、ホルダー(81)の
外周側に位置して当該処理室を上下に仕切る多孔板(8
3)が配置される。斯かる多孔板(83)を配置した場
合には、ガス排気路(14)に吸引されるラジカルの流
れを均一に分散させることが出来、基板(W)の表面を
一層均一に処理できる。
For example, in one embodiment of the plasma processing apparatus (7), a perforated plate (8) which is located on the outer peripheral side of the holder (81) and vertically partitions the processing chamber is provided in the processing chamber (8).
3) is arranged. When such a perforated plate (83) is arranged, the flow of radicals sucked into the gas exhaust path (14) can be dispersed uniformly, and the surface of the substrate (W) can be treated more uniformly.

【0047】また、プラズマ処理装置(7)の他の態様
において、ホルダー(81)は、処理室(8)から電気
的に絶縁され、そして、バイアス電源に接続されて電位
を適宜に調整し得るように構成される。すなわち、ホル
ダーブロック(81a)の少なくとも基板(W)搭載面
は、絶縁されてバイアス電極に構成されていればよい。
これにより、 極度に硬化したレジストの処理などでイ
オン流を利用する場合は、基板(W)の電位を制御して
イオンを加速し、スパッタリング効果を高めることが出
来る。
In another embodiment of the plasma processing apparatus (7), the holder (81) is electrically insulated from the processing chamber (8) and can be connected to a bias power supply to adjust the potential appropriately. It is configured as follows. That is, at least the substrate (W) mounting surface of the holder block (81a) may be insulated and configured as a bias electrode.
Thus, in the case of using an ion stream for processing of an extremely hardened resist or the like, the potential of the substrate (W) can be controlled to accelerate ions and enhance the sputtering effect.

【0048】また、プラズマ処理装置(7)の他の態様
において、ホルダーブロック(81a)には、基板
(W)を適宜に昇温するため、加熱手段が設けられる。
加熱手段は、例えば、シースヒーター、セラミックヒー
タープレート、フィルムヒーター等各種のヒーターをホ
ルダーブロック(81a)の上端面近傍に測温センサー
と共に埋設して構成される。これにより、基板(W)の
温度を高めて反応を促進し、処理効率を向上させること
が出来る。
Further, in another embodiment of the plasma processing apparatus (7), a heating means is provided in the holder block (81a) to appropriately raise the temperature of the substrate (W).
The heating means is configured by embedding various heaters such as a sheath heater, a ceramic heater plate, and a film heater near the upper end surface of the holder block (81a) together with a temperature measurement sensor. Thus, the reaction can be promoted by increasing the temperature of the substrate (W), and the processing efficiency can be improved.

【0049】更に、上記の加熱手段を設ける場合、ホル
ダーブロック(81a)には、加熱手段の下方に冷却手
段が付設されてもよい。冷却手段としては、低温の空
気、窒素などのガスや冷却水、液化ガスが循環するコイ
ルを使用することが出来、斯かるコイルは、ホルダーブ
ロック(81a)内に同様に埋設される。冷却手段を付
設した場合には、基板(W)の温度制御を迅速に行うこ
とが出来る。なお、上記の各種ヒーターに代え、上記コ
イルに加温流体を循環させることにより加熱手段として
も利用できる。
Further, when the above-mentioned heating means is provided, a cooling means may be provided below the heating means in the holder block (81a). As the cooling means, a coil for circulating a gas such as low-temperature air or nitrogen, cooling water, or liquefied gas can be used, and such a coil is similarly embedded in the holder block (81a). When the cooling means is provided, the temperature of the substrate (W) can be quickly controlled. In addition, instead of the above-mentioned various heaters, by circulating a heating fluid through the coil, it can be used as a heating means.

【0050】本発明の共振装置およびプラズマ処理装置
(7)は、電気的ポテンシャルの極めて低いプラズマを
発生させることが出来、従って、また、発生したプラズ
マを容易に制御できるため、アッシングを含むドライエ
ッチング、イオンエッチング、遠隔プラズマ蒸着、イオ
ンプラズマ化学蒸着等のプラズマ化学蒸着などの広範囲
の処理技術に利用可能である。そして、半導体素子、平
坦パネルデイスプレイ、微細加工物などのデバイスの
他、ダイヤモンド、プラスチック等の素材なども対象と
することができる。
The resonance apparatus and the plasma processing apparatus (7) of the present invention can generate plasma having an extremely low electric potential, and can easily control the generated plasma. It can be used for a wide range of processing techniques such as plasma chemical vapor deposition such as ion etching, remote plasma vapor deposition, and ion plasma chemical vapor deposition. In addition to devices such as semiconductor elements, flat panel displays, and microfabricated products, materials such as diamond and plastic can be used.

【0051】[0051]

【発明の効果】以上説明した様に、本発明に係るプラズ
マ生成用の螺旋共振装置によれば、プラズマ発生時の共
振器の共振点のずれに応じて正確に共振する周波数の高
周波を出力するため、共振器で一層正確に定在波を形成
でき、電気的ポテンシャルの一層低いプラズマを発生さ
せることが出来る。また、プラズマ発生時の共振器の不
整合による反射波電力に応じて高周波電源の電力を制御
し、実効負荷電力の低下を補完することが出来るため、
共振器に対して常に所期のレベルの高周波電力を確実に
供給でき、プラズマを安定して発生させることが出来
る。
As described above, according to the spiral resonator for plasma generation according to the present invention, a high frequency having a frequency that accurately resonates in accordance with the shift of the resonance point of the resonator when plasma is generated is output. Therefore, a standing wave can be more accurately formed by the resonator, and plasma having a lower electric potential can be generated. In addition, since the power of the high-frequency power supply is controlled according to the reflected wave power due to the mismatch of the resonator at the time of plasma generation, it is possible to complement the decrease in the effective load power,
The desired level of high-frequency power can always be reliably supplied to the resonator, and plasma can be stably generated.

【図面の簡単な説明】[Brief description of the drawings]

【図1】螺旋共振装置の基本構成およびプラズマ発生位
置を示す模式図
FIG. 1 is a schematic diagram showing a basic configuration of a spiral resonator and a plasma generation position.

【図2】螺旋共振装置における電源制御手段を示すブロ
ック図
FIG. 2 is a block diagram showing power control means in the spiral resonance device.

【図3】電源制御手段における周波数制御回路の一例を
示す回路ブロック図
FIG. 3 is a circuit block diagram showing an example of a frequency control circuit in the power supply control means.

【図4】螺旋共振装置の適用例を示すプラズマ処理装置
の縦断面図
FIG. 4 is a longitudinal sectional view of a plasma processing apparatus showing an application example of a spiral resonance device.

【符号の説明】[Explanation of symbols]

1 :共振器 11:容器 12:共振コイル 13:外側シールド 14:排気管 16:ガス供給管 4 :高周波電源 41:増幅器 5 :電源制御手段 51:周波数制御回路 52:出力制御回路 53:進行波パワーメータ 54:反射波パワーメータ 7 :プラズマ処理装置 8 :処理室 82:ホルダー W :基板 1: resonator 11: container 12: resonance coil 13: outer shield 14: exhaust pipe 16: gas supply pipe 4: high-frequency power supply 41: amplifier 5: power supply control means 51: frequency control circuit 52: output control circuit 53: traveling wave Power meter 54: Reflected wave power meter 7: Plasma processing device 8: Processing chamber 82: Holder W: Substrate

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 FI H01L 21/31 H01L 21/302 B ──────────────────────────────────────────────────の Continued on front page (51) Int.Cl. 6 Identification code FI H01L 21/31 H01L 21/302 B

Claims (2)

【特許請求の範囲】[Claims] 【請求項1】 減圧可能に構成され且つプラズマ用ガス
が供給される容器と、当該容器の外周に巻回された共振
コイルと、当該共振コイルの外周に配置された外側シー
ルドとから成る共振器、および、当該共振器に所定周波
数の高周波電力を供給する高周波電源を備え、かつ、前
記共振コイルの電気的長さが前記所定周波数における1
波長の整数倍に設定されたプラズマ生成用の螺旋共振装
置において、前記高周波電源には、プラズマが発生した
際の前記共振器からの反射波電力を検出し、反射波電力
が最小となる様に前記所定周波数を増減させる電源制御
手段が付設されていることを特徴とする螺旋共振装置。
1. A resonator comprising: a container configured to be capable of reducing pressure and supplied with a plasma gas; a resonance coil wound around the outer periphery of the container; and an outer shield disposed around the outer periphery of the resonance coil. And a high-frequency power supply for supplying high-frequency power of a predetermined frequency to the resonator, and the electrical length of the resonance coil is 1 at the predetermined frequency.
In the spiral resonator for plasma generation set to an integral multiple of the wavelength, the high-frequency power supply detects the reflected wave power from the resonator when plasma is generated, so that the reflected wave power is minimized. A spiral resonance device, further comprising a power supply control means for increasing and decreasing the predetermined frequency.
【請求項2】 電源制御手段が、反射波電力と同等の電
力を加算出力させる機能を有している請求項1に記載の
螺旋共振装置。
2. The spiral resonance device according to claim 1, wherein the power supply control means has a function of adding and outputting power equivalent to the reflected wave power.
JP9343850A 1997-11-28 1997-11-28 Spiral resonance device for plasma generation Pending JPH11162697A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP9343850A JPH11162697A (en) 1997-11-28 1997-11-28 Spiral resonance device for plasma generation

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP9343850A JPH11162697A (en) 1997-11-28 1997-11-28 Spiral resonance device for plasma generation

Publications (1)

Publication Number Publication Date
JPH11162697A true JPH11162697A (en) 1999-06-18

Family

ID=18364727

Family Applications (1)

Application Number Title Priority Date Filing Date
JP9343850A Pending JPH11162697A (en) 1997-11-28 1997-11-28 Spiral resonance device for plasma generation

Country Status (1)

Country Link
JP (1) JPH11162697A (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003077893A (en) * 2001-08-31 2003-03-14 Kem Kk Plasma reactor
JP2003525519A (en) * 2000-03-01 2003-08-26 東京エレクトロン株式会社 Electrically controllable plasma uniformity in dense plasma sources
JP2005269869A (en) * 2004-03-22 2005-09-29 Daihen Corp High-frequency power supply unit
US7150805B2 (en) 2003-09-08 2006-12-19 Sharp Kabushiki Kaisha Plasma process device
JP2007266005A (en) * 2007-06-20 2007-10-11 Hitachi Kokusai Electric Inc Spiral resonant device for plasma generation
JP2007266006A (en) * 2007-06-20 2007-10-11 Hitachi Kokusai Electric Inc Plasma reactor
JP2007529091A (en) * 2004-02-20 2007-10-18 エフ イー アイ カンパニ Magnetically amplified inductively coupled plasma source for focused ion beam systems
US7482757B2 (en) 2001-03-23 2009-01-27 Tokyo Electron Limited Inductively coupled high-density plasma source
JP2009224596A (en) * 2008-03-17 2009-10-01 Tokyo Electron Ltd Plasma processing apparatus
JP2010022975A (en) * 2008-07-23 2010-02-04 Mitsui Eng & Shipbuild Co Ltd High-voltage plasma generator
JP2013077859A (en) * 2003-02-27 2013-04-25 Lam Research Corporation Etching system and etching method
JP2014239061A (en) * 2010-02-22 2014-12-18 シーメンス アクティエンゲゼルシャフト High frequency power source for load not subjected to impedance matching
WO2016104292A1 (en) * 2014-12-25 2016-06-30 株式会社日立国際電気 Semiconductor device manufacturing method, recording medium, and substrate processing device
JPWO2017183401A1 (en) * 2016-04-20 2018-12-06 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and program
WO2019082569A1 (en) * 2017-10-23 2019-05-02 株式会社Kokusai Electric Substrate processing device, semiconductor device manufacturing method, and recording medium
JP2020013777A (en) * 2018-04-20 2020-01-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Module type high frequency source

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6116314A (en) * 1984-07-02 1986-01-24 Matsushita Electric Ind Co Ltd High frequency power supply device
JPH07302695A (en) * 1994-05-02 1995-11-14 Toppan Printing Co Ltd Matching device
JPH0955347A (en) * 1995-02-15 1997-02-25 Applied Materials Inc Apparatus and method for automatic frequency tuning of rf power source for inductive coupling plasma reactor
WO1997021332A1 (en) * 1995-12-04 1997-06-12 Mc Electronics Co., Ltd. A high-frequency plasma process wherein the plasma is excited by an inductive structure in which the phase and anti-phase portions of the capacitive currents between the inductive structure and the plasma are balanced

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6116314A (en) * 1984-07-02 1986-01-24 Matsushita Electric Ind Co Ltd High frequency power supply device
JPH07302695A (en) * 1994-05-02 1995-11-14 Toppan Printing Co Ltd Matching device
JPH0955347A (en) * 1995-02-15 1997-02-25 Applied Materials Inc Apparatus and method for automatic frequency tuning of rf power source for inductive coupling plasma reactor
WO1997021332A1 (en) * 1995-12-04 1997-06-12 Mc Electronics Co., Ltd. A high-frequency plasma process wherein the plasma is excited by an inductive structure in which the phase and anti-phase portions of the capacitive currents between the inductive structure and the plasma are balanced
JP2000501568A (en) * 1995-12-04 2000-02-08 エム・シー・エレクトロニクス株式会社 High-frequency plasma processing method excited by an induction structure in which a phase part and an anti-phase part in a capacitive current between generated plasmas are balanced

Cited By (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003525519A (en) * 2000-03-01 2003-08-26 東京エレクトロン株式会社 Electrically controllable plasma uniformity in dense plasma sources
US7482757B2 (en) 2001-03-23 2009-01-27 Tokyo Electron Limited Inductively coupled high-density plasma source
JP2003077893A (en) * 2001-08-31 2003-03-14 Kem Kk Plasma reactor
JP2013077859A (en) * 2003-02-27 2013-04-25 Lam Research Corporation Etching system and etching method
US7150805B2 (en) 2003-09-08 2006-12-19 Sharp Kabushiki Kaisha Plasma process device
JP2007529091A (en) * 2004-02-20 2007-10-18 エフ イー アイ カンパニ Magnetically amplified inductively coupled plasma source for focused ion beam systems
JP4593948B2 (en) * 2004-03-22 2010-12-08 株式会社ダイヘン High frequency power supply
JP2005269869A (en) * 2004-03-22 2005-09-29 Daihen Corp High-frequency power supply unit
JP2007266006A (en) * 2007-06-20 2007-10-11 Hitachi Kokusai Electric Inc Plasma reactor
JP2007266005A (en) * 2007-06-20 2007-10-11 Hitachi Kokusai Electric Inc Spiral resonant device for plasma generation
JP2009224596A (en) * 2008-03-17 2009-10-01 Tokyo Electron Ltd Plasma processing apparatus
JP2010022975A (en) * 2008-07-23 2010-02-04 Mitsui Eng & Shipbuild Co Ltd High-voltage plasma generator
JP2014239061A (en) * 2010-02-22 2014-12-18 シーメンス アクティエンゲゼルシャフト High frequency power source for load not subjected to impedance matching
US10453676B2 (en) 2014-12-25 2019-10-22 Kokusai Electric Corporation Semiconductor device manufacturing method and recording medium
JPWO2016104292A1 (en) * 2014-12-25 2017-11-02 株式会社日立国際電気 Semiconductor device manufacturing method, program, and substrate processing apparatus
WO2016104292A1 (en) * 2014-12-25 2016-06-30 株式会社日立国際電気 Semiconductor device manufacturing method, recording medium, and substrate processing device
JPWO2017183401A1 (en) * 2016-04-20 2018-12-06 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and program
WO2019082569A1 (en) * 2017-10-23 2019-05-02 株式会社Kokusai Electric Substrate processing device, semiconductor device manufacturing method, and recording medium
KR20190086586A (en) * 2017-10-23 2019-07-22 가부시키가이샤 코쿠사이 엘렉트릭 Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
JPWO2019082569A1 (en) * 2017-10-23 2019-11-14 株式会社Kokusai Electric Substrate processing apparatus, semiconductor device manufacturing method, and recording medium
US11837440B2 (en) 2017-10-23 2023-12-05 Kokusai Electric Corporation Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
JP2020013777A (en) * 2018-04-20 2020-01-23 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Module type high frequency source
US12002654B2 (en) 2018-04-20 2024-06-04 Applied Materials, Inc. Modular high-frequency source

Similar Documents

Publication Publication Date Title
TWI701705B (en) Plasma processing apparatus and plasma processing method
US5571366A (en) Plasma processing apparatus
US9378929B2 (en) Plasma processing apparatus and plasma processing method
US6170428B1 (en) Symmetric tunable inductively coupled HDP-CVD reactor
KR100938784B1 (en) Inductive plasma processor having coil with plural windings and method of controlling plasma density
JPH11162697A (en) Spiral resonance device for plasma generation
JP4120051B2 (en) High frequency resonance device
US5935373A (en) Plasma processing apparatus
US7019253B2 (en) Electrically controlled plasma uniformity in a high density plasma source
US20070074813A1 (en) Method and apparatus for plasma doping
JP4178775B2 (en) Plasma reactor
JPH10172792A (en) Plasma processing device
JPH08162440A (en) Plasma processor and processing method
JPH0481324B2 (en)
US6855225B1 (en) Single-tube interlaced inductively coupling plasma source
JP4042363B2 (en) Spiral resonator for plasma generation
JP6808782B2 (en) Plasma processing equipment and plasma processing method
US7323081B2 (en) High-frequency plasma processing apparatus
JPH1064697A (en) Plasma processing device
JP3043217B2 (en) Plasma generator
JP4176813B2 (en) Plasma processing equipment
JP5135025B2 (en) Substrate processing apparatus, substrate processing method, and power feeding unit
JP2006278219A (en) Icp circuit, plasma treatment device, and plasma processing method
JP2007266005A (en) Spiral resonant device for plasma generation
JP2004027339A (en) Remote plasma type cleaning apparatus for deposition chamber

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040929

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060217

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060629