JPH11150115A - Multilayered structure and its manufacture - Google Patents

Multilayered structure and its manufacture

Info

Publication number
JPH11150115A
JPH11150115A JP10231729A JP23172998A JPH11150115A JP H11150115 A JPH11150115 A JP H11150115A JP 10231729 A JP10231729 A JP 10231729A JP 23172998 A JP23172998 A JP 23172998A JP H11150115 A JPH11150115 A JP H11150115A
Authority
JP
Japan
Prior art keywords
layer
substrate
film
extinction coefficient
refractive index
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP10231729A
Other languages
Japanese (ja)
Other versions
JP3117429B2 (en
Inventor
E Beivich Catherina
キャサリナ・イー・ベイビッチ
Timothy A Brunner
ティモシィ・アラン・ブルナー
Caesar Calegari Alessandro
アレッサンドロ・シーザー・キャレガリ
Grill Alfred
アルフレッド・グリル
V Jarnes Christopher
クリストファー・ブイ・ジャーネス
Vittarubai Patel Bishunabai
ヴィシュナバイ・ヴィッタルバイ・パテル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JPH11150115A publication Critical patent/JPH11150115A/en
Application granted granted Critical
Publication of JP3117429B2 publication Critical patent/JP3117429B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating

Abstract

PROBLEM TO BE SOLVED: To form a resist which has superior optical purity and high adjustability and consists of a plurality of layers by forming an antireflection film on a substrate through vapor deposition. SOLUTION: After an amorphous carbon film a-C:X:H containing hydrogen and fluoride is stuck to a substrate by plasma-intensified chemical gaseous-phase vapor deposition, a photoresist PR containing silicon is applied to the surface of the carbon film a-C:X:H with a spin coater and baked. Then, after the photoresist PR has been developed with a developing solution, the carbon film a-C:X:H is subjected to reactive ion etching in oxygen plasma. As a result, the carbon film a-C:X:H functions as an ideal thick planarized lower antireflection film in a two-layer resist system for ultraviolet and far-infrared rays and can improve line width control and the performance of an integrated circuit.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、集積回路(IC)
を製造するのに有用な構造、特に、複数の層を有する構
造、さらには、二層レジスト系に用いられる無定形炭素
膜をベースにした調整可能な厚い下部層被膜を有する構
造、およびその構造を製造するのに有用な方法を開示す
る。
The present invention relates to an integrated circuit (IC).
Structures, particularly those having multiple layers, and also having an adjustable thick underlayer coating based on an amorphous carbon film used in a two-layer resist system, and structures thereof Disclosed are methods useful for producing

【0002】[0002]

【従来の技術】論理チップおよびメモリチップのデバイ
ス寸法が約0.25μm以下になると、現在用いられて
いる単一層レジストは、一般の露光装置では役に立たな
い。紫外線(UV)および遠紫外線(DUV)波長にお
ける基板の反射は、限界寸法(CD)公差を厳しく制限
する定在波効果およびレジスト・ノッチングを生じるこ
とは周知である。ノッチングは、基板トポグラフィ、お
よびレジスト上の露光エネルギーに局部的な変化を生じ
させる不均一な基板反射率によって生じる。定在波は、
薄膜干渉(TFI)、すなわち、レジストの厚さ方向に
おける光強度の周期的な変動である。これら光の変動
は、レジストの平坦化が下側のトポグラフィによって異
なる厚さを与えるために生じる。薄膜干渉は、単一層の
ホトレジスト・プロセスのCD制御に支配的な役割を果
たし、光学位相の微小変動による有効露光線量の大きな
変動を生じさせる。
2. Description of the Related Art When a device size of a logic chip and a memory chip is reduced to about 0.25 μm or less, a currently used single-layer resist is useless in a general exposure apparatus. It is well known that reflection of a substrate at ultraviolet (UV) and deep ultraviolet (DUV) wavelengths results in standing wave effects and resist notching that severely limit critical dimension (CD) tolerances. Notching is caused by substrate topography and non-uniform substrate reflectivity that causes local changes in exposure energy on the resist. The standing wave is
Thin film interference (TFI), that is, a periodic variation in light intensity in the thickness direction of the resist. These light fluctuations occur because the planarization of the resist gives different thicknesses depending on the underlying topography. Thin film interference plays a dominant role in CD control of single layer photoresist processes, causing large variations in effective exposure dose due to small variations in optical phase.

【0003】線幅制御は、有効なマイクロリソグラフィ
・プロセスの最も重要な要件の一つである。典型的な基
準は、描画された線幅を目標値の10%以内としなけれ
ばならないことである。線幅の変動を生じさせる多くの
プロセス変数がある。図17は、レジストの線幅が露光
エネルギーによってどのように変動するかのシミュレー
ションを示している。約10mJ/cm2 の露光エネル
ギーは、0.25μmという目標寸法にかなり近い線を
描画する。8.5mJ/cm2 の不足露光状態は、0.
4μmの線を描画し、12.5mJ/cm2 の過露光状
態は、0.14μmの線を描画する。±10%の基準内
で所望の0.25μmの線を描画する唯一の方法は、露
光エネルギーを注意深く制御することである。
[0003] Linewidth control is one of the most important requirements of an effective microlithography process. A typical criterion is that the drawn line width must be within 10% of the target value. There are many process variables that cause line width variations. FIG. 17 shows a simulation of how the line width of the resist varies depending on the exposure energy. Exposure energy of about 10 mJ / cm 2 draws a line that is quite close to the target dimension of 0.25 μm. The underexposure state of 8.5 mJ / cm 2 is equivalent to 0.
A line of 4 μm is drawn, and a line of 0.14 μm is drawn in an overexposure state of 12.5 mJ / cm 2 . The only way to write the desired 0.25 μm line within the ± 10% criterion is to carefully control the exposure energy.

【0004】残念なことには、ホトレジスト内の露光エ
ネルギーに影響を与え得る多くのプロセス要因がある。
このような露光変動の最も重要な原因は、“Optim
ization of optical proper
ties of resist processe
s”,(T.Brunner,SPIE Procee
dings Vol.1466,p.297,199
1)に記載されているように、薄膜干渉効果によるもの
である。半導体製造に用いられる薄膜、例えば、チッ化
シリコンまたは酸化シリコンは厚さにある程度の変動を
有し、これが、レジストの中に入る露光エネルギーの変
動につながる。ホトレジストが厚さの変動する酸化シリ
コンの上にある場合は、シミュレーションによって示さ
れるように、何がどのように変動するかについて考えて
みよう。図18は、ウエハの全反射率を、酸化物(層#
1)の厚さの関数として示している。50%の最大反射
率と約20%の最小反射率とを有する、意味のある正弦
波変動が観察される。図19は、計算されたレジストの
線幅を、酸化物の厚さの関数として示しており、線幅が
厚さの小さな変動によって大きな影響を受けることを示
している。図18と図19とを比較すると、レジストの
反射が小さいと線幅が小さく(過露光)、レジストの反
射が大きいと線幅が大きい(不足露光)ことを示してい
る。基本的なメカニズムは、薄膜干渉が、レジスト内の
露光エネルギーを、酸化物の厚さによって変動させると
いうものである。
[0004] Unfortunately, there are many process factors that can affect the exposure energy in the photoresist.
The most important cause of such exposure variation is “Optim
Ization of optical property
ties of resist process
s ", (T. Brunner, SPIE Processe
ings Vol. 1466, p. 297,199
As described in 1), this is due to the thin film interference effect. Thin films used in semiconductor manufacturing, such as silicon nitride or silicon oxide, have some variation in thickness, which leads to variations in exposure energy entering the resist. If the photoresist is on silicon oxide of varying thickness, consider what varies and how, as shown by simulation. FIG. 18 shows the total reflectance of the wafer as measured by oxide (layer #).
It is shown as a function of the thickness of 1). Significant sinusoidal variations are observed with a maximum reflectance of 50% and a minimum reflectance of about 20%. FIG. 19 shows the calculated resist linewidth as a function of oxide thickness, and shows that the linewidth is significantly affected by small thickness variations. A comparison between FIG. 18 and FIG. 19 shows that the line width is small (overexposure) when the reflection of the resist is small, and the line width is large (underexposure) when the reflection of the resist is large. The basic mechanism is that thin-film interference causes the exposure energy in the resist to vary with oxide thickness.

【0005】反射防止膜(Anti−Reflecto
r Coat)すなわちARCは、このような影響を少
なくするのに用いられる。そこで、炭素ARCを有する
一例を考える。図20は、上部のレジスト,ARC層#
1,酸化物層#2,およびシリコン基板を有する薄膜ス
タックを示している。図21は、レジストの反射率を、
酸化物の厚さの関数として示している。ARCの存在
は、反射率を酸化物の厚さとは殆ど無関係の約8%にす
る。これは、酸化物の厚さがかなり変動しても、露光エ
ネルギーは変動しないことを示している。予想したよう
に、図22における線幅対酸化物厚さ曲線は殆ど平坦で
ある。データの全範囲は、±10%の線幅制御基準に容
易に適合する。
An anti-reflection film (Anti-Reflecto)
r Coat) or ARC is used to reduce such effects. Thus, consider an example having a carbon ARC. FIG. 20 shows the upper resist and ARC layer #
1 shows a thin film stack having 1, an oxide layer # 2, and a silicon substrate. FIG. 21 shows the reflectivity of the resist,
Shown as a function of oxide thickness. The presence of the ARC causes the reflectivity to be about 8%, which is almost independent of oxide thickness. This indicates that even if the oxide thickness varies considerably, the exposure energy does not vary. As expected, the line width versus oxide thickness curve in FIG. 22 is almost flat. The entire range of data easily meets the ± 10% line width control criteria.

【0006】現在の半導体プロセスは、厚さが変動する
多くの薄膜を有することがあり、また、ホトレジスト膜
自体も厚さが変動する。ARC層は、一般に、プロセス
スタックの多くの層の厚さに依存する線幅の減少に適用
できる。この特許出願で与えられる炭素ARCは、詳細
に説明されるように、特に、魅力的な手段である。
Current semiconductor processes often have many thin films of varying thickness, and the photoresist film itself also varies in thickness. The ARC layer is generally applicable to line width reduction depending on the thickness of many layers in the process stack. The carbon ARC given in this patent application is a particularly attractive means, as explained in detail.

【0007】これら問題を克服するために、ここでは、
多層レジスト系が開示されている。この方法では、UV
−DUVを吸収する第1の厚い下部のポリマー層が基板
上にスピン塗布される。この層は、下側の構造を平坦化
して薄膜干渉を小さくする効果と、基板の反射(ノッチ
ング)を弱める効果とを有している。第2の薄いSi含
有レジスト層が、上部にスピン塗布される。この薄い層
は、高解像度のイメージ形成層として用いられ、また、
レジスト現像後に、酸素反応性イオンエッチングのため
の転写パターン層として用いられる。下部層は、現像液
に侵されず、レジストの上部層は、そのSi成分の故に
酸素プラズマによってエッチングされない。このプロセ
スは、例5でより詳細に説明する。多層レジスト技術に
ついての概要は、“Polymeric silico
n−containing resist mater
ial”,R.D.Miller and G.M.W
allraff,Advanced Material
s for Opticsand Electroni
cs,Vol.4,95−127(1994)により知
ることができる。現在用いられている下部層は、“Bi
layer resist approach for
193 nm lithography”,Scha
edely et al.,Proc.SPIE−In
t.Soc.Opt.Eng.(USA)Vol.27
24 1996,p344−54に示されている、ノボ
ラックレジストである。この“スピン塗布(spin
on)”ポリマー材料に関連する主な問題は、サブミク
ロン構造上への劣ったコンフォーマリティ(confo
rmality)と、劣った光学的調整可能性と、イメ
ージ形成レジストとの界面において限界寸法(CD)の
変動を生じる化学的相互作用である。
In order to overcome these problems, here,
A multilayer resist system is disclosed. In this method, UV
-A first thick lower polymer layer absorbing DUV is spin-coated on the substrate. This layer has the effect of flattening the lower structure to reduce thin-film interference and the effect of weakening the reflection (notching) of the substrate. A second thin Si-containing resist layer is spun on top. This thin layer is used as a high resolution image forming layer,
After resist development, it is used as a transfer pattern layer for oxygen reactive ion etching. The lower layer is not attacked by the developer and the upper layer of the resist is not etched by oxygen plasma due to its Si component. This process is described in more detail in Example 5. For an overview of multilayer resist technology, see "Polymeric silicone"
n-containing resist material
ial ", RD Miller and GMW
allraff, Advanced Material
s for Optics and Electroni
cs, Vol. 4, 95-127 (1994). The lower layer currently used is "Bi
layer resist approach for
193 nm lithography ", Scha
edley et al. Proc. SPIE-In
t. Soc. Opt. Eng. (USA) Vol. 27
24 No. 24, 1996, p344-54. This "spin coating (spin coating)
on) "A major problem associated with polymeric materials is poor conformality on sub-micron structures.
rmality), poor optical tunability, and chemical interactions that cause critical dimension (CD) variations at the interface with the imaging resist.

【0008】厚い単一層レジストが二層の代わりに用い
られると、レジストパターンの機械的破壊が生じること
が、“Characterization of th
eresist pattern collapse
in a chemically amplified
resist”,Cha−Won Koh,Cheo
ul−Kyu Bok,and KiHo Baik,
Interface1996 Proc.p295−3
02,S.Diego Ca.1996に示されてい
る。
[0008] When a thick single layer resist is used instead of two layers, mechanical destruction of the resist pattern may occur, as described in the "Characterization of the resist".
eresist pattern collapse
in a chemically amplified
resist ", Cha-Won Koh, Cheo
ul-Kyu Bok, and KiHo Baik,
Interface 1996 Proc. p295-3
02, S. Diego Ca. 1996.

【0009】[0009]

【発明が解決しようとする課題】本発明の目的は、改良
されたレジストを提供することにある。
SUMMARY OF THE INVENTION It is an object of the present invention to provide an improved resist.

【0010】本発明の他の目的は、複数層からなる改良
されたレジストを提供することにある。
It is another object of the present invention to provide an improved resist having a plurality of layers.

【0011】本発明の他の目的は、下部層が厚い調整可
能な層である複数の層を有するレジストを提供すること
にある。
Another object of the present invention is to provide a resist having a plurality of layers whose lower layers are thick adjustable layers.

【0012】本発明の目的は、蒸着による下部平坦化層
の形成を提供することにある。蒸着膜は、二層および三
層のレジスト系で用いると、スピン塗布ポリマーと比較
して、はるかに増大した光学的純度および調整可能性を
有する。
It is an object of the present invention to provide a formation of a lower planarization layer by vapor deposition. The deposited films have much increased optical purity and tunability when used in two- and three-layer resist systems as compared to spin-coated polymers.

【0013】本発明の他の目的は、二層/三層のレジス
ト系におけるDUV(365,248,193nm)下
部層を形成するのに必要な光学的特性を有するa−C:
H膜を蒸着することによって付着する方法を提供するこ
とにある。これらの膜は、幅広い光学的調整可能性を有
する、すなわち、nおよびkは、プロセス条件を変更す
ることによって変えることができる。これらの膜は、容
易に異方的にパターンニングすることができ、酸素プラ
ズマによって除去することができる。この方法は、半導
体産業で現在用いられている製造装置に容易に拡張する
ことができる。
Another object of the present invention is to provide aC having the optical properties necessary to form a DUV (365, 248, 193 nm) underlayer in a two / three layer resist system:
An object of the present invention is to provide a method for depositing by depositing an H film. These films have a wide range of optical tunability, ie, n and k can be changed by changing the process conditions. These films can be easily anisotropically patterned and removed by oxygen plasma. This method can be easily extended to manufacturing equipment currently used in the semiconductor industry.

【0014】本発明の他の目的は、Ar/炭化水素/ヘ
リウム/水素/フルオロカーボン/窒素/酸素の混合ガ
ス内で蒸着による付着を行うことにある。好適には、炭
化水素は、シクロヘキサンまたはアセチレンであり、好
適には、フルオロカーボンは、ヘキサフルオロベンゼン
である。より大きい屈折率は、プラズマチャンバ内のヘ
キサフルオロベンゼン(HFB)の流れを制限または排
除することによって得られる。より小さい屈折率は、H
FBの流れを増大し、炭化水素ガスの流れを制限または
排除することによって得られる。大きい吸光係数kは、
高いカソードバイアス電圧を用いて膜を付着することに
よって得られる。より小さいkの値は、バイアス電圧を
減少することによって得られる。微妙な光学的調整可能
性は、適切な流量の窒素および/または酸素を用いるこ
とによって達成することができる。水素は、光学的特性
と膜耐久性とを調整するのに用いられる。
Another object of the present invention is to perform deposition by vapor deposition in a mixed gas of Ar / hydrocarbon / helium / hydrogen / fluorocarbon / nitrogen / oxygen. Preferably, the hydrocarbon is cyclohexane or acetylene, and preferably, the fluorocarbon is hexafluorobenzene. Higher refractive indices are obtained by limiting or eliminating the flow of hexafluorobenzene (HFB) in the plasma chamber. The smaller index is H
It is obtained by increasing the flow of FB and limiting or eliminating the flow of hydrocarbon gas. The large extinction coefficient k is
Obtained by depositing the film with a high cathode bias voltage. Smaller values of k are obtained by reducing the bias voltage. Subtle optical tunability can be achieved by using appropriate flow rates of nitrogen and / or oxygen. Hydrogen is used to adjust optical properties and film durability.

【0015】本発明の他の目的は、基板およびレジスト
/下部層の界面とに発生する反射を小さくするのに最適
化された光学的特性を有する、二層レジスト系における
下部層として用いられる無定形炭素膜を蒸着によって付
着する方法を提供することにある。この下部層は、厚い
平坦化反射防止膜(ARC)として働き、さらに、薄膜
干渉を小さくする。プロセスガス化学物質およびプロセ
スパラメータは、一般に、必要な光学的特性を達成する
ように個々に最適化される。
It is another object of the present invention to provide a method for use as a lower layer in a two-layer resist system having optical properties optimized to reduce reflections occurring at the substrate and at the resist / lower layer interface. An object of the present invention is to provide a method for depositing a shaped carbon film by vapor deposition. This lower layer acts as a thick planarized anti-reflective coating (ARC) and further reduces thin film interference. Process gas chemistries and process parameters are generally individually optimized to achieve the required optical properties.

【0016】本発明の他の目的は、改良された反射防止
膜を形成するために、屈折率nおよび吸光係数kが膜の
深さにわたって変化する厚いARC層を蒸着によって付
着する方法を提供することにある。一例として、ARC
層が異なる光学的特性を有する3つの異なる層に分割さ
れることを示す。この層は非常に低い反射率と、プロセ
スの変動に対して大きい公差とを有することが示され
る。また、この付着技術は、“SPIE Vol.27
26,p.573(1996)”にTanaka等によ
り記載されているような、光学的特性が膜の深さにわた
って連続的に変化する層に適用することができる。さら
に重要なことには、技術的に厚いARC層のnおよびk
が隣接する層のnおよびkに完全に一致すると、反射は
なくなり、CD制御を著しく改良する。
Another object of the present invention is to provide a method for depositing a thick ARC layer whose refractive index n and extinction coefficient k vary over the depth of the film to form an improved anti-reflection film. It is in. As an example, ARC
2 shows that the layer is divided into three different layers having different optical properties. This layer is shown to have very low reflectivity and large tolerance for process variations. This deposition technique is described in SPIE Vol.
26, p. 573 (1996) ", which can be applied to layers whose optical properties vary continuously over the depth of the film. More importantly, technically thick ARCs Layer n and k
Is exactly equal to the n and k of the adjacent layers, there is no reflection and significantly improved CD control.

【0017】[0017]

【課題を解決するための手段】本発明の広い形態は、多
層レジスト系およびその製造方法である。
SUMMARY OF THE INVENTION A broad aspect of the present invention is a multilayer resist system and a method of making the same.

【0018】本発明の特定の形態は、多層レジスト系、
および調整可能な光学的特性を有するa−C:X:H
(Xは、フッ素,窒素,酸素,Siである)の蒸着によ
る製造方法を提供する。得られた炭素膜は、ダイヤモン
ド・ライク・カーボンまたは、無定形炭素と呼ばれるも
のよりなる。
A particular form of the invention is a multi-layer resist system,
AC: X: H with adjustable optical properties and
(Where X is fluorine, nitrogen, oxygen, Si) by vapor deposition. The obtained carbon film is composed of what is called diamond-like carbon or amorphous carbon.

【0019】本発明による方法および構造のまたさらに
他の特定の形態は、一回の付着あたり約1sccmのよ
うな任意の少量の窒素および/または酸素を有する炭化
水素/フルオロカーボン/水素プラズマから、蒸着によ
って水素添加炭素膜を付着することである。ここで製造
される膜は、365,248,193nmの波長で独立
して調整できる屈折率nおよび吸光係数kを有し、厚い
平坦化反射防止膜に極めて有効である。さらに、本発明
によって形成される膜は、トポグラフィにコンフォーマ
ルに付着することができ、多層レジスト系における下部
層として用いられるときに、酸素および/またはフッ素
の反応性イオンエッチング・プロセスで容易にパターニ
ングまたは除去することができ、従って、チップ製造の
ためのパターニングを容易にする。
Yet another specific form of the method and structure according to the present invention is to deposit from a hydrocarbon / fluorocarbon / hydrogen plasma having any small amount of nitrogen and / or oxygen, such as about 1 sccm per deposition. To deposit a hydrogenated carbon film. The film manufactured here has a refractive index n and an extinction coefficient k that can be independently adjusted at wavelengths of 365, 248, and 193 nm, and is extremely effective for a thick flat antireflection film. Further, films formed according to the present invention can be conformally deposited topographically and, when used as an underlayer in a multi-layer resist system, are easily patterned by an oxygen and / or fluorine reactive ion etching process. Or can be removed, thus facilitating patterning for chip fabrication.

【0020】本発明による方法および構造のさらに他の
特定の形態は、蒸着によって無定形炭素膜を付着するこ
とであり、これは、炭化水素ガス,フルオロカーボンガ
ス,水素ガス,任意の少量の酸素ガスおよび/または窒
素ガスを予め混合する工程と、カソードおよび基板を含
む反応チャンバを用意する工程と、上記混合ガスをチャ
ンバ内に導入する工程と、カソードにRFバイアス電位
を与えてプラズマを生成し、蒸着によって基板上にa−
C:X:H膜を付着する工程とを含んでいる。
Yet another specific form of the method and structure according to the present invention is to deposit an amorphous carbon film by vapor deposition, which comprises a hydrocarbon gas, a fluorocarbon gas, a hydrogen gas, and any small amount of oxygen gas. And / or premixing a nitrogen gas, preparing a reaction chamber including a cathode and a substrate, introducing the mixed gas into the chamber, applying an RF bias potential to the cathode to generate plasma, A- on the substrate by evaporation
Attaching a C: X: H film.

【0021】本発明による構造および方法のまた他の特
定の形態は、混合ガスを用いることによって無定形炭素
膜を付着することである。混合ガスは、ヘキサフルオロ
ベンゼン,水素,シクロヘキサン,アセチレンを含み
(これらはHeまたはArで希釈し、または希釈しなく
てもよい)、蒸着によって膜を反応的に付着する。この
方法を用いることによって屈折率nおよび吸光係数k
を、UVおよびDUVの波長において独立して光学的に
調整することができる。さらに、特に、UVおよびDU
Vの屈折率nおよび吸光係数kは、365,248およ
び193nmの波長において、それぞれ約1.4〜約
2.1および約0.1〜約0.6に調整することができ
る。従って、これらの膜は、二層レジスト系において厚
い平坦化下部層として用いられるのに必要な全ての要件
を満たしている。
Another particular form of the structure and method according to the present invention is to deposit an amorphous carbon film by using a gas mixture. The gas mixture contains hexafluorobenzene, hydrogen, cyclohexane, and acetylene (which may or may not be diluted with He or Ar) and reactively deposits the film by vapor deposition. By using this method, the refractive index n and the extinction coefficient k
Can be adjusted optically independently at UV and DUV wavelengths. More particularly, UV and DU
The refractive index n and extinction coefficient k of V can be adjusted to about 1.4 to about 2.1 and about 0.1 to about 0.6 at 365, 248 and 193 nm wavelengths, respectively. Thus, these films meet all the requirements needed to be used as a thick planarizing underlayer in a two-layer resist system.

【0022】[0022]

【発明の実施の形態】本発明は、構造と、その構造を製
造する方法に関する。構造は、多層、好適には、二層/
三層レジスト系において平坦化かつ光学的に調整可能な
厚い下部ARCとして用いられる高品質の水素添加炭素
膜を、炭化水素および/またはフッ素化炭化水素(フル
オロカーボン)プラズマにより、蒸着する方法によって
作製される。本発明によって作製される膜は、基板およ
びイメージ形成ホトレジストの光学的特性に適合するよ
うに、任意で膜厚に沿って変化する(graded)、
調整可能な屈折率および吸光係数を有する。本発明によ
って作製される膜のUVおよびDUVにおける光学的特
性およびリソグラフィック・フィーチャは、R.D.M
illerおよびG.M.WallraffによってA
dvancedMaterials for Opti
cs and Electronics,Vol.4,
95−127(1994)に報告されたジアゾナフトキ
ノン−ノボラック型ホトレジストのような他のポリマー
膜によって得られるものよりもはるかに優れている。従
って、本発明の厚い平坦化無定形炭素膜で被覆されたS
i基板は、薄膜干渉、並びにUVおよびDUV波長にお
ける基板反射率を非常に減少し、その結果、CD制御を
改良する。
DETAILED DESCRIPTION OF THE INVENTION The present invention relates to a structure and a method of manufacturing the structure. The structure may be multi-layer, preferably two-layer /
A high quality hydrogenated carbon film used as a planarized and optically tunable thick lower ARC in a three-layer resist system is produced by a method of vapor deposition with a hydrocarbon and / or fluorinated hydrocarbon (fluorocarbon) plasma. You. Films made in accordance with the present invention are optionally graded along film thickness to match the optical properties of the substrate and the imaging photoresist.
It has adjustable refractive index and extinction coefficient. Optical and lithographic features in UV and DUV of films made according to the present invention are described in D. M
iller and G.W. M. A by Wallraff
advancedMaterials for Opti
cs and Electronics, Vol. 4,
95-127 (1994), much better than those obtained with other polymer films such as diazonaphthoquinone-novolak type photoresists. Therefore, S coated with the thick planarized amorphous carbon film of the present invention
The i-substrate greatly reduces thin film interference and substrate reflectivity at UV and DUV wavelengths, thus improving CD control.

【0023】図1は、本発明の無定形炭素膜を付着する
のに用いることができるPECVD(プラズマ強化化学
的気相蒸着)付着装置8を示す図である。この装置は、
反応器チャンバ10を備え、このチャンバは、図示され
ていない真空ポンプから反応器チャンバ10を分離する
スロットルバルブ11を有する。カソード19は、反応
器チャンバ10に取り付けられ、誘電体スペーサ27に
よって反応器チャンバから絶縁されている。このカソー
ド19は、抵抗ヒータ17を備える。基板15は、カソ
ード19の内側端に固定される。カソード19は、調整
できる無線周波数源14に電気的に接続され、カソード
19と無線周波数源14との間のインピーダンスは、マ
ッチング・ボックス13を用いることによって整合され
る。電気的な戻りアースは、反応器チャンバ10に接続
されるプレート16により与えられる。
FIG. 1 illustrates a PECVD (Plasma Enhanced Chemical Vapor Deposition) deposition apparatus 8 that can be used to deposit the amorphous carbon film of the present invention. This device is
A reactor chamber 10 is provided having a throttle valve 11 separating the reactor chamber 10 from a vacuum pump (not shown). Cathode 19 is attached to reactor chamber 10 and is insulated from reactor chamber by dielectric spacer 27. The cathode 19 has a resistance heater 17. The substrate 15 is fixed to the inside end of the cathode 19. Cathode 19 is electrically connected to tunable radio frequency source 14, and the impedance between cathode 19 and radio frequency source 14 is matched by using matching box 13. An electrical return ground is provided by a plate 16 connected to the reactor chamber 10.

【0024】また、反応器チャンバ10は、シャワーヘ
ッド12を経てチャンバ10内に種々のガス材料を導入
するための管路20,21,22,23,24,25,
26を有する。例えば、炭化水素ガスおよび予め混合さ
れた炭化水素混合ガスは、管路25および26を経て反
応器チャンバ10内に導入される。フッ素添加炭素ガス
および水素ガスは、それぞれ管路21および20を経て
チャンバ10内に導入される。反応性ガスである酸素お
よび窒素は、それぞれ管路23および22を経てチャン
バ10内に導入され、一方、基板をクリーニングするA
rガスは、管路24を経て導入される。
The reactor chamber 10 is provided with conduits 20, 21, 22, 23, 24, 25, for introducing various gas materials into the chamber 10 through the shower head 12.
26. For example, a hydrocarbon gas and a premixed hydrocarbon mixture gas are introduced into the reactor chamber 10 via lines 25 and 26. The fluorinated carbon gas and the hydrogen gas are introduced into the chamber 10 via the pipes 21 and 20, respectively. Reactive gases, oxygen and nitrogen, are introduced into chamber 10 via lines 23 and 22, respectively, while A
r gas is introduced via line 24.

【0025】本発明で用いられる炭化水素ガスは、次の
ような全ての炭化水素化合物とすることができる。ま
ず、ガス状であることが可能であることと、次に、本発
明のプロセスによって用いられる反応条件でプラズマを
形成することができることである。炭化水素という用語
は、化合物を形成する分子が炭素原子および水素原子の
みを含むことを意味する。本発明の一実施例によると、
飽和または不飽和の炭化水素化合物が、本発明のプロセ
スによって用いることができる。定義によれば、飽和炭
化水素化合物は、分子が炭素の一重結合のみを含む化合
物であるが、不飽和化合物は、分子が炭素の二重または
三重結合を含む化合物である。
The hydrocarbon gas used in the present invention can be any of the following hydrocarbon compounds. First, it can be gaseous, and second, it can form a plasma under the reaction conditions used by the process of the present invention. The term hydrocarbon means that the molecules forming the compound contain only carbon and hydrogen atoms. According to one embodiment of the present invention,
Saturated or unsaturated hydrocarbon compounds can be used by the process of the present invention. By definition, a saturated hydrocarbon compound is a compound in which the molecule contains only a single bond of carbon, while an unsaturated compound is a compound in which the molecule contains a double or triple bond of carbon.

【0026】本発明の特に好適な実施例では、無定形炭
素膜を形成するのに用いられる好適な反応性炭化水素お
よびフルオロカーボンのガスは、不活性ガスで任意に希
釈されたシクロヘキサンおよびヘキサフルオロベンゼン
(HFB)である。さらに、シクロヘキサン/アセチレ
ン/メタンのような炭化水素ガスの混合物を、本発明の
反応性炭化水素ガスとして用いることができることを理
解すべきである。
In a particularly preferred embodiment of the present invention, suitable reactive hydrocarbon and fluorocarbon gases used to form the amorphous carbon film are cyclohexane and hexafluorobenzene, optionally diluted with an inert gas. (HFB). Further, it should be understood that mixtures of hydrocarbon gases such as cyclohexane / acetylene / methane can be used as the reactive hydrocarbon gas of the present invention.

【0027】本発明で用いられるガスは、約95.5%
以上の純度を有する。好適な実施例では、ガスは、約9
8.5〜約99.99%の範囲の純度を有する。最も好
適には、ガスは、99.99%以上の純度を有する。
The gas used in the present invention is about 95.5%
It has the above purity. In a preferred embodiment, the gas is about 9
It has a purity ranging from 8.5 to about 99.99%. Most preferably, the gas has a purity of 99.99% or higher.

【0028】炭化水素ガス,フルオロカーボンガス,水
素ガス,ヘリウムガス,アルゴンガスは、まず、約1m
Torr〜1000mTorrのアルゴン,水素,炭化
水素,フルオロカーボンおよびヘリウムの全圧を与える
のに十分な流量で別個の流量コントローラを通過させる
ことによって、チャンバ内に導入される。最も有効な無
定形炭素膜を与えるには、アルゴン,水素,炭化水素,
ヘリウムの混合ガスの圧力は、約1〜500mTorr
であることが好ましい。また、上述した条件は、アルゴ
ン,水素,ヘキサフルオロベンゼン,炭化水素,ヘリウ
ムを、1個または2個または3個のガスシリンダ内で、
所望のガス濃度を与える全ての可能な組み合わせで予め
混合することによって得ることができる。より好適に
は、アルゴンガス,水素ガス,フルオロカーボンガス,
炭化水素ガスは、別個の流量コントローラを経てチャン
バ内に導入される。
First, a hydrocarbon gas, a fluorocarbon gas, a hydrogen gas, a helium gas, and an argon gas are about 1 m in length.
It is introduced into the chamber by passing through a separate flow controller at a flow rate sufficient to provide a total pressure of argon, hydrogen, hydrocarbons, fluorocarbons and helium of Torr to 1000 mTorr. To provide the most effective amorphous carbon films, argon, hydrogen, hydrocarbons,
The pressure of the helium mixed gas is about 1 to 500 mTorr
It is preferred that Further, the above-mentioned condition is that argon, hydrogen, hexafluorobenzene, hydrocarbon, and helium are mixed in one, two, or three gas cylinders.
It can be obtained by premixing in all possible combinations giving the desired gas concentration. More preferably, argon gas, hydrogen gas, fluorocarbon gas,
Hydrocarbon gas is introduced into the chamber via a separate flow controller.

【0029】本発明の無定形炭素膜で被覆できる適切な
基板は、プラスチック,金属,種々の種類のガラス,磁
気ヘッド,電子チップ,電子回路基板,半導体デバイス
などを含む。被覆される基板は、基板が反応器チャンバ
装置内に配置できるならば、いかなる形状または寸法で
あってもよい。従って、ある寸法を持つ規則的または不
規則的な形状の物体を、本発明で用いることができる。
より好適には、基板は、半導体デバイスの製造に用いら
れるSi基板である。基板は、反応器装置の反応性スパ
ッタリング・チャンバの内側のカソードホルダー上に設
けられる。次に、反応器チャンバは、気密封止され、約
1×10-3〜約1×10-7Torrの範囲の圧力まで排
気される。上述した所望の圧力範囲まで反応器チャンバ
を排気した後、次に、基板は、25〜400℃の温度ま
で加熱される。最も好適には、基板は、全付着プロセス
を通して約50〜約200℃の一定温度に保持される。
Suitable substrates that can be coated with the amorphous carbon film of the present invention include plastics, metals, various types of glass, magnetic heads, electronic chips, electronic circuit boards, semiconductor devices, and the like. The substrate to be coated can be of any shape or size as long as the substrate can be placed in a reactor chamber apparatus. Thus, regularly or irregularly shaped objects having certain dimensions can be used in the present invention.
More preferably, the substrate is a Si substrate used for manufacturing a semiconductor device. The substrate is provided on a cathode holder inside the reactive sputtering chamber of the reactor apparatus. Next, the reactor chamber is hermetically sealed and evacuated to a pressure in the range of about 1 × 10 −3 to about 1 × 10 −7 Torr. After evacuating the reactor chamber to the desired pressure range described above, the substrate is then heated to a temperature of 25-400C. Most preferably, the substrate is maintained at a constant temperature between about 50 and about 200 C throughout the entire deposition process.

【0030】用いられる基板材料は、無定形炭素膜を付
着する前に、チャンバ上のRFカソードを用いて、RF
スパッタ・クリーニングをする、あるいはしなくともよ
い。本発明によって用いられる適切なクリーニング技術
は、水素,アルゴン,酸素,窒素,またはそれらの混合
ガスによるRFプラズマ・クリーニングを含み、これ
は、単独または適切な一連の組み合わせで行われる。
[0030] The substrate material used is RF-deposited using an RF cathode on the chamber prior to depositing the amorphous carbon film.
Sputter cleaning may or may not be performed. Suitable cleaning techniques used by the present invention include RF plasma cleaning with hydrogen, argon, oxygen, nitrogen, or mixtures thereof, which may be performed alone or in a suitable series of combinations.

【0031】必要な排気圧を達成した後、混合ガスが、
約1〜1000sccmの流量で反応器チャンバ内に導
入される。より好適には、反応ガスの流量は、1〜10
0sccmであり、水素ガスの流量は、1〜100sc
cmである。最も好適には、シクロヘキサンガスの流量
は、約5sccmである。ガスは、約5〜200mTo
rrの圧力で反応器チャンバ内に導入される。本発明の
他の形態では、この混合ガスは、約100mTorrの
圧力で導入される。
After achieving the required exhaust pressure, the gas mixture is
It is introduced into the reactor chamber at a flow rate of about 1-1000 sccm. More preferably, the flow rate of the reaction gas is 1 to 10
0 sccm, and the flow rate of the hydrogen gas is 1 to 100 sc
cm. Most preferably, the flow rate of cyclohexane gas is about 5 seem. The gas is about 5-200mTo
It is introduced into the reactor chamber at a pressure of rr. In another aspect of the invention, the gas mixture is introduced at a pressure of about 100 mTorr.

【0032】付着時に、RFバイアスを、カソード上に
設けられた基板に供給した。カソードのDC自己バイア
ス電圧は、炭素付着プロセスを通して−10〜−100
0Vの範囲であった。この自己バイアス電圧は、RF電
力をカソードに供給することによって得られた。無線周
波数インピーダンスの整合は、RFマッチング・ボック
スを用いることによって得られた。最も好適には、基板
に供給されるRFバイアス電圧は、実験を通して−30
0Vに維持された。さらに好適には、基板に加えられた
電力密度は、0.005〜5W/cm2 である。最も好
適には、本発明によって用いられる電力密度は、付着プ
ロセスを通して0.62W/cm2 に維持される。
Upon deposition, an RF bias was applied to the substrate provided on the cathode. The cathode DC self-bias voltage is -10 to -100 throughout the carbon deposition process.
It was in the range of 0V. This self-bias voltage was obtained by supplying RF power to the cathode. Radio frequency impedance matching was obtained by using an RF matching box. Most preferably, the RF bias voltage applied to the substrate is −30 throughout the experiment.
It was maintained at 0V. More preferably, the power density applied to the substrate is 0.005~5W / cm 2. Most preferably, the power density used by the present invention is maintained at 0.62 W / cm 2 throughout the deposition process.

【0033】無定形炭素膜は、基板上に実質的に連続す
る被覆が得られるような速度で、基板上に付着される。
特に、前述したプロセス・パラメータを用いることによ
って、無定形炭素膜は、約20〜4000Å/分の速度
で基板上に付着される。最も好適には、無定形炭素膜の
基板上への付着速度は、約1600Å/分の速度であ
る。
[0033] The amorphous carbon film is deposited on the substrate at a rate such that a substantially continuous coating is obtained on the substrate.
In particular, by using the process parameters described above, the amorphous carbon film is deposited on the substrate at a rate of about 20-4000 ° / min. Most preferably, the rate of deposition of the amorphous carbon film on the substrate is about 1600 ° / min.

【0034】本発明によると、基板上に付着された無定
形炭素膜の厚さは、1000〜50000Åである。よ
り好適には、無定形炭素膜の厚さは、3000〜700
0Åである。バイアス電圧,ガス流量,圧力のようなプ
ロセス・パラメータを変えることによって、膜の光学定
数を変えることができる。ここでは、膜の光学定数は、
屈折率nおよび吸光係数kとして定義される。従って、
ArまたはHe中にシクロヘキサンまたはアセチレンを
単に希釈することによって、あるいは、シクロヘキサン
をHFB/水素との混合ガス(表1)に変えることによ
って、定義された光学定数を有する基板を作製すること
が可能である。本発明によって作製された二層レジスト
系応用における下部層としての無定形炭素膜の好適な光
学定数は、365と248と193nmの波長では、k
=0.1〜0.6およびn=1.40〜2.1の範囲に
ある。
According to the present invention, the thickness of the amorphous carbon film deposited on the substrate is 1000-50,000 °. More preferably, the thickness of the amorphous carbon film is 3000 to 700
0 °. By changing process parameters such as bias voltage, gas flow, and pressure, the optical constants of the film can be changed. Here, the optical constant of the film is
Defined as refractive index n and extinction coefficient k. Therefore,
It is possible to produce substrates with defined optical constants by simply diluting cyclohexane or acetylene in Ar or He, or by changing cyclohexane to a gas mixture with HFB / hydrogen (Table 1). is there. The preferred optical constants of the amorphous carbon film as the lower layer in bilayer resist-based applications made according to the present invention are: k at wavelengths of 365, 248 and 193 nm.
= 0.1-0.6 and n = 1.40-2.1.

【0035】主として、本発明によって形成された無定
形炭素膜は、UV(365nm)およびDUV(248
および193nm)用二層レジスト系応用に用いられる
理想的な厚い平坦化下部反射防止被膜として用いること
ができる。“スピン塗布ポリマー(spin on p
olymer)”と比較して炭素ARCの利点は、著し
く改良された光学的純度および調整可能性と完全性を有
して、コンフォーマルに付着することができ、これによ
り、ライン幅制御および集積回路の性能を高めることが
できることである。
Primarily, the amorphous carbon film formed according to the present invention has UV (365 nm) and DUV (248
And 193 nm) can be used as an ideal thick planarized bottom anti-reflective coating for use in two-layer resist-based applications. "Spin on polymer
The advantage of carbon ARCs as compared to "polymers" is that they can be conformally deposited with significantly improved optical purity and tunability and integrity, thereby providing line width control and integrated circuits. The performance of the system can be improved.

【0036】光学的リソグラフィにおける有効な露光線
量は、薄膜干渉のために、レジスト厚さにより周期的に
変化することは周知である。スイング比Sは、干渉最大
厚さと干渉最小厚さとの間の露光変化の比として定義さ
れる。Sは、特定のレジストプロセスの品質の基本的な
測度である。スイング比をほぼ0まで減少することによ
って、レジストプロセスは、レジストによる光学的位相
の変動および付着された膜厚の非均一性を許容すること
ができる。スイング比は、次の式によって計算すること
ができる。
It is well known that the effective exposure dose in optical lithography varies periodically with resist thickness due to thin film interference. The swing ratio S is defined as the ratio of the change in exposure between the maximum interference thickness and the minimum interference thickness. S is a basic measure of the quality of a particular resist process. By reducing the swing ratio to near zero, the resist process can tolerate resist-induced optical phase variations and deposited film thickness non-uniformity. The swing ratio can be calculated by the following equation.

【0037】[0037]

【数1】 1 は、レジスト/空気の界面における反射率であり、
2 は、上部レジスト/ARCの界面における反射率で
ある。αはレジストの吸光係数であり、Dはレジストの
厚さである。本発明では、我々は、最適化された下部層
ARCに対して二層レジストプロセスを用いてR2 を小
さくすることによってスイング比を減少させることに主
に関心を持っている。上記パラメータの重要性を説明す
る図を、図2に示す。一般に、二重レジスト系は、下部
層の光学的パラメータ(nおよびk)と最適厚さとを決
めるようにモデル化することができる。これを達成する
ためには、全ての膜構造の光学定数を知ることが、スイ
ング比の減少率を計算するために必要である。
(Equation 1) R 1 is the reflectivity at the resist / air interface;
R 2 is the reflectivity at the upper resist / ARC interface. α is the extinction coefficient of the resist, and D is the thickness of the resist. In the present invention, it has mainly interested in reducing the swing ratio by reducing the R 2 using a two-layer resist process with respect to optimized the lower layer ARC. FIG. 2 illustrates the importance of the above parameters. In general, a dual resist system can be modeled to determine the optical parameters (n and k) and the optimal thickness of the underlying layer. In order to achieve this, it is necessary to know the optical constants of all film structures in order to calculate the swing ratio reduction.

【0038】一般に、ARCの厚さdは、膜の光吸収に
依存して3000〜7000Åの間で変動する。吸光係
数kは、0.11〜0.5の間で変動する。より一般的
には、kの値は、スイング比の減少率10に対してDU
Vでは0.11〜0.3であった。屈折率nは、1.4
〜2.1の間で変動する。より一般的には、nの値は、
DUVでは1.65〜1.90の間であった。
In general, the thickness d of the ARC varies between 3000 and 7000 ° depending on the light absorption of the film. The extinction coefficient k varies between 0.11 and 0.5. More generally, the value of k is DU for a swing ratio reduction of 10.
V was 0.11 to 0.3. The refractive index n is 1.4
It fluctuates between 2.12.1. More generally, the value of n is
DUV ranged between 1.65 and 1.90.

【0039】本発明の範囲を説明するために以下の例が
与えられる。これらの例は説明のためにのみ与えられ、
本発明は、これに限定されるものではない。
The following examples are given to illustrate the scope of the present invention. These examples are given for illustration only,
The present invention is not limited to this.

【0040】例1 以下の例は、二層系の最適な下部層パラメータを得るた
めの計算を示す。パラメータは、レジスト/下部層の界
面における反射を減少するように最適化される。計算
は、Optics,by E.Hecht and
A.Zajac,published in 1979
by Wiley,pages 312〜313のよ
うな標準のテキストブックに示されているフレネル係数
を用いるアルゴリズムに基づく。これらのシミュレーシ
ョンは、多くの異なる構造に拡張することができ、以下
に与えられる例によって制限されない。この例でシミュ
レートされた構造を、図3および図4に示す。
Example 1 The following example illustrates calculations to obtain optimal lower layer parameters for a two-layer system. The parameters are optimized to reduce reflection at the resist / underlayer interface. Calculations are based on Optics, by E. Hecht and
A. Zajac, published in 1979
Based on algorithms using Fresnel coefficients shown in standard textbooks such as by Wiley, pages 312-313. These simulations can be extended to many different structures and are not limited by the examples given below. The structure simulated in this example is shown in FIGS.

【0041】基板は、シリコンであり、その上部には任
意のSiO2 層を有し(図4)、その上に厚い下部層と
イメージ形成レジストが設けられている。この実験にお
けるパラメータは、酸化物厚さと、下部層の光学定数n
およびkと、膜厚dとである。イメージ形成レジストの
屈折率n,吸光係数k,および膜厚dは、一定であり、
n=1.78,k=0.018,およびd=2000Å
によって与えられる。この例では、3つの異なる下部層
ARCの厚さ、d=3000,5000,7000Åが
シミュレートされる。
The substrate is silicon, with an optional SiO 2 layer on top (FIG. 4), on which a thick lower layer and an image forming resist are provided. The parameters in this experiment were the oxide thickness and the optical constant n of the lower layer.
And k, and the film thickness d. The refractive index n, extinction coefficient k, and film thickness d of the image forming resist are constant,
n = 1.78, k = 0.018, and d = 2000 °
Given by In this example, three different lower layer ARC thicknesses, d = 3000, 5000, 7000, are simulated.

【0042】二層系の品質は、式(1)で定義されるス
イング比の大きさの減少に寄与する低い(R2 )基板反
射率によって決められる。また、図3は、3000Åの
厚さの下部層(ARC)のn(ARC層の)およびk
(ARC層の)の関数で、シミュレートされた基板反射
率の等高プロット(定数R2 の等高線)を示す。例え
ば、横線k=0.24は、最悪のケースである幾つかの
反射率等高線0.007と交差する。248nmにおけ
るSiの反射率は約0.7であり、スイング比の減少率
(0.7/0.007)0.5 =10が得られる。図3お
よび図4は、スイング比減少率10に対して、約1.6
5〜約1.96の広い範囲の屈折率の値が許容される。
より大きいスイング比減少率が必要ならば、1.85に
近い屈折率の値が望ましい。一般に、これらのシミュレ
ーションにより、最適値に近いk(ARC)値の範囲
が、スイング比を一桁以上減少させることによって得ら
れる。図4は、酸化物厚さの反射率等高線をk(AR
C)の関数で示す。上述したように、k=0.24にお
いて、R2 ≦0.007であり、スイング比減少率10
となる。
The quality of a two-layer system is determined by the low (R 2 ) substrate reflectivity that contributes to the reduction in the magnitude of the swing ratio defined by equation (1). FIG. 3 also shows n (of the ARC layer) and k of the 3000 ° thick lower layer (ARC).
A function of (ARC of layers), shows a simulated substrate reflectivity equal contour plots of (contour lines of constant R 2). For example, the horizontal line k = 0.24 intersects some worst case reflectance contours 0.007. The reflectance of Si at 248 nm is about 0.7, and a reduction ratio (0.7 / 0.007) 0.5 = 10 of the swing ratio is obtained. FIGS. 3 and 4 show that a swing ratio reduction rate of 10 is approximately 1.6.
A wide range of refractive index values from 5 to about 1.96 is acceptable.
If a larger swing ratio reduction is required, a value of the refractive index close to 1.85 is desirable. In general, these simulations provide a range of k (ARC) values that are close to optimal values by reducing the swing ratio by an order of magnitude or more. FIG. 4 shows the reflectance contour of the oxide thickness as k (AR
It is shown by the function of C). As described above, at k = 0.24, R 2 ≦ 0.007, and the swing ratio reduction rate 10
Becomes

【0043】図5,図6(図3,図4に対応する)は、
5000Åの厚さの下部層に対するシミュレーション結
果を示す。上述したと同じ論法に従い、スイング比減少
率15となるR2 ≦0.003に対して、好ましいn
(ARC)およびk(ARC)の値は、それぞれ1.7
0〜1.90および0.15〜0.22の範囲にある。
同様に、図7,図8(図3,図4に対応する)は、スイ
ング比減少率25が、それぞれ約1.84および約0.
11のn値およびk値を有する7000Åの厚さのAR
Cについて得ることができることを示す。これらの等高
線プロットは、重要なスイング比減少率(≧10)を得
るために、広い範囲のn値およびk値を選択することが
できることを示し、また、上記の数字は説明のために与
えられたものであることに留意すべきである。最後に、
より高度の平坦化を実現する、すなわち薄膜干渉を小さ
くするためには、下部ARC層は、好適には、5000
Å以上の厚さでなければならない。
FIGS. 5 and 6 (corresponding to FIGS. 3 and 4)
Figure 4 shows simulation results for a 5000mm thick lower layer. Following the same reasoning as described above, with respect to R 2 ≦ 0.003 as the swing ratio reduction rate 15, preferably n
The values of (ARC) and k (ARC) are 1.7
0 to 1.90 and 0.15 to 0.22.
Similarly, FIGS. 7 and 8 (corresponding to FIGS. 3 and 4) show that the swing ratio reduction rate 25 is about 1.84 and about 0.8, respectively.
7000 mm thick AR with n and k values of 11
It shows that C can be obtained. These contour plots show that a wide range of n and k values can be selected to obtain significant swing ratio reduction (≧ 10), and the above numbers are given for illustration. It should be noted that Finally,
To achieve a higher degree of planarization, ie, reduce thin film interference, the lower ARC layer is preferably 5000
The thickness must be at least Å.

【0044】例2 この例では、非常に小さいスイング比の値を有するより
広いプロセス・ウィンドウを、厚い下部層の光学的特性
を調整することによってどのようにして得ることができ
るかを示している。n=1.83およびk=0.295
を有する約150Å厚さの薄い接着層が、まず、Si上
に付着される(図18の表1を参照のこと)。好適には
フッ素化炭素膜である第2の層が、上部に付着される。
この層は、約5000Åの厚さである。この場合、接着
層は、厚いARC層のフッ素がSi基板またはSiO2
を侵すことを防止する。一般に、フッ素化炭素膜のより
小さい屈折率は、Siの屈折率およびSiO2 の屈折率
を整合させるのに役立つ。n=約1.78の屈折率およ
びk=約0.21の吸光係数を有する第3の層は、イメ
ージ形成レジストの光学定数によりよく一致するように
上部に付着される。この構造についてのシミュレートさ
れた反射率等高線を、図9および図10に示す。この調
整可能な構造を、図5,図6の単一層と比較すると、プ
ロセス・ウィンドウの大きな改良が得られる。調整可能
な構造の反射率等高線0.001を囲む領域は、図5,
図6の単一層よりも約1けた(one order o
f magnitude)大きい。従って、約27のス
イング比減少率は、例1と同じ論法を用いて計算するこ
とができる。表1(図18)は、低い屈折率および高い
吸光係数の広い範囲を、フッ素化炭素膜を用いることに
よって達成できることを示す。
Example 2 This example shows how a wider process window with very small swing ratio values can be obtained by adjusting the optical properties of the thick underlying layer. . n = 1.83 and k = 0.295
A thin adhesive layer of about 150 ° thickness having the following is first deposited on the Si (see Table 1 in FIG. 18). A second layer, preferably a fluorinated carbon film, is deposited on top.
This layer is approximately 5000 ° thick. In this case, the adhesive layer is made of a thick ARC layer made of a silicon substrate or SiO 2.
To prevent invasion. In general, the lower index of refraction of the fluorinated carbon film helps to match the index of refraction of Si with the index of refraction of SiO 2 . A third layer having a refractive index of n = about 1.78 and an extinction coefficient of k = about 0.21 is deposited on top to better match the optical constants of the imaging resist. Simulated reflectance contours for this structure are shown in FIGS. Comparing this tunable structure with the single layer of FIGS. 5 and 6 results in a significant improvement in the process window. The area surrounding the reflectivity contour 0.001 of the adjustable structure is shown in FIG.
One order of magnitude higher than the single layer of FIG.
fmagnitude) large. Thus, a swing ratio reduction of about 27 can be calculated using the same reasoning as in Example 1. Table 1 (FIG. 18) shows that a wide range of low refractive index and high extinction coefficient can be achieved by using fluorinated carbon films.

【0045】例3 以下の例は、水素添加およびフッ素添加された無定形炭
素膜(厚い下部層ARCとして用いられる)を、基板
上、好適にはSi上に、シクロヘキサンガスまたはHF
B内でプラズマ強化化学的気相蒸着(PECVD)によ
って付着するプロセスを示す。この膜は、例1および例
2でシミュレートされたものと同様の光学的特性を有す
る。
Example 3 The following example demonstrates the formation of a hydrogenated and fluorinated amorphous carbon film (used as a thick underlayer ARC) on a substrate, preferably Si, with cyclohexane gas or HF.
FIG. 4 shows a process for deposition by plasma enhanced chemical vapor deposition (PECVD) in B. FIG. This film has optical properties similar to those simulated in Examples 1 and 2.

【0046】この実験は、5インチ(12.7cm)ま
たは8インチ(20.3cm)の丸いSi基板上に無定
形炭素膜を付着するように行われた。予備洗浄された基
板は、図1のカソード上に配置される前に、残留粒子を
除去するために、(濾過された)窒素ガスでブロー乾燥
された。その後、このシステムは、約1×10-8Tor
r以下のベース圧力まで排気された。基板は、炭素膜の
Si基板への良好な接着を保証するために、100mT
orrのAr圧力、0.4W/cm2 の電力密度で1分
間スパッタ・クリーニングされた。無定形炭素膜が、2
5sccmの流量のシクロヘキサンガスから付着され
た。カソードの電力密度は、−317Vの負の自己バイ
アスを生じる0.62W/cm2 であり、圧力は100
mTorrであった。全付着プロセスの際、基板は59
℃に保持された。フッ素添加膜が付着されなければなら
ない場合は、シクロヘキサンガスをヘキサフルオロベン
ゼン/水素の混合ガスで置き換えることができる。用い
られたプロセスパラメータの一覧を表1(図18)に示
す。本発明のプロセスに使用されるガスは、約99.9
9%以上の純度を有する。無定形炭素膜は、約215Å
/分の速度で基板上に付着された。
This experiment was performed to deposit an amorphous carbon film on a 5 inch (12.7 cm) or 8 inch (20.3 cm) round Si substrate. The pre-cleaned substrate was blow-dried with (filtered) nitrogen gas to remove residual particles before being placed on the cathode of FIG. After that, this system is about 1 × 10 -8 Torr
It was evacuated to a base pressure of r or less. The substrate was 100 mT to ensure good adhesion of the carbon film to the Si substrate.
The wafer was sputter-cleaned at an Ar pressure of orr and a power density of 0.4 W / cm 2 for 1 minute. When the amorphous carbon film is 2
Deposited from cyclohexane gas at a flow rate of 5 seem. The power density of the cathode is 0.62 W / cm 2 which produces a negative self-bias of −317 V and the pressure is 100
mTorr. During the entire deposition process, the substrate is 59
° C. If a fluorinated film must be deposited, the cyclohexane gas can be replaced with a mixed gas of hexafluorobenzene / hydrogen. A list of the process parameters used is shown in Table 1 (FIG. 18). The gas used in the process of the present invention is about 99.9
It has a purity of 9% or more. Amorphous carbon film is about 215Å
/ Min on the substrate.

【0047】例4 以下の例は、シクロヘキサンガスから蒸着によって付着
された無定形炭素膜の光学定数n(ARC)およびk
(ARC)をどのように測定するかを示す。この測定方
法は、種々の異なるプロセスに適用することができ、上
記2つの例によって限定されることはない。
Example 4 The following example illustrates the optical constants n (ARC) and k of an amorphous carbon film deposited by vapor deposition from cyclohexane gas.
It shows how (ARC) is measured. This measurement method can be applied to various different processes, and is not limited by the above two examples.

【0048】光学定数は、n&k Technolog
y,S.Clara,Caによって製造されたn&k
Analyzerを用いて測定された。この装置および
動作の説明は、米国特許第4,905,170号明細書
に示されている。それらは、広帯域分光測定器に基づい
た方法と、ForouhiおよびBloomer(Ph
ys.Rev.B,38,pp.1865−1874,
1988)によって導かれた光学定数の式とを用いてい
る。それらの分析は、屈折率nおよび吸光係数kについ
ての物理的モデルに基づき、半導体および誘電体膜の広
い分野に適用することができ、遠紫外線−近赤外線波長
の範囲で有効である。材料のn(λ)およびk(λ)
(λは波長)スペクトルは、直接に測定することができ
ないが、反射率測定値R(λ)のデコンボルーション
(de−convolution)により決定される。
この測定可能な量は、膜厚と、膜および基板の光学定数
とに依存している。“n&k法”は、反射率測定値をア
ンカップルする、正確で迅速な非破壊的な方法を与え
る。理論的反射率と測定された反射率とを比較するアル
ゴリズムを生成できる。この比較により、膜厚と、n
(λ)およびk(λ)スペクトルとを決定することがで
きる。
The optical constant is n & k Technology
y, S. N & k manufactured by Clara, Ca
It was measured using an Analyzer. A description of this device and operation is provided in U.S. Pat. No. 4,905,170. They are based on a broadband spectrometer-based method and the methods of Forouhi and Bloomer (Ph.
ys. Rev .. B, 38, pp. 1865-1874,
1988). These analyzes are based on physical models for the refractive index n and the extinction coefficient k and can be applied to a wide range of semiconductor and dielectric films, and are effective in the far ultraviolet to near infrared wavelength range. N (λ) and k (λ) of the material
The (λ is wavelength) spectrum cannot be measured directly, but is determined by the de-convolution of the reflectance measurement R (λ).
This measurable amount depends on the film thickness and the optical constants of the film and the substrate. The "n & k method" provides an accurate, fast, non-destructive way to uncouple reflectance measurements. An algorithm can be generated that compares the theoretical reflectance with the measured reflectance. From this comparison, the film thickness and n
(Λ) and k (λ) spectra can be determined.

【0049】図11および図13は、例3の方法によっ
て付着された厚いARCとして用いられる無定形炭素層
の、n&k Analyzerによって測定された反射
スペクトル(900〜190nm)を示す。分析された
膜は、約5000および10000Åの厚さである。対
応するn値およびk値は、図12および図14にプロッ
トされている。これらの特定の例では、248nmで
は、nは約1.80〜約1.82、kは約0.22〜約
0.25変動し、これは、例1の反射率分析と矛盾しな
い。図12および図14のn&kスペクトルは、受け入
れられる値nおよびkが、例1で説明されたように、1
93および365nmでも得られることを示している。
最後に、計算された透過率曲線(図11および図13)
は、500〜700nmの透過率が、10000Åの厚
さの膜では20〜70%、5000Åの厚さの膜では3
2〜80%変動することを示している。これらの透過率
の値は、半導体チップの多層製造における適切なマーク
アライメントに対して受け入れられる。
FIGS. 11 and 13 show reflection spectra (900-190 nm) measured by an n & k Analyzer of an amorphous carbon layer used as a thick ARC deposited by the method of Example 3. FIG. The analyzed membranes are about 5000 and 10000 ° thick. The corresponding n and k values are plotted in FIGS. In these particular examples, at 248 nm, n varied from about 1.80 to about 1.82 and k varied from about 0.22 to about 0.25, which is consistent with the reflectance analysis of Example 1. The n & k spectra of FIGS. 12 and 14 show that the acceptable values n and k are 1 as described in Example 1.
It is shown that it can be obtained also at 93 and 365 nm.
Finally, the calculated transmittance curves (FIGS. 11 and 13)
Means that the transmittance between 500 and 700 nm is 20-70% for a 10000 mm thick film and 3 for a 5000 mm thick film.
2 to 80%. These transmittance values are acceptable for proper mark alignment in multilayer fabrication of semiconductor chips.

【0050】例5 この例は、上述した二層レジスト系を用いて、0.25
μm以下のデバイス・フィーチャを形成する方法を示
す。図15は、製造プロセスのフローを示す。まず、例
3で説明したように、約3000Å以上の厚い無定形炭
素膜が、それぞれ約1.8および0.3の光学定数nお
よびkを有するSi基板上に付着される。薄い約200
0Åの好適にはシリコンを含むホトレジストが、上部に
スピン塗布され、90℃で90秒間ベークされる(図1
5(A))。レジストは、ノボラック,ポリヒドロキシ
スチレン,置換されたポリヒドロキシスチレンポリシラ
ン,ポリシロキサン,ポリシルセスキオキサンなどであ
り、その特殊な例では、ポリ(4−ヒドロキシベンジル
シルセスキオキサン),ポリ(シクロヘキシルメチルシ
ラン),ポリメチルシロキサンを含む2,1,5−ナフ
タキノンなどである。シリコンを含むレジストは、Ni
kon EXXステッパを用いて約38mJの線量で2
48nmの放射線に露光され、120℃で90秒間ポス
トベークされる。次に、レジストは、CD26 Shi
pley現像液で30秒間現像される(図15
(B))。最後に、無定形炭素膜が、25mTorrの
圧力で15分間50Wで酸素プラズマ内で反応性イオン
エッチングされる。ここで、シリコンを含むレジスト
は、酸素含有プラズマでエッチングされないのでエッチ
ングマスクとして働く(図15(C))。エッチングさ
れた二層構造をSEMで観測すると、シリコンを含むレ
ジストと厚い下部ARCとの間の境界がはっきり見え
る。下部炭素ARCは、異方的にエッチングされ、これ
により壁を垂直に保持することに留意すべきである。2
50nmのレジストラインによって、描画されたより細
かいラインは、約175nmでアスペクト比は3以上で
ある(図17)。基板上に発生した“グラス(gras
s)”は、酸素プラズマエッチングの際、シリコンを含
むレジストの汚染から生じ、非常に低密度のフッ素を含
むプラズマに極めて短い時間露光することによって、ま
たは酸素RIEの前により長時間の現像を用いることに
よって除去することができる。
Example 5 This example demonstrates the use of the two-layer resist system described above,
3 illustrates a method for forming sub-μm device features. FIG. 15 shows a flow of the manufacturing process. First, as described in Example 3, a thick amorphous carbon film of about 3000 ° or more is deposited on a Si substrate having optical constants n and k of about 1.8 and 0.3, respectively. About 200 thin
A photoresist, preferably comprising silicon at 0 °, is spun on top and baked at 90 ° C. for 90 seconds (FIG. 1).
5 (A)). The resist may be novolak, polyhydroxystyrene, substituted polyhydroxystyrene polysilane, polysiloxane, polysilsesquioxane, etc. In particular examples, poly (4-hydroxybenzylsilsesquioxane), poly (cyclohexyl) Methylsilane), 2,1,5-naphthaquinone containing polymethylsiloxane, and the like. The resist containing silicon is Ni
Using a kon EXX stepper with a dose of about 38mJ
Exposed to 48 nm radiation and post-baked at 120 ° C. for 90 seconds. Next, the resist is CD26 Shi.
Develop for 30 seconds with pley developer (Fig. 15
(B)). Finally, the amorphous carbon film is reactive ion etched in an oxygen plasma at 50 W for 15 minutes at a pressure of 25 mTorr. Here, since the resist containing silicon is not etched by the oxygen-containing plasma, it functions as an etching mask (FIG. 15C). Observation of the etched bilayer structure by SEM clearly shows the boundary between the silicon-containing resist and the thick lower ARC. It should be noted that the bottom carbon ARC is anisotropically etched, thereby keeping the walls vertical. 2
The finer line drawn by the 50 nm resist line is about 175 nm and has an aspect ratio of 3 or more (FIG. 17). "Grass" generated on the substrate
s) "results from contamination of the silicon-containing resist during oxygen plasma etching and uses a very short exposure to a plasma containing a very low density of fluorine, or uses longer development before oxygen RIE. Can be removed.

【0051】まとめとして、本発明の構成に関して以下
の事項を開示する。 (1)少なくとも一つの主面を有する基板と、複数の表
面層と、少なくとも一つの蒸着された反射防止膜とを有
する構造。 (2)前記反射防止膜は、エネルギー活性材料の層で被
覆された下部層であることを特徴とする、上記(1)に
記載の構造。 (3)前記基板は、半導体,ポリマー,ガラス,金属、
およびそれらの組み合わせよりなる群から選択されたこ
とを特徴とする、上記(1)に記載の構造。 (4)前記エネルギー活性材料は、ドライシート形状の
シリコン含有ホトレジストであることを特徴とする、上
記(2)に記載の構造。 (5)前記エネルギー活性材料と前記下部層との界面の
反射率が約0.001であることを特徴とする、上記
(2)に記載の構造。 (6)スイング比減少率が、少なくとも約1/25以上
であることを特徴とする、上記(2)に記載の構造。 (7)前記基板は、シリコンよりなる半導体であること
を特徴とする、上記(3)に記載の構造。 (8)前記エネルギー活性材料は、UV波長に感応する
組成物,DUV波長に感応する組成物,UVおよびDU
V波長に感応する組成物、およびそれらの組み合わせよ
りなる群から選択されたこと特徴とする、上記(2)に
記載の構造。 (9)前記反射防止膜の屈折率および吸光係数が、前記
反射防止膜と前記基板との間の第1の界面と、前記反射
防止膜と前記エネルギー活性材料との間の第2の界面と
において、前記エネルギー活性材料と前記基板との屈折
率および吸光係数にほぼ一致するように、微細に調整さ
れることを特徴とする、上記(2)に記載の構造。 (10)前記反射防止膜は、炭素を含む材料よりなるこ
とを特徴とする、上記(1)に記載の構造。 (11)前記反射防止膜は、連続的に変化する屈折率に
よって、および連続的に変化する吸光係数によって、厚
さおよび光学的非均一性がほぼ一様であることを特徴と
する、上記(9)に記載の構造。 (12)前記屈折率は、365,248,および193
nmの波長で、約1.4〜約2.1の間で調整可能であ
ることを特徴とする、上記(9)に記載の構造。 (13)前記吸光係数は、365,248、および19
3nmの波長で、約0.1〜約0.6の間で調整可能で
あることを特徴とする、上記(9)に記載の構造。 (14)前記屈折率は、前記基板の前記主面の屈折率に
ほぼ一致するように、前記第1の界面において約1.5
に調整され、前記第2の界面に接触する前記エネルギー
活性材料の屈折率に一致するように、前記第2の界面に
おいて約1.8に調整されることを特徴とする、上記
(9)に記載の構造。 (15)前記吸光係数が、前記基板の前記主面の吸光係
数に一致するように、前記第1の界面において約0.5
に調整され、前記第2の界面に接触する前記エネルギー
活性材料の吸光係数に一致するように、前記第2の界面
において約0.1に調整されることを特徴とする、上記
(9)に記載の構造。 (16)前記蒸着材料が、ダイアモンド・ライク・カー
ボン(DLC),フッ素添加ダイアモンド・ライク・カ
ーボン(FDLC),フッ素および水素添加ダイアモン
ド・ライク・カーボン(FHDLC),窒素添加ダイア
モンド・ライク・カーボン(NDLC),フッ素および
水素添加無定形炭素,フッ素添加無定形炭素,フッ素添
加四面体状炭素,窒素添加無定形炭素,窒素および水素
添加無定形炭素,窒素添加四面体状炭素、およびそれら
の組合わせよりなる群から選択されたことを特徴とす
る、上記(1)に記載の構造。 (17)前記蒸着材料は、ドーパントを含むことを特徴
とする、上記(16)に記載の構造。 (18)前記蒸着材料は、酸素,フッ素,およびそれら
の組合わせを含むガスによる反応性イオンエッチングに
よってパターニング、および除去可能であることを特徴
とする、上記(10)に記載の構造。 (19)前記反射防止膜は、均一な厚さの単一層膜より
なり、前記単一層膜は、365,248,および193
nmの波長で、約1.42〜約2.1の範囲の屈折率
と、約0.1〜約0.6の範囲の吸光係数とを有するこ
とを特徴とする、上記(17)に記載の構造。 (20)前記反射防止膜は、248nmの波長で、約
1.6〜1.9の範囲の屈折率と、約0.22の吸光係
数と、300nmの均一な厚さとを有することを特徴と
する、上記(10)に記載の構造。 (21)約10のスイング比減少率を有することを特徴
とする、上記(20)に記載の構造。 (22)前記反射防止膜は、248nmの波長で、約
1.6〜1.9の範囲の屈折率と、約0.15の吸光係
数と、500nmの均一な厚さとを有することを特徴と
する、上記(10)に記載の構造。 (23)約5のスイング比減少率を有することを特徴と
する、上記(22)に記載の構造。 (24)前記反射防止膜は、248nmの波長で、約
1.6〜1.9の範囲の屈折率と、約0.12の吸光係
数と、700nmの均一な厚さとを有することを特徴と
する、上記(10)に記載の構造。 (25)約25のスイング比減少率を有することを特徴
とする、上記(24)に記載の構造。 (26)前記グレーデッド屈折率は、約1.4〜約2.
1であることを特徴とする、上記(11)に記載の構
造。 (27)前記グレーデッド吸光係数は、約0.6〜約
0.12であることを特徴とする、上記(11)に記載
の構造。 (28)前記反射防止膜は、基板上で約10〜約100
00nmの均一な厚さを有することを特徴とする、上記
(11)に記載の構造。 (29)厚い下部反射防止層の第1の層が、約1.5〜
1.7の範囲の屈折率と、約0.25〜0.45の範囲
の吸光係数と、約300〜700nmの厚さとを有し、
基板の第1の主面上に付着されたことを特徴とする二層
構造。 (30)前記厚い下部反射防止層の前記二つの層は、D
LC,FDLC,FHDLC,NDLC,フッ素および
水素添加無定形炭素,フッ素添加無定形炭素,フッ素添
加四面体状炭素,窒素添加無定形炭素,窒素および水素
添加無定形炭素,窒素添加四面体状炭素、およびそれら
の組合わせよりなることを特徴とする、上記(29)に
記載の二層構造。 (31)前記厚い下部反射防止層の材料が、酸素,シリ
コン,またはそれらの組合わせよりなる群から選択され
たドーパントを含むことを特徴とする、上記(30)に
記載の二層構造。 (32)前記厚い下部反射防止層の第2の層が、約1.
8の屈折率と、約0.21の吸光係数と、約20〜約1
00nmの厚さとを有し、前記基板の第2の主面上に付
着され、感光性材料を被覆されたことを特徴とする、上
記(29)に記載の二層構造。 (33)厚い下部反射防止層の少なくとも一つの層内の
屈折率および吸光係数が、前記層の厚さを通じて低い値
からより高い値まで連続的に変化することを特徴とする
多層構造。 (34)約1.8の屈折率と、約0.3の吸光係数と、
約2〜約30nmの厚さとを有する厚い下部反射防止層
の少なくとも一つの層を有することを特徴とする、上記
(33)に記載の多層構造。 (35)約1.5〜1.9の範囲の屈折率と、約0.1
5〜0.5の範囲の吸光係数と、約100〜約1000
nmの厚さとを有する厚い下部反射防止層の少なくとも
一つの追加層を有することを特徴とする、上記(33)
に記載の多層構造。 (36)約1.8の屈折率と、約0.21の吸光係数
と、約100〜約1000Åの厚さとを有する厚い下部
反射防止層の少なくとも一つの追加層を有することを特
徴とする、上記(33)に記載の多層構造。 (37)屈折率が、約1.9から約1.5までスムーズ
にグレーデッドである厚い下部反射防止層の少なくとも
一つの層を有することを特徴とする、上記(33)に記
載の多層構造。 (38)吸光係数が約0.15から約0.5までスムー
ズにグレーデッドである厚い下部反射防止層の少なくと
も一つの層を有することを特徴とする、上記(33)に
記載の多層構造。 (39)基板上に付着された反射防止層膜を製造する方
法において、前記反射防止層膜の付着のために、チャン
バの電極上に基板を設ける工程と、前記チャンバを排気
する工程と、前記基板を予備洗浄して、前記基板を加熱
する工程と、前記反射防止層を形成するガスを導入する
工程と、反射防止層を付着するのに十分な電力密度およ
び/または時間で、前記チャンバを励起して、被覆され
た基板を形成する工程と、前記チャンバから前記被覆さ
れた基板を取り出す工程と、を含むことを特徴とする製
造方法。 (40)前記基板が、半導体基板であることを特徴とす
る、上記(39)に記載の製造方法。 (41)前記チャンバは、反応性スパッタリング・チャ
ンバよりなることを特徴とする、上記(39)に記載の
製造方法。 (42)前記チャンバを排気する工程は、前記チャンバ
を約10-3〜約10-7Torrまで排気することを含む
ことを特徴とする、上記(39)に記載の製造方法。 (43)前記基板を加熱する工程は、約25℃〜約40
0℃の温度まで前記基板を加熱することを含むことを特
徴とする、上記(39)に記載の製造方法。 (44)前記基板を予備洗浄する工程は、前記基板をR
Fスパッタ・クリーニングすることを含むことを特徴と
する、上記(39)に記載の製造方法。 (45)前記蒸着された厚い下部反射防止層を形成する
ガスを導入する工程は、ヘキサフルオロベンゼン(HF
B)ガスを導入することを含むことを特徴とする、上記
(39)に記載の製造方法。 (46)前記HFBガスを導入する工程は、炭化水素ガ
スを導入し、その相対量を調整することをさらに含み、
前記厚い下部反射防止層における屈折率が、HFBの相
対量増大によってより小さくなることを特徴とする、上
記(45)に記載の製造方法。 (47)付着の際に、水素,酸素,およびそれらの組み
合わせよりなる群から選択されたドーパントレベルのガ
スを前記チャンバ内に導入する工程をさらに含むことを
特徴とする、上記(45)に記載の製造方法。 (48)基板を設ける工程と、前記基板上に厚い下部反
射防止層を付着する工程と、シリコン含有ホトレジスト
を上部にスピン塗布する工程と、前記レジストを90℃
で90秒間ベークする工程と、前記レジストをホトマス
クを通してDUV露光し、前記レジストを120℃で9
0秒間ポストベークする工程と、前記ホトレジストを現
像して、パターニングされたレジスト構造を形成する工
程と、前記パターニングされたレジスト構造をエッチン
グマスクとして、酸素および/またはフッ素含有プラズ
マで、前記厚い下部反射防止層を反応性イオンエッチン
グして、高アスペクト比を有する250nm以下のライ
ンを形成する工程と、を含むことを特徴とする製造方
法。 (49)前記基板は、磁気ヘッド,電子チップ,回路基
板,および半導体デバイスよりなる群から選択されたこ
とを特徴とする、上記(1)に記載の構造。 (50)パターニングされた反射防止膜を有する面を有
することを特徴とする構造。 (51)前記エネルギー活性材料は、電磁放射線,粒子
ビーム,および熱よりなる群から選択されたエネルギー
に感応することを特徴とする、上記(2)に記載の構
造。 (52)前記粒子ビームは、電子ビームであることを特
徴とする、上記(51)に記載の構造。 (53)前記ドーパントは、酸素,シリコン,およびそ
れらの組合わせよりなる群から選択されたことを特徴と
する、上記(17)に記載の構造。 (54)前記加熱する工程は、光学的,抵抗的,および
それらの組み合わせよりなる群から選択された手段によ
ることを特徴とする、上記(39)に記載の製造方法。 (55)前記反射防止層膜が、約100〜約10000
nmの厚い下部反射防止層膜の合計厚さでは、約2〜約
200nm/分の速度で付着されることを特徴とする、
上記(39)に記載の製造方法。 (56)前記少なくとも一つの蒸着された反射防止膜
は、所定の屈折率のプロファイル,所定の吸光係数のプ
ロファイル,および所定の厚さを有することを特徴とす
る、上記(1)に記載の構造。 (57)前記少なくとも一つの蒸着された反射防止膜
は、プラズマ付着,スパッタ付着,イオンビーム付着,
蒸着,およびそれらの組み合わせにより付着された膜よ
りなる群から選択されたことを特徴とする、上記(1)
に記載の構造。 (58)前記少なくとも一つの蒸着された反射防止膜
は、微細に光学的に調整されることを特徴とする、上記
(1)に記載の構造。 (59)前記チャンバを励起する工程は、プラズマ付
着,スパッタ付着,イオンビーム付着,蒸着、およびそ
れらの組み合わせよりなる群から選択されたプロセスに
よって前記反射防止層膜を付着することであることを特
徴とする、上記(39)に記載の製造方法。 (60)ドーパントを導入する工程をさらに含むことを
特徴とする、上記(39)に記載の製造方法。 (61)前記反射防止層膜を、制御された厚さ,屈折
率、および吸光係数を有するように付着することを特徴
とする、上記(39)に記載の製造方法。 (62)前記屈折率および前記吸光係数の少なくとも一
方は、非均一なプロファイルを有することを特徴とす
る、上記(1)に記載の構造。
In summary, the following matters are disclosed regarding the configuration of the present invention. (1) A structure including a substrate having at least one main surface, a plurality of surface layers, and at least one deposited antireflection film. (2) The structure according to (1), wherein the antireflection film is a lower layer covered with a layer of an energy active material. (3) The substrate is a semiconductor, a polymer, a glass, a metal,
And a structure selected from the group consisting of: and a combination thereof. (4) The structure according to (2), wherein the energy-active material is a silicon-containing photoresist in a dry sheet shape. (5) The structure according to (2), wherein a reflectance at an interface between the energy active material and the lower layer is about 0.001. (6) The structure according to (2), wherein the swing ratio reduction rate is at least about 1/25 or more. (7) The structure according to (3), wherein the substrate is a semiconductor made of silicon. (8) The energy active material is a composition sensitive to UV wavelength, a composition sensitive to DUV wavelength, UV and DU
The structure according to (2), wherein the structure is selected from the group consisting of a composition sensitive to V wavelengths and a combination thereof. (9) a refractive index and an extinction coefficient of the anti-reflection film, a first interface between the anti-reflection film and the substrate, and a second interface between the anti-reflection film and the energy active material; 3. The structure according to (2), wherein the structure is finely adjusted so that the refractive index and the extinction coefficient of the energy-active material and the substrate substantially coincide with each other. (10) The structure according to (1), wherein the antireflection film is made of a material containing carbon. (11) The antireflection film is characterized in that the thickness and the optical non-uniformity are substantially uniform due to a continuously changing refractive index and a continuously changing extinction coefficient. The structure according to 9). (12) The refractive indices are 365, 248, and 193.
The structure according to (9), wherein the wavelength is adjustable between about 1.4 and about 2.1 at a wavelength of nm. (13) The extinction coefficients are 365, 248 and 19
The structure according to (9), wherein the wavelength can be adjusted between about 0.1 and about 0.6 at a wavelength of 3 nm. (14) The refractive index is about 1.5 at the first interface so as to substantially match the refractive index of the main surface of the substrate.
(9) wherein the second interface is adjusted to about 1.8 at the second interface to match the refractive index of the energy active material in contact with the second interface. The described structure. (15) about 0.5 at the first interface so that the extinction coefficient matches the extinction coefficient of the main surface of the substrate.
(9) wherein the second interface is adjusted to about 0.1 at the second interface to match the extinction coefficient of the energy-active material in contact with the second interface. The described structure. (16) The deposition material is diamond-like carbon (DLC), fluorine-added diamond-like carbon (FDLC), fluorine- and hydrogen-added diamond-like carbon (FHDLC), nitrogen-added diamond-like carbon (NDLC) ), Fluorine and hydrogenated amorphous carbon, fluorinated amorphous carbon, fluorinated tetrahedral carbon, nitrogen-added amorphous carbon, nitrogen and hydrogenated amorphous carbon, nitrogen-added tetrahedral carbon, and combinations thereof The structure according to (1), wherein the structure is selected from the group consisting of: (17) The structure according to (16), wherein the vapor deposition material contains a dopant. (18) The structure according to (10), wherein the vapor deposition material can be patterned and removed by reactive ion etching using a gas containing oxygen, fluorine, and a combination thereof. (19) The antireflection film is formed of a single-layer film having a uniform thickness, and the single-layer films are 365, 248, and 193.
The above (17), having a refractive index in the range of about 1.42 to about 2.1 and an extinction coefficient in the range of about 0.1 to about 0.6 at a wavelength of nm. Structure. (20) The antireflection film has a refractive index in a range of about 1.6 to 1.9 at a wavelength of 248 nm, an extinction coefficient of about 0.22, and a uniform thickness of 300 nm. The structure according to the above (10). (21) The structure according to (20), having a swing ratio reduction rate of about 10. (22) The anti-reflection film has a refractive index in a range of about 1.6 to 1.9 at a wavelength of 248 nm, an extinction coefficient of about 0.15, and a uniform thickness of 500 nm. The structure according to the above (10). (23) The structure according to (22), having a swing ratio reduction rate of about 5. (24) The antireflection film has a refractive index in a range of about 1.6 to 1.9 at a wavelength of 248 nm, an extinction coefficient of about 0.12, and a uniform thickness of 700 nm. The structure according to the above (10). (25) The structure according to (24), having a swing ratio reduction rate of about 25. (26) The graded refractive index is about 1.4 to about 2.
The structure according to the above (11), wherein the number is 1. (27) The structure according to (11), wherein the graded extinction coefficient is about 0.6 to about 0.12. (28) The antireflection film is formed on the substrate by about 10 to about 100
The structure according to the above (11), having a uniform thickness of 00 nm. (29) The first layer of the thick lower antireflection layer has a thickness of about 1.5 to 1.5
A refractive index in the range of 1.7, an extinction coefficient in the range of about 0.25 to 0.45, and a thickness of about 300 to 700 nm;
A two-layer structure deposited on a first major surface of a substrate. (30) The two layers of the thick lower anti-reflection layer have a D
LC, FDLC, FHDLC, NDLC, fluorine and hydrogenated amorphous carbon, fluorine added amorphous carbon, fluorine added tetrahedral carbon, nitrogen added amorphous carbon, nitrogen and hydrogenated amorphous carbon, nitrogen added tetrahedral carbon, And the combination thereof. The two-layer structure according to the above (29), wherein (31) The two-layer structure according to the above (30), wherein the material of the thick lower anti-reflection layer contains a dopant selected from the group consisting of oxygen, silicon, or a combination thereof. (32) The second layer of the thick lower anti-reflection layer has a thickness of about 1.
8, a extinction coefficient of about 0.21 and about 20 to about 1
The two-layer structure according to (29), wherein the two-layer structure has a thickness of 00 nm and is deposited on the second main surface of the substrate and coated with a photosensitive material. (33) A multilayer structure, wherein the refractive index and the extinction coefficient in at least one layer of the thick lower anti-reflection layer continuously change from a low value to a higher value through the thickness of the layer. (34) a refractive index of about 1.8, an extinction coefficient of about 0.3,
The multilayer structure of claim 33, comprising at least one thick lower anti-reflective layer having a thickness of about 2 to about 30 nm. (35) a refractive index in the range of about 1.5 to 1.9 and about 0.1
An extinction coefficient in the range of 5 to 0.5 and about 100 to about 1000
(33) characterized in that it comprises at least one additional layer of a thick lower anti-reflection layer having a thickness of nm.
The multilayer structure according to 1. (36) characterized by having at least one additional layer of a thick lower anti-reflective layer having a refractive index of about 1.8, an extinction coefficient of about 0.21, and a thickness of about 100 to about 1000 °; The multilayer structure according to the above (33). (37) The multilayer structure according to the above (33), wherein the multilayer structure has at least one layer of a thick lower anti-reflection layer whose refractive index is smoothly graded from about 1.9 to about 1.5. . (38) The multilayer structure according to the above (33), wherein the multilayer structure has at least one layer of a thick lower anti-reflection layer that is smoothly graded from about 0.15 to about 0.5. (39) In a method of manufacturing an anti-reflection layer film adhered on a substrate, a step of providing a substrate on an electrode of a chamber for adhering the anti-reflection layer film, evacuating the chamber, Pre-cleaning the substrate and heating the substrate; introducing a gas forming the anti-reflective layer; and providing the chamber with a power density and / or time sufficient to deposit the anti-reflective layer. A method of manufacturing, comprising: exciting to form a coated substrate; and removing the coated substrate from the chamber. (40) The method according to (39), wherein the substrate is a semiconductor substrate. (41) The manufacturing method according to the above (39), wherein the chamber comprises a reactive sputtering chamber. (42) The method according to (39), wherein the step of evacuating the chamber includes evacuating the chamber to about 10 −3 to about 10 −7 Torr. (43) The step of heating the substrate is performed at about 25 ° C. to about 40 ° C.
The method according to (39), comprising heating the substrate to a temperature of 0 ° C. (44) The step of pre-cleaning the substrate includes the step of:
The manufacturing method according to the above (39), which comprises performing F sputter cleaning. (45) The step of introducing a gas for forming the deposited thick lower anti-reflection layer is performed using hexafluorobenzene (HF).
B) The method according to the above (39), which comprises introducing a gas. (46) The step of introducing the HFB gas further includes introducing a hydrocarbon gas and adjusting a relative amount thereof.
The method according to (45), wherein the refractive index of the thick lower anti-reflection layer becomes smaller as the relative amount of HFB increases. (47) The method according to (45), further comprising, during the deposition, introducing a gas having a dopant level selected from the group consisting of hydrogen, oxygen, and a combination thereof into the chamber. Manufacturing method. (48) providing a substrate, depositing a thick lower anti-reflective layer on the substrate, spin-coating a silicon-containing photoresist on top,
Baking for 90 seconds, and exposing the resist to DUV through a photomask.
Post baking for 0 second, developing the photoresist to form a patterned resist structure, and using the patterned resist structure as an etching mask with oxygen and / or fluorine containing plasma to form the thick bottom reflection. Forming a 250 nm or less line having a high aspect ratio by reactive ion etching of the prevention layer. (49) The structure according to (1), wherein the substrate is selected from the group consisting of a magnetic head, an electronic chip, a circuit board, and a semiconductor device. (50) A structure having a surface having a patterned antireflection film. (51) The structure according to (2), wherein said energy-active material is responsive to energy selected from the group consisting of electromagnetic radiation, particle beams, and heat. (52) The structure according to (51), wherein the particle beam is an electron beam. (53) The structure according to (17), wherein the dopant is selected from the group consisting of oxygen, silicon, and a combination thereof. (54) The method according to the above (39), wherein the step of heating is performed by means selected from the group consisting of optical, resistive, and a combination thereof. (55) The antireflection layer film has a thickness of about 100 to about 10,000
wherein the total thickness of the lower anti-reflective layer film of about 300 nm is deposited at a rate of about 2 to about 200 nm / min.
The production method according to the above (39). (56) The structure according to (1), wherein the at least one deposited antireflection film has a predetermined refractive index profile, a predetermined absorption coefficient profile, and a predetermined thickness. . (57) The at least one deposited anti-reflection film is formed by plasma deposition, sputter deposition, ion beam deposition,
(1) characterized in that it is selected from the group consisting of films deposited by vapor deposition, and combinations thereof.
Structure described in. (58) The structure according to (1), wherein the at least one deposited antireflection film is finely optically adjusted. (59) The step of exciting the chamber is to deposit the antireflection layer by a process selected from the group consisting of plasma deposition, sputter deposition, ion beam deposition, vapor deposition, and combinations thereof. The production method according to the above (39). (60) The method according to (39), further comprising a step of introducing a dopant. (61) The method according to (39), wherein the anti-reflection layer film is adhered so as to have a controlled thickness, refractive index, and extinction coefficient. (62) The structure according to (1), wherein at least one of the refractive index and the extinction coefficient has a non-uniform profile.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明を実施するのに有用な蒸着装置の概略図
である。
FIG. 1 is a schematic diagram of a vapor deposition apparatus useful for practicing the present invention.

【図2】スイング比の定義を示す図である。FIG. 2 is a diagram showing a definition of a swing ratio.

【図3】300nmの下部層についてnおよびkの関数
で反射率等高線(反射率R2 の等高線)を示す図であ
る。
FIG. 3 is a diagram showing reflectance contours (contours of reflectance R 2 ) as a function of n and k for a lower layer of 300 nm.

【図4】300nmの下部層についてkおよびSiO2
の厚さの関数で反射率等高線(反射率R2 の等高線)を
示す図である。
FIG. 4 shows k and SiO 2 for a 300 nm lower layer
Reflectance contours as a function of the thickness of (contour of the reflectance R 2) is a diagram showing a.

【図5】500nmの下部層についてnおよびkの関数
で反射率等高線(反射率R2 の等高線)を示す図であ
る。
FIG. 5 shows reflectance contours (reflectance R 2 contours) as a function of n and k for a lower layer of 500 nm.

【図6】500nmの下部層についてkおよびSiO2
厚さの関数で反射率等高線(反射率R2 の等高線)を示
す図である。
FIG. 6 shows k and SiO 2 for a 500 nm lower layer.
The thickness function reflectance contours of a diagram showing a (contour of the reflectance R 2).

【図7】700nmの下部層についてnおよびkの関数
で反射率等高線(反射率R2 の等高線)を示す図であ
る。
7 is a diagram showing a reflectance contours (contour of the reflectance R 2) as a function of n and k for the lower layer of 700 nm.

【図8】700nmの下部層についてkおよびSiO2
厚さの関数で反射率等高線(反射率R2 の等高線)を示
す図である。
FIG. 8: k and SiO 2 for 700 nm lower layer
The thickness function reflectance contours of a diagram showing a (contour of the reflectance R 2).

【図9】約500nmの厚さの調整可能な下部層につい
てnおよびkの関数で反射率等高線(反射率R2 )を示
す図である。
FIG. 9 shows reflectance contours (reflectance R 2 ) as a function of n and k for an adjustable lower layer having a thickness of about 500 nm.

【図10】多層レジストの構造を示す概略図である。FIG. 10 is a schematic view showing the structure of a multilayer resist.

【図11】例4に説明されたような約500nmの厚さ
の炭素層の測定された反射率と計算された透過率とを示
す図である。
FIG. 11 shows the measured reflectance and calculated transmittance of a carbon layer about 500 nm thick as described in Example 4.

【図12】図11に対応する計算されたnおよびkの値
を示す図である。
FIG. 12 is a diagram showing calculated values of n and k corresponding to FIG. 11;

【図13】例4に説明された約1000nm厚さの炭素
層の測定された反射率と計算された透過率とを示す図で
ある。
FIG. 13 shows the measured reflectance and calculated transmittance of the approximately 1000 nm thick carbon layer described in Example 4.

【図14】図13に対応する計算されたnおよびk値を
示す図である。
FIG. 14 is a diagram showing calculated n and k values corresponding to FIG. 13;

【図15】レジストの二層系のプロセスフローを示す図
である。
FIG. 15 is a diagram showing a process flow of a two-layer resist system.

【図16】本発明によるサンプルの光学的特性を示す表
1である。
FIG. 16 is Table 1 showing optical characteristics of a sample according to the present invention.

【図17】レジストの線幅が露光エネルギーによってど
のようにして変動するかというシミュレーションを示す
図である。
FIG. 17 is a diagram showing a simulation of how the line width of a resist varies with exposure energy.

【図18】ウエハの全反射率を、酸化物(層#1)の厚
さの関数として示す図である。
FIG. 18 shows the total reflectivity of the wafer as a function of oxide (layer # 1) thickness.

【図19】計算されたレジストの線幅を、酸化物の厚さ
の関数として示す図であり、線幅は、厚さの小さな変動
によって大きく影響を受けることを説明する図である。
FIG. 19 shows the calculated resist linewidth as a function of oxide thickness, illustrating that linewidth is significantly affected by small variations in thickness.

【図20】上部のARC層#1と酸化物層#2とシリコ
ン基板との上にレジストを有する薄膜スタックを示す図
である。
FIG. 20 shows a thin film stack with resist on top of ARC layer # 1, oxide layer # 2, and silicon substrate.

【図21】レジストの反射率を酸化物の厚さの関数とし
て示す図である。
FIG. 21 illustrates the reflectivity of a resist as a function of oxide thickness.

【図22】線幅対酸化物の厚さの曲線を示す図である。FIG. 22 shows a curve of line width versus oxide thickness.

【符号の説明】[Explanation of symbols]

8 PECVD付着装置 10 チャンバ 11 スロットルバルブ 12 シャワーヘッド 13 マッチボックス 14 RF電力 15 基板 16 プレート 17 抵抗ヒータ 19 カソード 20,21,22,23,24,25,26 管路 27 誘電体スペーサ Reference Signs List 8 PECVD deposition apparatus 10 Chamber 11 Throttle valve 12 Shower head 13 Match box 14 RF power 15 Substrate 16 Plate 17 Resistive heater 19 Cathode 20, 21, 22, 23, 24, 25, 26 Pipe 27 Dielectric spacer

───────────────────────────────────────────────────── フロントページの続き (72)発明者 ティモシィ・アラン・ブルナー アメリカ合衆国 06877 コネティカット 州 リッジフィールド ウェストモアラン ド ロード 27 (72)発明者 アレッサンドロ・シーザー・キャレガリ アメリカ合衆国 10566 ニューヨーク州 ヨークタウン ハイツ ハノーヴァー ストリート 756 (72)発明者 アルフレッド・グリル アメリカ合衆国 10605 ニューヨーク州 ホワイト プレインズ オーヴァールッ ク ロード 85 (72)発明者 クリストファー・ブイ・ジャーネス アメリカ合衆国 10952 ニューヨーク州 モンシィ レギナ ロード 24 (72)発明者 ヴィシュナバイ・ヴィッタルバイ・パテル アメリカ合衆国 10598 ニューヨーク州 ヨークタウン ハイツ ウィロウェイ ストリート 2289 ──────────────────────────────────────────────────続 き Continued on the front page (72) Inventor Timothy Alan Brunner United States 06877 Ridgefield, Westmoreland Road, Connecticut 27 (72) Inventor Alessandro Caesar Carregari United States 10566 Yorktown Heights, Hanover Street 756, New York 756 ( 72) Inventor Alfred Grill U.S.A. 10605 White Plains Overlook Road, New York 85 (72) Inventor Christopher V. Journeys U.S.A. yoke Town Heights Willowway Street 2289

Claims (42)

【特許請求の範囲】[Claims] 【請求項1】少なくとも一つの主面を有する基板と、少
なくとも一つの蒸着された反射防止膜とを含む構造。
A structure comprising a substrate having at least one major surface and at least one deposited anti-reflective coating.
【請求項2】前記基板は、半導体,ポリマー,ガラス,
金属、およびそれらの組み合わせよりなる群から選択さ
れたことを特徴とする、請求項1に記載の構造。
2. The method according to claim 1, wherein the substrate is a semiconductor, a polymer, a glass,
The structure of claim 1, wherein the structure is selected from the group consisting of metals and combinations thereof.
【請求項3】前記基板は、シリコンよりなる半導体であ
ることを特徴とする、請求項2に記載の構造。
3. The structure according to claim 2, wherein said substrate is a semiconductor made of silicon.
【請求項4】前記反射防止膜は、エネルギー活性材料の
層で被覆された下部層であることを特徴とする、請求項
1に記載の構造。
4. The structure of claim 1, wherein said anti-reflective coating is a lower layer coated with a layer of energy active material.
【請求項5】前記エネルギー活性材料は、シリコン含有
ホトレジストであることを特徴とする、請求項4に記載
の構造。
5. The structure of claim 4, wherein said energy active material is a silicon-containing photoresist.
【請求項6】前記エネルギー活性材料と前記下部層との
界面の反射率が約0.001より小さいことを特徴とす
る、請求項4に記載の構造。
6. The structure of claim 4, wherein the interface between the energy active material and the lower layer has a reflectivity of less than about 0.001.
【請求項7】スイング比減少率が、少なくとも約10以
上であることを特徴とする、請求項4に記載の構造。
7. The structure of claim 4, wherein the swing ratio reduction is at least about 10 or more.
【請求項8】前記エネルギー活性材料は、UV波長に感
応する組成物,DUV波長に感応する組成物,UVおよ
びDUV波長に感応する組成物、およびそれらの組み合
わせよりなる群から選択されたこと特徴とする、請求項
4に記載の構造。
8. The energy-active material is selected from the group consisting of a composition sensitive to UV wavelengths, a composition sensitive to DUV wavelengths, a composition sensitive to UV and DUV wavelengths, and combinations thereof. The structure according to claim 4, wherein
【請求項9】前記反射防止膜の屈折率および吸光係数
が、前記反射防止膜と前記基板との間の第1の界面と、
前記反射防止膜と前記エネルギー活性材料との間の第2
の界面とにおいて、前記エネルギー活性材料と前記基板
との屈折率および吸光係数にほぼ一致するように調整さ
れることを特徴とする、請求項4に記載の構造。
9. The method according to claim 1, wherein a refractive index and an extinction coefficient of the antireflection film are different from a first interface between the antireflection film and the substrate.
A second layer between the antireflection film and the energy-active material;
5. The structure according to claim 4, wherein the refractive index and the extinction coefficient of the energy active material and the substrate are adjusted so as to substantially coincide with the interface of the substrate.
【請求項10】前記反射防止膜は、連続的に変化する屈
折率によって、および連続的に変化する吸光係数によっ
て、厚さおよび光学的非均一性がほぼ一様であることを
特徴とする、請求項9に記載の構造。
10. The antireflection coating is characterized in that thickness and optical non-uniformity are substantially uniform due to a continuously changing refractive index and a continuously changing extinction coefficient. A structure according to claim 9.
【請求項11】前記屈折率は、365,248,および
193nmの波長で、約1.4〜約2.1の間で調整可
能であることを特徴とする、請求項9に記載の構造。
11. The structure of claim 9, wherein said refractive index is adjustable between about 1.4 and about 2.1 at wavelengths of 365, 248, and 193 nm.
【請求項12】前記吸光係数は、365,248、およ
び193nmの波長で、約0.1〜約0.6の間で調整
可能であることを特徴とする、請求項9に記載の構造。
12. The structure of claim 9, wherein said extinction coefficient is adjustable between about 0.1 and about 0.6 at wavelengths of 365, 248, and 193 nm.
【請求項13】前記反射防止膜は、炭素を含む材料より
なることを特徴とする、請求項1に記載の構造。
13. The structure according to claim 1, wherein said antireflection film is made of a material containing carbon.
【請求項14】前記蒸着材料が、ダイアモンド・ライク
・カーボン(DLC),フッ素添加ダイアモンド・ライ
ク・カーボン(FDLC),フッ素および水素添加ダイ
アモンド・ライク・カーボン(FHDLC),窒素添加
ダイアモンド・ライク・カーボン(NDLC),フッ素
および水素添加無定形炭素,フッ素添加無定形炭素,フ
ッ素添加四面体状炭素,窒素添加無定形炭素,窒素およ
び水素添加無定形炭素,窒素添加四面体状炭素、および
それらの組合わせよりなる群から選択されたことを特徴
とする、請求項13に記載の構造。
14. The vapor-deposited material is diamond-like carbon (DLC), fluorinated diamond-like carbon (FDLC), fluorine- and hydrogenated diamond-like carbon (FHDLC), nitrogen-added diamond-like carbon. (NDLC), fluorinated and hydrogenated amorphous carbon, fluorinated amorphous carbon, fluorinated tetrahedral carbon, nitrogen-added amorphous carbon, nitrogen and hydrogenated amorphous carbon, nitrogen-added tetrahedral carbon, and combinations thereof 14. The structure according to claim 13, wherein the structure is selected from the group consisting of mating.
【請求項15】前記材料は、ドーパントを含むことを特
徴とする、請求項14に記載の構造。
15. The structure of claim 14, wherein said material comprises a dopant.
【請求項16】前記ドーパントは、酸素,シリコン,お
よびそれらの組合わせよりなる群から選択されたことを
特徴とする、請求項15に記載の構造。
16. The structure of claim 15, wherein said dopant is selected from the group consisting of oxygen, silicon, and combinations thereof.
【請求項17】前記反射防止膜は、均一な厚さの単一層
膜よりなり、前記単一層膜は、365,248,および
193nmの波長で、約1.4〜約2.1の範囲の屈折
率と、約0.1〜約0.6の範囲の吸光係数とを有する
ことを特徴とする、請求項15に記載の構造。
17. The anti-reflection coating comprises a single-layer film of uniform thickness, wherein the single-layer film has wavelengths of 365, 248, and 193 nm and ranges from about 1.4 to about 2.1. The structure of claim 15, having a refractive index and an extinction coefficient in the range of about 0.1 to about 0.6.
【請求項18】前記材料は、酸素,フッ素,およびそれ
らの組合わせを含むガスによる反応性イオンエッチング
によってパターニング、および除去可能であることを特
徴とする、請求項13に記載の構造。
18. The structure of claim 13, wherein said material is patternable and removable by reactive ion etching with a gas comprising oxygen, fluorine, and combinations thereof.
【請求項19】前記少なくとも一つの蒸着された反射防
止膜は、所定の屈折率のプロファイル,所定の吸光係数
のプロファイル,および所定の厚さを有することを特徴
とする、請求項1に記載の構造。
19. The method of claim 1, wherein the at least one deposited anti-reflective coating has a predetermined refractive index profile, a predetermined extinction coefficient profile, and a predetermined thickness. Construction.
【請求項20】前記屈折率は、約1.4〜約2.1の範
囲であることを特徴とする、請求項19に記載の構造。
20. The structure of claim 19, wherein said index of refraction ranges from about 1.4 to about 2.1.
【請求項21】前記吸光係数は、約0.1〜約0.6の
範囲であることを特徴とする、請求項19に記載の構
造。
21. The structure according to claim 19, wherein said extinction coefficient ranges from about 0.1 to about 0.6.
【請求項22】前記反射防止膜は、基板上で約100〜
約5000nmの均一な厚さを有することを特徴とす
る、請求項19に記載の構造。
22. The method according to claim 11, wherein the antireflection film has a thickness of about
20. The structure of claim 19, having a uniform thickness of about 5000 nm.
【請求項23】前記屈折率および前記吸光係数の少なく
とも一方は、非均一なプロファイルを有することを特徴
とする、請求項19に記載の構造。
23. The structure according to claim 19, wherein at least one of the refractive index and the extinction coefficient has a non-uniform profile.
【請求項24】前記少なくとも一つの蒸着された反射防
止膜は、プラズマ付着,スパッタ付着,イオンビーム付
着,蒸着,およびそれらの組み合わせにより付着された
膜よりなる群から選択されたことを特徴とする、請求項
1に記載の構造。
24. The at least one deposited anti-reflective coating is selected from the group consisting of films deposited by plasma deposition, sputter deposition, ion beam deposition, deposition, and combinations thereof. The structure of claim 1.
【請求項25】約1.5〜1.7の範囲の屈折率と、約
0.25〜0.45の範囲の吸光係数と、約300〜7
00nmの厚さとを有する、下部反射防止層の第1の層
が、基板の第1の主面上に付着された二層構造。
25. An index of refraction in the range of about 1.5 to 1.7, an extinction coefficient in the range of about 0.25 to 0.45, and about 300 to 7
A two-layer structure, wherein a first layer of a lower antireflective layer having a thickness of 00 nm is deposited on a first major surface of the substrate.
【請求項26】約1.8の屈折率と、約0.21の吸光
係数と、約20〜約100nmの厚さとを有する、下部
反射防止層の第2の層が、前記基板の第2の主面上に付
着され、感光性材料を被覆されたことを特徴とする、請
求項25に記載の二層構造。
26. A second layer of a lower anti-reflective layer having a refractive index of about 1.8, an extinction coefficient of about 0.21, and a thickness of about 20 to about 100 nm, wherein 26. The two-layer structure according to claim 25, wherein the two-layer structure is attached on a main surface of the first member and coated with a photosensitive material.
【請求項27】前記下部反射防止層の前記二つの層は、
DLC,FDLC,FHDLC,NDLC,フッ素およ
び水素添加無定形炭素,フッ素添加無定形炭素,フッ素
添加四面体状炭素,窒素添加無定形炭素,窒素および水
素添加無定形炭素,窒素添加四面体状炭素、およびそれ
らの組合わせよりなることを特徴とする、請求項26に
記載の二層構造。
27. The two layers of the lower anti-reflection layer,
DLC, FDLC, FHDLC, NDLC, fluorine and hydrogenated amorphous carbon, fluorine added amorphous carbon, fluorine added tetrahedral carbon, nitrogen added amorphous carbon, nitrogen and hydrogenated amorphous carbon, nitrogen added tetrahedral carbon, 27. The two-layer structure according to claim 26, comprising: and a combination thereof.
【請求項28】前記下部反射防止層の材料が、酸素,シ
リコン,またはそれらの組合わせよりなる群から選択さ
れたドーパントを含むことを特徴とする、請求項27に
記載の二層構造。
28. The two-layer structure of claim 27, wherein the material of the lower anti-reflective layer comprises a dopant selected from the group consisting of oxygen, silicon, or a combination thereof.
【請求項29】厚い下部反射防止層の少なくとも一つの
層内の屈折率および吸光係数が、前記層の厚さを通じて
低い値からより高い値まで連続的に変化することを特徴
とする多層構造。
29. A multi-layer structure wherein the refractive index and the extinction coefficient in at least one layer of the thick lower anti-reflection layer vary continuously from a lower value to a higher value through the thickness of said layer.
【請求項30】基板上に付着された反射防止層膜を製造
する方法において、 前記反射防止層膜の付着のために、チャンバの電極上に
基板を設ける工程と、 前記チャンバを排気する工程と、 前記基板を予備洗浄して、前記基板を加熱する工程と、 前記反射防止層膜を形成するガスを導入する工程と、 前記反射防止層膜を付着するのに十分な電力密度および
/または時間で、前記チャンバを励起して、前記反射防
止層膜を付着された基板を形成する工程と、 前記チャンバから前記基板を取り出す工程と、 を含むことを特徴とする製造方法。
30. A method of manufacturing an anti-reflective layer film deposited on a substrate, comprising: providing a substrate on an electrode of a chamber for depositing the anti-reflective layer film; and evacuating the chamber. Pre-cleaning the substrate and heating the substrate; introducing a gas for forming the anti-reflective layer film; and a power density and / or time sufficient to adhere the anti-reflective layer film. And a step of exciting the chamber to form a substrate having the antireflection layer film attached thereto, and removing the substrate from the chamber.
【請求項31】前記基板が、半導体基板であることを特
徴とする、請求項30に記載の製造方法。
31. The method according to claim 30, wherein said substrate is a semiconductor substrate.
【請求項32】前記チャンバを励起する工程は、プラズ
マ付着,スパッタ付着,イオンビーム付着,蒸着、およ
びそれらの組み合わせよりなる群から選択されたプロセ
スによって前記反射防止層膜を付着することであること
を特徴とする、請求項30に記載の製造方法。
32. The step of exciting the chamber comprises depositing the anti-reflective coating by a process selected from the group consisting of plasma deposition, sputter deposition, ion beam deposition, vapor deposition, and combinations thereof. The method according to claim 30, characterized in that:
【請求項33】前記チャンバは、反応性スパッタリング
・チャンバよりなることを特徴とする、請求項30に記
載の製造方法。
33. The method according to claim 30, wherein said chamber comprises a reactive sputtering chamber.
【請求項34】前記チャンバを排気する工程は、前記チ
ャンバを約10-3〜約10-7Torrまで排気すること
を含む、請求項30に記載の製造方法。
34. The method of claim 30, wherein evacuating the chamber comprises evacuating the chamber to about 10-3 to about 10-7 Torr.
【請求項35】前記基板を加熱する工程は、約25℃〜
約400℃の温度まで前記基板を加熱することを含む、
請求項30に記載の製造方法。
35. The step of heating the substrate, the step of heating the substrate at a temperature of about
Heating the substrate to a temperature of about 400 ° C.
The method according to claim 30.
【請求項36】前記基板を予備洗浄する工程は、前記基
板をRFスパッタ・クリーニングすることを含む、請求
項30に記載の製造方法。
36. The method according to claim 30, wherein the step of pre-cleaning the substrate includes RF sputter cleaning of the substrate.
【請求項37】前記下部反射防止層を形成するガスを導
入する工程は、ヘキサフルオロベンゼン(HFB)ガス
を導入する工程を含む、請求項30に記載の製造方法。
37. The method according to claim 30, wherein the step of introducing a gas for forming the lower antireflection layer includes a step of introducing a hexafluorobenzene (HFB) gas.
【請求項38】前記HFBガスを導入する工程は、炭化
水素ガスを導入し、その相対量を調整する工程をさらに
含み、前記反射防止層における屈折率が、HFBの相対
量増大によってより小さくなることを特徴とする、請求
項37に記載の製造方法。
38. The step of introducing the HFB gas further includes the step of introducing a hydrocarbon gas and adjusting the relative amount thereof, wherein the refractive index in the antireflection layer becomes smaller as the relative amount of HFB increases. 38. The method according to claim 37, wherein:
【請求項39】前記HFBガスを導入する工程は、水
素,酸素,およびそれらの組み合わせよりなる群から選
択されたドーパントレベルのガスを前記チャンバ内に導
入する工程をさらに含むことを特徴とする、請求項37
に記載の製造方法。
39. The method of claim 26, wherein introducing the HFB gas further comprises introducing a gas having a dopant level selected from the group consisting of hydrogen, oxygen, and combinations thereof into the chamber. Claim 37
The production method described in 1.
【請求項40】ドーパントを導入する工程をさらに含む
ことを特徴とする、請求項30に記載の製造方法。
40. The method according to claim 30, further comprising a step of introducing a dopant.
【請求項41】基板を設ける工程と、 前記基板上に厚い下部反射防止層を付着する工程と、 シリコン含有ホトレジストを上部にスピン塗布する工程
と、 前記ホトレジストを90℃で90秒間ベークする工程
と、 前記ホトレジストをホトマスクを通してDUV露光し、
前記レジストを120℃で90秒間ポストベークする工
程と、 前記ホトレジストを現像して、パターニングされたレジ
スト構造を形成する工程と、 前記パターニングされたレジスト構造をエッチングマス
クとして、酸素および/またはフッ素含有プラズマで、
前記厚い下部反射防止層を反応性イオンエッチングし
て、高アスペクト比を有する250nm以下のラインを
形成する工程と、 を含む製造方法。
41. A step of providing a substrate; a step of depositing a thick lower anti-reflective layer on the substrate; a step of spin-coating a silicon-containing photoresist on top; and a step of baking the photoresist at 90 ° C. for 90 seconds. Exposing the photoresist to DUV through a photomask;
A step of post-baking the resist at 120 ° C. for 90 seconds; a step of developing the photoresist to form a patterned resist structure; and a step of forming an oxygen and / or fluorine-containing plasma using the patterned resist structure as an etching mask. so,
Reactive ion etching the thick lower anti-reflective layer to form a 250 nm or less line having a high aspect ratio.
【請求項42】パターニングされた反射防止膜を有する
面を有することを特徴とする構造。
42. A structure having a surface having a patterned antireflection film.
JP10231729A 1997-08-25 1998-08-18 Multi-layer board structure Expired - Fee Related JP3117429B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US92447697A 1997-08-25 1997-08-25
US08/924476 1997-08-25

Publications (2)

Publication Number Publication Date
JPH11150115A true JPH11150115A (en) 1999-06-02
JP3117429B2 JP3117429B2 (en) 2000-12-11

Family

ID=25450251

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10231729A Expired - Fee Related JP3117429B2 (en) 1997-08-25 1998-08-18 Multi-layer board structure

Country Status (5)

Country Link
JP (1) JP3117429B2 (en)
KR (1) KR100301272B1 (en)
MY (1) MY132894A (en)
SG (1) SG74649A1 (en)
TW (1) TW468209B (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001242630A (en) * 2000-01-10 2001-09-07 Internatl Business Mach Corp <Ibm> Lithographic structure
JP2002031893A (en) * 2000-07-19 2002-01-31 Utec:Kk Microfabrication method, antireflection film, method for forming the same and method for producing hard disk head
DE10356668A1 (en) * 2003-12-04 2005-06-30 Infineon Technologies Ag Manufacturing method for a hard mask on a semiconductor structure
JP2005526988A (en) * 2002-01-09 2005-09-08 Azエレクトロニックマテリアルズ株式会社 Method for forming an image using a first minimum bottom antireflective coating composition
EP1668684A1 (en) * 2003-09-12 2006-06-14 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
KR100728993B1 (en) 2006-06-30 2007-06-15 주식회사 하이닉스반도체 Method of manufacturing semiconductor device
JP2009044169A (en) * 2003-02-07 2009-02-26 Asml Holding Nv Methods and apparatus for processing semiconductor wafer using plasma processing chamber in wafer track environment
JP2010205755A (en) * 2009-02-27 2010-09-16 Toshiba Corp Method of manufacturing semiconductor device
JP2012506151A (en) * 2008-10-14 2012-03-08 アプライド マテリアルズ インコーポレイテッド Method for depositing conformal amorphous carbon films by plasma enhanced chemical vapor deposition (PECVD)
JP2012506642A (en) * 2008-10-21 2012-03-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method for performing photolithography using BARC with tilted optical properties
JP2016525788A (en) * 2013-05-03 2016-08-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Optically tuned hard mask for multi-patterning applications
US11784042B2 (en) 2018-04-09 2023-10-10 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
JP2002194547A (en) 2000-06-08 2002-07-10 Applied Materials Inc Method of depositing amorphous carbon layer
DE10156865A1 (en) * 2001-11-20 2003-05-28 Infineon Technologies Ag Process for forming a structure in a semiconductor substrate comprises transferring a photolithographic structure on a photoresist layer into an anti-reflective layer
US7785753B2 (en) * 2006-05-17 2010-08-31 Lam Research Corporation Method and apparatus for providing mask in semiconductor processing
US7514125B2 (en) 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US7776516B2 (en) 2006-07-18 2010-08-17 Applied Materials, Inc. Graded ARC for high NA and immersion lithography
SG172999A1 (en) * 2009-01-16 2011-08-29 Fujifilm Electronic Materials Nonpolymeric binders for semiconductor substrate coatings
CN113936997A (en) 2017-06-08 2022-01-14 应用材料公司 High density low temperature carbon films for hardmask and other patterning applications
US11603591B2 (en) 2018-05-03 2023-03-14 Applied Materials Inc. Pulsed plasma (DC/RF) deposition of high quality C films for patterning
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
CN112740360A (en) 2018-10-26 2021-04-30 应用材料公司 High density carbon films for patterning applications
CN114072898A (en) 2019-05-24 2022-02-18 应用材料公司 Substrate processing chamber
JP2022538455A (en) 2019-07-01 2022-09-02 アプライド マテリアルズ インコーポレイテッド Modulation of film properties by optimization of plasma coupling materials
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11421324B2 (en) 2020-10-21 2022-08-23 Applied Materials, Inc. Hardmasks and processes for forming hardmasks by plasma-enhanced chemical vapor deposition

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100323442B1 (en) * 1994-06-17 2002-05-13 박종섭 Method for fabricating semiconductor device

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001242630A (en) * 2000-01-10 2001-09-07 Internatl Business Mach Corp <Ibm> Lithographic structure
JP2002031893A (en) * 2000-07-19 2002-01-31 Utec:Kk Microfabrication method, antireflection film, method for forming the same and method for producing hard disk head
JP4542678B2 (en) * 2000-07-19 2010-09-15 株式会社ユーテック Fine processing method, antireflection film and film formation method thereof, and hard disk head manufacturing method
JP2005526988A (en) * 2002-01-09 2005-09-08 Azエレクトロニックマテリアルズ株式会社 Method for forming an image using a first minimum bottom antireflective coating composition
JP2009044169A (en) * 2003-02-07 2009-02-26 Asml Holding Nv Methods and apparatus for processing semiconductor wafer using plasma processing chamber in wafer track environment
EP1668684A1 (en) * 2003-09-12 2006-06-14 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
DE10356668A1 (en) * 2003-12-04 2005-06-30 Infineon Technologies Ag Manufacturing method for a hard mask on a semiconductor structure
KR100728993B1 (en) 2006-06-30 2007-06-15 주식회사 하이닉스반도체 Method of manufacturing semiconductor device
JP2012506151A (en) * 2008-10-14 2012-03-08 アプライド マテリアルズ インコーポレイテッド Method for depositing conformal amorphous carbon films by plasma enhanced chemical vapor deposition (PECVD)
JP2012506642A (en) * 2008-10-21 2012-03-15 アドバンスト・マイクロ・ディバイシズ・インコーポレイテッド Method for performing photolithography using BARC with tilted optical properties
JP2010205755A (en) * 2009-02-27 2010-09-16 Toshiba Corp Method of manufacturing semiconductor device
JP2016525788A (en) * 2013-05-03 2016-08-25 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Optically tuned hard mask for multi-patterning applications
US11784042B2 (en) 2018-04-09 2023-10-10 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto

Also Published As

Publication number Publication date
KR19990023841A (en) 1999-03-25
SG74649A1 (en) 2000-08-22
JP3117429B2 (en) 2000-12-11
KR100301272B1 (en) 2001-10-19
TW468209B (en) 2001-12-11
MY132894A (en) 2007-10-31

Similar Documents

Publication Publication Date Title
JP3117429B2 (en) Multi-layer board structure
JP3004002B2 (en) Antireflection coating and method for forming the same
US6514667B2 (en) Tunable vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and applications thereof
US6624068B2 (en) Polysilicon processing using an anti-reflective dual layer hardmask for 193 nm lithography
KR100188508B1 (en) Reduction of reflection by amorphous carbon
US6214637B1 (en) Method of forming a photoresist pattern on a semiconductor substrate using an anti-reflective coating deposited using only a hydrocarbon based gas
JPH1092740A (en) Manufacture of semiconductor device
US20060166108A1 (en) Method for etching a molybdenum layer suitable for photomask fabrication
US5443941A (en) Plasma polymer antireflective coating
US20040072081A1 (en) Methods for etching photolithographic reticles
US7175966B2 (en) Water and aqueous base soluble antireflective coating/hardmask materials
WO2005091796A2 (en) Method and system for treating a hard mask to improve etch characteristics
US6534417B2 (en) Method and apparatus for etching photomasks
US7115523B2 (en) Method and apparatus for etching photomasks
JPH07211616A (en) Formation of fine pattern
Callegari et al. Optical properties of hydrogenated amorphous‐carbon film for attenuated phase‐shift mask applications
KR100715530B1 (en) Method of manufacturing an amorphous carbon film and method of manufacturing semiconductor device using the same
JPH0963928A (en) Method of manufacturing and using photolithographic reflection preventing film
KR100463170B1 (en) Manufacturing method of anti reflection coat in semiconductor device
JPH04307545A (en) Phase shift mask and its production
KR100909947B1 (en) Method of forming an amorphous carbon film and method of manufacturing semiconductor device using the same
Rasgon Origin, evolution, and control of sidewall line edge roughness transfer during plasma etching
JPH0869955A (en) Formation of anti-reflection film
KR20050004556A (en) Method for fabricating antireflection in semiconductor device

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees