JPH0927485A - Plasma etching method - Google Patents

Plasma etching method

Info

Publication number
JPH0927485A
JPH0927485A JP8196055A JP19605596A JPH0927485A JP H0927485 A JPH0927485 A JP H0927485A JP 8196055 A JP8196055 A JP 8196055A JP 19605596 A JP19605596 A JP 19605596A JP H0927485 A JPH0927485 A JP H0927485A
Authority
JP
Japan
Prior art keywords
plasma
chamber
silicon
etching
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8196055A
Other languages
Japanese (ja)
Inventor
Kenneth S Collins
エス コリンズ ケニス
Chan-Lon Yang
ロン ヤン チャン
Jerry Yuen-Kui Wong
ユーエン クイ ウォン ジェリー
Jeffrey Marks
マークス ジェフリー
Peter R Keswich
アール ケズウィック ピーター
David W Groechel
ダブリュー グローチェル ディヴィッド
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH0927485A publication Critical patent/JPH0927485A/en
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Plasma Technology (AREA)

Abstract

PROBLEM TO BE SOLVED: To make it possible to process a sensitive device with high yield without giving damage and without using micro loading, and to etch an oxygen containing layer on a non-oxygen containing layer with high selectivity. SOLUTION: A treatment chamber is provided with an antenna 30 that is driven by inductively coupled RF energy inside a chamber. The antenna 30 generates high density and low energy plasma for the etching of the oxygen containing layer on the non-oxygen containing layer with high selectivity. Auxiliary RF bias energy, which is applied to a substrate supporting cathode 32, controls cathode sheath voltage and controls ion energy regardless of density. An etching gas that contains fluorine and the gas are carried through the gas state silicon or carbon. The improving technologies of magnetic and electric treatment along with etching treatment, deposition treatment, and the combination of the etching and the deposition processing are disclosed.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明はRFプラズマリアクター
に関し、より詳細には関連するRF電磁波をプラズマに
電磁結合するための高周波(RF: Radio Frequency )
エネルギー源と、プラズマに接触しているシリコン源を
用いるプラズマリアクター、及びリアクターにおいて処
理される方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an RF plasma reactor, and more particularly, to a radio frequency (RF) for electromagnetically coupling related RF electromagnetic waves to plasma.
The present invention relates to a plasma reactor using an energy source and a silicon source in contact with the plasma, and a method to be processed in the reactor.

【0002】[0002]

【従来の技術】高密度集積化の趨勢は、電気的に敏感で
約200−300ボルト程度の小さなウエハシース電圧
にさらされると、エネルギー粒子衝撃のために損傷を受
けやすい非常に小さな寸法形状の部品や装置をもたらし
た。残念ながら、かかる電圧は回路部品が標準的な集積
回路製造工程中に経験する電圧より小さい。先端装置用
に製造されるMOS型コンデンサおよびトランジスタは
非常に薄い(厚さ200オングストローム以下)のゲー
ト酸化物を有する。これらの装置は充電によって損傷す
ることがあり、それによってゲート破壊が発生する。こ
れは表面電荷の中性化が起こらないときに、プラズマ電
位あるいはプラズマ密度の不均一によって、あるいは大
きなRF変位電流によってプラズマ処理中に発生するこ
とがある。中間接続線等の導体もまた同じ理由で損傷を
受ける場合がある。非常に高いアスペクト比、即ち非常
な深さと非常に狭い開口及びトレンチが種々の半導体材
料に形成され、或いは種々の半導体材料で満たされなけ
ればならないときは、従来のプラズマ・エッチング・チ
ャンバー内において達成されるエッチング方法は不適当
である。RFシステム CVD(化学蒸着)反応システムやRIE(Reactive I
on Etching)反応システム等の従来の半導体処理システ
ムをまず考察する。これらのシステムは約10−500
KHz の低い周波数から約13.56−40.68MHz の高い
周波数までの高周波エネルギーを使用することがある。
約1MHz 以下ではイオンと電子は振動電界あるいはプラ
ズマ中に発生する任意の定常状態の電界によって加速す
ることができる。このような比較的低い周波数において
は、ウエハに発生する電極シース電圧は通常1キロボル
トピーク以上であり、これは200−300ボルトの損
傷しきい値よりはるかに高い。数MHz 以上では、電子は
依然として変化する電界に追従できる。イオン量がこれ
より多くなると変化する電界に追従できず、定常状態の
電界によって加速される。この周波数範囲(および実用
的なガス圧と電力レベル)において、定常状態のシース
電圧は数百ボルトから1,000ボルト以上の範囲であ
る。磁界−増強 RFシステムのバイアス電圧を低下させるための好まし
い方法として、プラズマに磁界を加える方法がある。こ
のBフィールドは電子を基板の表面に近い領域に閉じ込
め、イオン束密度とイオン流を増大させ、したがって電
圧およびイオンエネルギー条件が低減される。比較例と
して、二酸化シリコンをエッチングするための代表的な
非磁性RIE処理には13.56MHz で印加されるRFエ
ネルギー、体積10−15リットルの非対称系、50ミ
リトルの圧力および約(8−10)対1のアノード領域
/基板支持カソード領域比を用い、約800ボルトの基
板(カソード)シース電圧を発生する。60ガウスの磁
界を印加するとバイアス電圧が約25−30%、800
ボルトから約500−600ボルトに低下し、エッチン
グ速度が約50%も増大する。
2. Description of the Related Art The trend for high density integration is the very small dimensions of components that are electrically sensitive and are susceptible to damage due to energetic particle bombardment when exposed to small wafer sheath voltages on the order of about 200-300 volts. And brought equipment. Unfortunately, such voltages are lower than the voltages that circuit components experience during standard integrated circuit manufacturing processes. MOS-type capacitors and transistors manufactured for advanced devices have very thin gate oxide (less than 200 angstroms thick). These devices can be damaged by charging, which causes gate breakdown. This can occur during plasma processing due to non-uniformity of the plasma potential or plasma density, or when large RF displacement currents occur, when surface charge neutralization does not occur. Conductors such as intermediate connecting lines may also be damaged for the same reason. Achieved in a conventional plasma etching chamber when very high aspect ratios, i.e. very deep and very narrow openings and trenches have to be formed in or filled with different semiconductor materials. The etching method used is unsuitable. RF system CVD (chemical vapor deposition) reaction system and RIE (Reactive I
on Etching) First, consider a conventional semiconductor processing system such as a reaction system. These systems are about 10-500
High frequency energy from low frequencies of KHz to higher frequencies of about 13.56-40.68 MHz may be used.
Below about 1 MHz, ions and electrons can be accelerated by an oscillating electric field or any steady state electric field generated in the plasma. At such relatively low frequencies, the electrode sheath voltage developed on the wafer is typically above 1 kilovolt peak, which is much higher than the damage threshold of 200-300 volts. Above a few MHz, the electrons can still follow the changing electric field. If the amount of ions is larger than this, it cannot follow the changing electric field and is accelerated by the steady state electric field. In this frequency range (and practical gas pressures and power levels), the steady state sheath voltage ranges from a few hundred volts to over 1,000 volts. A preferred method for lowering the bias voltage of a magnetic field-enhanced RF system is to apply a magnetic field to the plasma. This B-field confines electrons in a region near the surface of the substrate, increasing ion flux density and ion flow, thus reducing voltage and ion energy requirements. By way of comparison, a typical non-magnetic RIE process for etching silicon dioxide includes RF energy applied at 13.56 MHz, an asymmetric system having a volume of 10-15 liters, a pressure of 50 mTorr and a pressure of about (8-10). A substrate (cathode) sheath voltage of about 800 volts is generated using a one to one anode area / substrate supported cathode area ratio. When a magnetic field of 60 Gauss is applied, the bias voltage becomes about 25-30%, 800
From volts down to about 500-600 volts, the etch rate increases by about 50%.

【0003】しかし、基板に平行な定常Bフィールドを
印加すると、E×Bイオン/電子ドリフトとそれに関連
する基板全体にプラズマ密度勾配が発生する。このプラ
ズマ勾配によって基板上のエッチング、蒸着その他の膜
特性の不均一が発生する。この不均一性は基板の周囲で
磁界を回転させることによって低減することができ、こ
の回転は通常永久磁石の機械的運動、あるいは90°ず
れた直角位相で駆動される電磁コイル対、あるいはコイ
ル対の電流を磁界中で制御された速度で歩進あるいはそ
の他の運動をするように瞬間的に制御することによって
低減することができる。しかし、磁界の回転によって不
均一勾配は低減されるが通常ある程度の不均一性は残
る。さらに、コイルの設置、特に二つあるいはそれ以上
の対になったコイルをチャンバーに設置してコンパクト
なシステムを構成することは困難であり、ヘルムホルツ
コイル構成もしくは共通のロードロックを取り巻く個々
の磁気増強された反応装置チャンバーからなる複数チャ
ンバーシステムを用いる場合特に困難である。磁界の強
度と方向を瞬時に選択的に変る能力を持ち、小型複数チ
ャンバー反応装置システムに用いるように設計された独
特な反応装置システムがCheng その他の名において同時
譲渡された1989年6月27日付け米国特許第4,842,
683 号に開示されている。マイクロ波/ECRシステム マイクロ波システムおよびマイクロ波ECR(電子サイ
クロトロン共鳴)システムでは、800MHz 以上で通常
2.45GHz の周波数のマイクロ波エネルギーを用いてプ
ラズマを励起する。この技術によって高密度のプラズマ
が発生するが、粒子エネルギーは二酸化シリコンの反応
性イオンエッチング等の多くの処理に対する最小反応し
きい値エネルギーより低い場合がある。これを補償する
ために、エネルギー増強用の低周波電力が基板支持電極
に結合され、また基板を通してプラズマに結合される。
このようにして、基板の損傷の可能性は従来のシステム
に比べて小さくなる。
However, application of a steady B-field parallel to the substrate causes a plasma density gradient across the substrate associated with the E × B ion / electron drift. This plasma gradient causes non-uniform etching, deposition and other film properties on the substrate. This inhomogeneity can be reduced by rotating the magnetic field around the substrate, which is usually the mechanical movement of a permanent magnet, or a pair of electromagnetic coils, or pairs of coils, driven 90 degrees out of phase. Can be reduced by momentarily controlling the current to step or otherwise move at a controlled rate in a magnetic field. However, the rotation of the magnetic field reduces the non-uniform gradient, but usually leaves some non-uniformity. In addition, it is difficult to install coils, especially two or more pairs of coils in a chamber to form a compact system, and the Helmholtz coil configuration or individual magnetic enhancements surrounding a common loadlock. This is especially difficult when using a multi-chamber system consisting of integrated reactor chambers. A unique reactor system designed for use in small multi-chamber reactor systems with the ability to change the strength and direction of the magnetic field instantly and selectively, was co-assigned under the name Cheng, 27 June 1989. Dated U.S. Pat.No. 4,842,
No. 683 is disclosed. Microwave / ECR systems In microwave systems and microwave ECR (Electron Cyclotron Resonance) systems, typically above 800 MHz
The plasma is excited using microwave energy at a frequency of 2.45 GHz. Although this technique produces a high density plasma, the particle energy may be lower than the minimum reaction threshold energy for many processes such as reactive ion etching of silicon dioxide. To compensate for this, energy enhancing low frequency power is coupled to the substrate support electrode and through the substrate to the plasma.
In this way, the potential for damage to the substrate is reduced compared to conventional systems.

【0004】しかしながら、エッチングあるいはCVD
といった半導体基板処理のための実用的な電力レベルで
動作するマイクロ波システムおよびマイクロ波ECRに
は電力送出用の大型導波管、高価な同調器、方向性結合
器、サーキュレータ、および動作用のダミー負荷を必要
とする。さらに、2.45GHz の商用周波数で運転される
マイクロ波ECRシステムのECR条件を満足するため
に、875ガウスの磁界が必要となり、これには電磁
石、電力および冷却仕様も大きくする必要がある。マイ
クロ波システムおよびマイクロ波ECRシステムの拡大
・縮小は容易ではない。ハードウェアは2.45GHz につ
いて利用可能である。それはこの周波数がマイクロ波オ
ーブンに用いられるためである。915MHz のシステム
も利用可能であるがコストが高くなる。他の周波数用の
ハードウェアは容易にあるいは経済的に入手することが
できない。その結果、5−6インチのマイクロ波システ
ムを拡大してより大きな半導体基板を処理しようとする
場合、より上位の動作モードが必要である。このより上
位のモードでの動作による一定周波数における規模拡大
にはより上位のあるいはより下位の負荷へのいわゆるモ
ードフリッピングとその結果発生する工程の変化を防止
するための非常に厳格なプロセス管理が必要となる。あ
るいは、たとえば5−6インチのマイクロ波空洞につい
ては発散磁界を用いてプラズマ束をより広い範囲に拡散
させることによってこの規模の拡大を達成することがで
きる。この方法によれば有効電力密度したがってプラズ
マ密度が低下する。
However, etching or CVD
Microwave systems and microwave ECRs that operate at practical power levels for semiconductor substrate processing include large waveguides for power delivery, expensive tuners, directional couplers, circulators, and dummy dummy for operation. Requires load. In addition, to meet the ECR requirements of a microwave ECR system operating at a commercial frequency of 2.45 GHz, a magnetic field of 875 gauss is required, which requires larger electromagnets, power and cooling specifications. It is not easy to scale up or down the microwave system and the microwave ECR system. Hardware is available for 2.45GHz. This is because this frequency is used in microwave ovens. A 915MHz system is also available, but at a higher cost. Hardware for other frequencies is not readily or economically available. As a result, higher order modes of operation are needed to expand 5-6 inch microwave systems to process larger semiconductor substrates. Larger scale operation at higher frequencies due to operation in this higher mode requires very strict process control to prevent so-called mode flipping to higher or lower loads and the resulting process changes Becomes Alternatively, for a 5 to 6 inch microwave cavity, this expansion can be achieved by using a diverging magnetic field to spread the plasma flux over a larger area. According to this method, the active power density and therefore the plasma density are reduced.

【0005】更に、ECRシステムは2−3ミリトルの
オーダーの非常に低い圧力で動作されなければならな
い。何故ならば、このシステムにおいて発生されるプラ
ズマの密度は非常に急速におよそ2−3ミリトル以上に
なるからである。これは大量の反応ガスがシステムに供
給されることを要求し、かつこれらの大量のガスを除去
するために大量の排気システムを必要とする。RF伝送線システム 前述したように、発明者 Collinsその他の名で1990
年7月31日同時譲渡された『VHF/UHF反応装置
システム』と題する親米国特許出願559,947号(A
MATファイル151−1)をここでは参照している。
この出願では反応室自体の一部が整合ネットワークから
チャンバーに高周波プラズマ発生エネルギーを印加する
ための伝送線構造として構成された高周波VHF/UH
F反応装置システムを開示している。この独特の一体型
伝送線構造は、整合ネットワークと負荷との間の非常に
短い伝送線要求を満たし、50MHz から800MHz の比
較的高い周波数の仕様を可能にする。これはプラズマ電
極へのRFプラズマ発生エネルギーの効率的で制御可能
な印加を可能にし、比較的低いイオンエネルギーと低い
シース電圧で商業的に許容できるエッチング速度および
蒸着速度を発生させる。この比較的低い電圧によって電
気的に敏感な小さな寸法形状の半導体装置への損傷の可
能性が低下する。このVHF/UHFシステムは上述し
た拡大・縮小の可能性や電力上の制約といった従来の技
術における他の種々の問題点を防止する。
Moreover, ECR systems must be operated at very low pressures, on the order of 2-3 millitorr. This is because the density of the plasma generated in this system increases very quickly to about 2-3 millitorr or more. This requires a large amount of reactant gas to be supplied to the system and requires a large amount of exhaust system to remove these large amounts of gas. RF Transmission Line System As mentioned above, in the name of the inventor Collins et al.
U.S. Patent Application No. 559,947, entitled "VHF / UHF Reactor System," concurrently assigned on July 31, 1998 (A
The MAT file 151-1) is referred to here.
In this application, a high-frequency VHF / UH in which a part of the reaction chamber itself is configured as a transmission line structure for applying high-frequency plasma generation energy from a matching network to the chamber.
An F reactor system is disclosed. This unique integrated transmission line structure satisfies the very short transmission line requirements between matching networks and loads, and allows for relatively high frequency specifications from 50 MHz to 800 MHz. This allows for an efficient and controllable application of RF plasma generation energy to the plasma electrode, producing commercially acceptable etch and deposition rates with relatively low ion energy and low sheath voltage. This relatively low voltage reduces the potential for damage to electrically sensitive small size semiconductor devices. The VHF / UHF system prevents various other problems in the prior art, such as the possibility of scaling and power constraints described above.

【0006】[0006]

【発明の概要】一つの観点において、本発明は先行技術
の問題点を解決するものであるが、本発明はソース領域
を有する真空チャンバー、このチャンバー内のあるプロ
セスガス源、このプロセスガスからプラズマを発生する
ためにプラズマ領域にRFエネルギーを電磁結合する手
段、支持電極のプラズマシース電圧を制御するために基
板支持電極にRFエネルギーを容量結合する手段、及び
プラズマに接しているシリコン源を含むRFプラズマ処
理システムの構成及び動作に具現化される。好ましく
は、RFアンテナ手段がプラズマ領域に隣接しており、
RFエネルギー源に結合されている。本発明のチャンバ
ーはプラズマ処理を増大するために処理領域にあるRF
カソード、チャンパー壁によって限定されるアノード、
及び電気的に浮いているか、接地されるか、或いはRF
バイアスに接続されることができる第3の電極を有して
いる。第3の電極及び/又はプラズマ領域を限定するチ
ャンパー壁は、例えば酸化物エッチングのような処理を
増大するためのシリコン源であってもよい。本発明の装
置を用いて、プラズマエッチングは殆ど無限に選択する
ことができ、またプラズマ蒸着は空隙を除くことができ
る。
SUMMARY OF THE INVENTION In one aspect, the present invention solves the problems of the prior art. However, the present invention provides a vacuum chamber having a source region, a source of a process gas within the chamber, and a plasma source. Means for electromagnetically coupling RF energy to the plasma region to generate the plasma, means for capacitively coupling RF energy to the substrate support electrode to control the plasma sheath voltage of the support electrode, and RF including a silicon source in contact with the plasma It is embodied in the configuration and operation of a plasma processing system. Preferably, the RF antenna means is adjacent to the plasma region,
It is coupled to an RF energy source. The chamber of the present invention has an RF in the process area to enhance plasma processing.
Cathode, anode limited by champer wall,
And electrically floating, grounded, or RF
It has a third electrode which can be connected to a bias. The third electrode and / or the champer wall defining the plasma region may be a silicon source to augment processes such as, for example, oxide etching. With the apparatus of the present invention, plasma etching can be selected almost infinitely, and plasma deposition can eliminate voids.

【0007】[0007]

【実施例】【Example】

1.概要 本発明はエッチング処理の改良された選択度と種々のエ
ッチング速度、及び蒸着層の蒸着、エッチングとプラナ
リゼーション(平坦化)の同時化を可能にするプラズマ
処理用の装置及び方法を提供する。本発明のチャンバー
において、好適には、100KHz から100MHz の範囲
内のLF/VHF(低周波数から非常に高い周波数ま
で)RF電力が用いられる。より好適には、300KHz
から3MHz の範囲内のMF(中周波)RF電力が用いら
れる。好ましくは、結合手段はコイルをほどいた電気長
がλ/4より小さい多重巻円筒状コイルアンテナであ
る。ここでλはプラズマ動作中にコイルアンテナに印加
される高周波RF励起エネルギーの波長である。また、
本発明はアンテナを共振に同調するためのアンテナに接
続された手段を含み、同様にプラズマ源の入力インピー
ダンスをアンテナのためのRFエネルギーを供給する手
段の出力インピーダンスに整合させるためのアンテナに
接続された負荷手段を含む。この同調手段はアンテナの
一端とRFアースの間に電気的に接続された可変キャパ
シタンスとすることができる。RFエネルギーはコイル
アンテナ上の選択された位置にタップを介して印加する
ことができる。
1. SUMMARY The present invention provides an apparatus and method for plasma processing that allows for improved selectivity of the etching process and various etching rates, as well as the simultaneous deposition, etching and planarization of the deposited layer. In the chamber of the present invention, LF / VHF (low frequency to very high frequency) RF power in the range 100 KHz to 100 MHz is preferably used. More preferably, 300 KHz
MF (medium frequency) RF power in the range from 3 MHz to 3 MHz is used. Preferably, the coupling means is a multi-turn cylindrical coil antenna with an unwinding electrical length of less than λ / 4. Here, λ is the wavelength of the high frequency RF excitation energy applied to the coil antenna during the plasma operation. Also,
The invention includes means connected to the antenna for tuning the antenna to resonance, also connected to the antenna for matching the input impedance of the plasma source to the output impedance of the means for providing RF energy for the antenna. Including load means. The tuning means may be a variable capacitance electrically connected between one end of the antenna and RF ground. RF energy can be applied to selected locations on the coil antenna via taps.

【0008】本発明は、プラズマ源領域を形成する誘電
体ドーム或いは誘電体シリンダーを含む。コイルアンテ
ナが高周波電磁エネルギーをチャンバー内に誘導結合す
るためにドームを取り巻くことが好適である。製作され
る物品又は基板はプラズマ源領域或いはドーム内に、ア
ンテナの巻線或いは最下部の巻線の内部に或いは接近し
て、或いは好ましくはアンテナの下方に配置することが
できる。本発明は、またドームの上部のガス取入口、ド
ームのプラズマ源領域の基部の第1のリングマニホル
ド、及び基板支持電極を取り巻く、チャンバーに処理用
の希釈剤、パッシベーション、その他のガズを選択的に
供給するための第2のリングマニホルドからなるチャン
バーにガスを供給する手段を含む。更に、AC電源及び
制御システムが、通常プラズマ源コイル電力と同じか、
それに近い周波数であるACバイアス電力を基板支持カ
ソードに結合し、それによってプラズマ源RF電力によ
って行われるプラズマ密度制御から無関係にカソードシ
ース電圧とイオンエネルギーの制御を行う。このシステ
ムは多数の目的を果たすように選択されたバイアス周波
数を提供する。まず、周波数の上限は“電流に誘起され
る”損傷(周波数が高すぎる場合は敏感な装置にチャー
ジアップ損傷が発生することがある)を防止するように
選択される。バイアス周波数が低いほど単位バイアス電
力当たりの基板シース電圧(基板の加熱を除く)は高く
なり、プラズマ密度に対する貢献は小さく、従ってイオ
ン密度とイオンエネルギーの独立的制御が向上する。し
かし、バイアス周波数が低くすぎると、インオが基板シ
ース電界のRF成分に追従し、それによって、イオンエ
ネルギーが変化する。その結果、ピーク/平均エネルギ
ー率が高くなり、イオンエネルギー分布が広くなる(2
ピーク)。バイアス周波数が非常に低いと、絶縁体のチ
ャージアップが発生し、バイアス周波数期間の一部にお
いてイオン誘起処理を不能とする。上記の要注意事項を
満足する好適な周波数範囲は上記した好ましいプラズマ
源周波数範囲に対応する。
The present invention includes a dielectric dome or cylinder that forms the plasma source region. Preferably, a coil antenna surrounds the dome for inductively coupling high frequency electromagnetic energy into the chamber. The article or substrate to be fabricated can be located in the plasma source region or dome, within or near the antenna winding or the bottom winding, or preferably below the antenna. The present invention also provides for selective processing diluent, passivation, and other gasses in the chamber surrounding the gas inlet at the top of the dome, the first ring manifold at the base of the plasma source region of the dome, and the substrate support electrode. Means for supplying a gas to a chamber consisting of a second ring manifold for supplying the gas. Furthermore, whether the AC power supply and control system is usually the same as the plasma source coil power,
An AC bias power at a frequency close to that is coupled to the substrate-supported cathode, thereby providing cathode sheath voltage and ion energy control independent of the plasma density control provided by the plasma source RF power. The system provides a bias frequency selected to serve a number of purposes. First, the upper frequency limit is selected to prevent "current-induced" damage, which can cause charge-up damage to sensitive devices if the frequency is too high. The lower the bias frequency, the higher the substrate sheath voltage per unit bias power (excluding substrate heating), and its contribution to plasma density is small, thus improving the independent control of ion density and ion energy. However, if the bias frequency is too low, the ions will follow the RF component of the substrate sheath electric field, thereby changing the ion energy. As a result, the peak / average energy ratio becomes high and the ion energy distribution becomes wide (2
peak). If the bias frequency is too low, charge-up of the insulator will occur, disabling ion induced processing during part of the bias frequency period. The preferred frequency range that satisfies the above considerations corresponds to the preferred plasma source frequency range described above.

【0009】更に、本発明は、DCバイアス電圧を選択
された低い値と高い値の間の周期的なパルスにして、エ
ッチングされる基板上の第1の選択された材料の上にパ
ッシベーションコーティング(不動態化被覆)、例えば
ポリマー被覆を形成する制御手段を含み、基板材料のエ
ッチング速度を比較的低くし、また第2の材料、例えば
基板上にある第2の材料の層を比較的高い速度と選択度
で選択的にエッチングするようにしている。また、チャ
ンバーはチャンバー本体に接続された第1の真空ポンプ
手段とドームに接続された第2の真空ポンプ手段によっ
て排気され、それによりドーム外への中性粒子の流れを
確立するためのドーム内の垂直方向の圧力差を確立する
ようにしており、基板支持電極の電圧は帯電した粒子が
チャンバー本体に向かって流れるようにこの圧力差を克
服するに十分なものとなっている。また、本発明は、コ
イルアンテナ又はその他の結合手段と反応チャンバー間
に介装され、高周波電磁エネルギーの電界成分のチャン
バーへの結合を防止する異なる構成の導電性ファラデー
シールドが含まれる。また、コイル或いは他の結合手段
を取り囲むように配置された高周波反射器によって、高
周波エネルギーの放射をチャンバー内に集中する。
In addition, the present invention provides a DC bias voltage with periodic pulses between selected low and high values to provide a passivation coating () on the first selected material on the substrate to be etched. Passivation coating), eg, a control means for forming a polymer coating, to provide a relatively low etch rate of the substrate material and a relatively high rate of the second material, eg, a layer of the second material on the substrate. And, the etching is selectively performed according to the selectivity. Also, the chamber is evacuated by a first vacuum pump means connected to the chamber body and a second vacuum pump means connected to the dome, whereby the inside of the dome for establishing a flow of neutral particles outside the dome. To establish a vertical pressure difference between the substrate support electrode and the substrate support electrode voltage is sufficient to overcome this pressure difference so that the charged particles flow toward the chamber body. The invention also includes a conductive Faraday shield of different construction interposed between the coil antenna or other coupling means and the reaction chamber to prevent coupling of the electric field component of the high frequency electromagnetic energy to the chamber. Also, high frequency energy radiation is concentrated in the chamber by a high frequency reflector arranged to surround the coil or other coupling means.

【0010】磁気の増強は周辺の永久磁石或いは電磁石
構成によって与えられ、これらは均一な発散する磁気ミ
ラー構成から選択されたアンテナの軸に平行な制御され
た静磁界を加え、基板の下方のプラズマの位置と移動を
制御する。また、磁石をプラズマ源及び/又はチャンバ
ーの周囲に取りつけて基板の近傍でチャンバーに多極カ
スプ磁界を加えて、これによってプラズマを基板領域に
閉じ込めると同時に基板の磁界を大幅に排除することが
できる。更に、磁気分路を基板と基板支持電極の周囲に
配置して、基板支持電極からの磁界の方向転換すること
ができる。このシステム構成は、動作周波数を選択する
ことによって、低モード動作を維持しながらその大きさ
を拡大・縮小することを可能にする。処理上の側面とし
て、本発明は、プラズマ源領域と処理領域を有する真空
チャンバーを提供し、チャンバー内の電極上にある処理
される物品または基板を支持し、基板支持電極にあるプ
ラズマシース電圧を制御するために、RFエネルギーを
チャンバーに電磁結合し、支持電極にあるシース電圧を
制御するために、支持電極を介してRFエネルギーをチ
ャンバーに容量性結合し、且つプラズマに接するシリコ
ンイオン源を提供するプラズマ発生処理に具体化され
る。
The magnetic enhancement is provided by a peripheral permanent magnet or electromagnet arrangement, which applies a controlled static magnetic field parallel to the axis of the antenna selected from a uniform diverging magnetic mirror arrangement, causing a plasma below the substrate. Control the position and movement of. Also, a magnet can be mounted around the plasma source and / or the chamber to apply a multi-pole cusp magnetic field to the chamber near the substrate, thereby confining the plasma to the substrate area while substantially eliminating the substrate magnetic field. . Further, a magnetic shunt can be placed around the substrate and the substrate support electrode to redirect a magnetic field from the substrate support electrode. This system configuration allows the size to be scaled up while maintaining low mode operation by selecting the operating frequency. As a processing aspect, the present invention provides a vacuum chamber having a plasma source region and a processing region, supporting an article or substrate to be processed on an electrode in the chamber, and applying a plasma sheath voltage at a substrate support electrode. Provide a silicon ion source that electromagnetically couples RF energy to the chamber for control and capacitively couples RF energy to the chamber through the support electrode to control the sheath voltage at the support electrode and contacts the plasma The present invention is embodied in a plasma generation process.

【0011】また、本発明は、共振に対するアンテナの
自動的反復的同調とその入力インピダンスのアンテナに
対するRFエネルギー供給源のインピーダンスへのロー
ディングを含む。このプラズマ発生処理は、プラズマ源
領域と処理領域及び壁、処理領域中の電極とプラズマ源
領域中の電極を有する真空チャンバーを提供し、処理領
域電極がカソード、壁がアノード、そしてプラズマ源電
極の電気的接続はアース、フローティング及びRF又は
バイアスから選択されて、処理領域中の電極、チャンバ
ーの壁及びプラズマ電極を電気的に接続し、基板支持電
極上で処理されるべき物品を支持し、チャンバーに処理
用のガスを供給し、RFエネルギーをアンテナへ与える
ための手段に接続された円筒状コイルアンテナを用い
て、物品上の1或いはそれ以上の材料を処理するための
プラズマを発生するアンテナによって、RFエネルギー
をプラズマ源領域に誘導性結合し、且つ支持電極におけ
るシース電圧を制御するために支持電極を介してRFエ
ネルギーをチャンバーへ容量性結合し、及びチャンバー
内のシリコン源を備えている処理方法である。シリコン
源はチャンバー内のプラズマ源に配置された第3の電極
であるのが好ましい、必ずしも電極である必要はなく、
プラズマに接するチャンバー内の何処かにあればよい。
The present invention also includes automatic iterative tuning of the antenna for resonance and loading of its input impedance into the impedance of the RF energy source for the antenna. The plasma generation process provides a vacuum chamber having a plasma source region and a processing region and a wall, an electrode in the processing region and an electrode in the plasma source region, wherein the processing region electrode is a cathode, the wall is an anode, and the plasma source electrode is The electrical connection is selected from ground, floating and RF or bias to electrically connect the electrodes in the processing area, chamber walls and plasma electrodes, support the article to be processed on the substrate support electrode, An antenna that generates a plasma for processing one or more materials on an article using a cylindrical coil antenna connected to a means for supplying a processing gas to the antenna and applying RF energy to the antenna. To inductively couple the RF energy to the plasma source region and to control the sheath voltage at the support electrode. Capacitively coupled RF energy into the chamber via, and a processing method and a silicon source in the chamber. The silicon source is preferably a third electrode located in the plasma source in the chamber, not necessarily the electrode,
It may be somewhere in the chamber in contact with the plasma.

【0012】またアンテナ電力と電極に供給されるバイ
アス電力は異方性、半異方性及び等方性エッチングを選
択的に行うように制御される。本発明の処理チャンバー
は第2の材料の層、特にシリコン等の酸素非含有基板上
にある酸化物のような酸素含有層をエッチングするのに
特に有用である。処理チャンバー内のシリコンイオン源
の使用は改良された選択性とエッチング断面の増大をも
たらす。更に、本発明の処理はバイアス電圧をシリコン
上のエッチング抑制層、例えばポリマーを形成するため
の選ばれた低い値、及び基板に関して早い速度で第2の
材料をエッチングするための高い値に周期的に駆動する
方法を含む。全ての場合に、本発明の装置は、基板に向
かって第2の層における高いアスペクト比開口を作るこ
とを要求する高いエッチング速度と高い選択性を提供す
る。本発明のリアクターにおける処理は、酸化シリコン
又はシリケート等の酸化物のスパッタ蒸着、及び第1に
酸化物を蒸着するために比較的低いRF電力を支持電極
に印加し、第2にシリコン酸化物を蒸着し、そのシリコ
ン酸化物をスパッタファセットし、且つ層を平面化する
ため支持電極に比較的高いレベルのRF電力を印加する
という2つのステップを含む。
The antenna power and the bias power supplied to the electrodes are controlled so as to selectively perform anisotropic, semi-anisotropic and isotropic etching. The processing chamber of the present invention is particularly useful for etching a layer of a second material, especially an oxygen containing layer such as an oxide on an oxygen free substrate such as silicon. The use of a silicon ion source in the processing chamber results in improved selectivity and increased etch profile. In addition, the process of the present invention periodically cycles the bias voltage to a selected low value for forming an etch-suppressing layer on silicon, such as a polymer, and a high value for etching a second material at a high rate with respect to the substrate. Driving method. In all cases, the apparatus of the present invention provides high etch rates and high selectivity, which requires creating high aspect ratio openings in the second layer toward the substrate. The process in the reactor of the present invention includes sputter deposition of oxides such as silicon oxide or silicate, and first applying a relatively low RF power to the support electrode to deposit the oxide and secondly depositing the silicon oxide. It involves the two steps of depositing, sputter faceting the silicon oxide, and applying a relatively high level of RF power to the support electrode to planarize the layer.

【0013】具体的な本方法は、酸素非含有基板上に形
成された酸化物中のコンタクト孔のエッチングとアルミ
ニウム或いは他の基板上に形成された酸化物中の孔を介
したエッチングを含む酸化物エッチング;高速の等方性
及び異方性酸化物エッチング;ゲート等のポリシリコン
導体のエッチング、フォトレジストの除去;中でも単結
晶シリコンの異方性エッチング;異方性フォトレジスト
エッチング;窒素と酸化窒素の低圧プラズマ蒸着;酸化
物、オキシ窒化物及び窒化物の高圧等方性コンフォーマ
ル蒸着;アルミニウム、タングステン及びチタン等の金
属とタングステンシリサイド等の化合物及び合金のエッ
チング;及び種々の蒸着速度で、平面化を伴う局部的・
全面的スパッタファセット蒸着を含む種々の材料の蒸着
を含むが、これらに限定されることはない。1.概要 図1−図3は半導体基板5を加工するための、誘導プラ
ズマ源装置、磁気増強したプラズマ源装置、容量性結合
されたバイアス装置、及び本発明の他の側面を用いるプ
ラズマ反応装置10の概略断面図である。この三つの図
は、このシステムの好適な特徴とその他の特徴を示す。
図面スペースの制約から三つの図面を用いる。この例示
したチャンバーは一体型伝送線構造を有する同時継続中
の一部継続出願の願書に図示したものの変更態様であ
る。この発明の重要な特徴はプラズマ反応装置に広く適
用することができる。更に、当該技術の通常の知識を有
する者には、以下の説明から反応装置の性能を向上させ
るこの発明の様々な特徴は個別的に利用するこのが可能
であり、また選択的にシステムか省くこともできる。例
えば、誘導プラズマ源装置と容量性結合されたバイアス
源によって提供される加工条件によって磁気増強が不要
になることが多い。
A specific method of the present invention is the oxidation including etching contact holes in an oxide formed on an oxygen-free substrate and etching through holes in an oxide formed on aluminum or another substrate. Etching; fast isotropic and anisotropic oxide etching; etching of polysilicon conductors such as gates, removal of photoresist; anisotropic etching of single crystal silicon; anisotropic photoresist etching; nitrogen and oxidation Low pressure plasma deposition of nitrogen; high pressure isotropic conformal deposition of oxides, oxynitrides and nitrides; etching of metals such as aluminum, tungsten and titanium and compounds and alloys such as tungsten silicide; and at various deposition rates, Localized with flattening
Includes, but is not limited to, deposition of various materials, including blanket sputter facet deposition. 1. Overview FIGS. 1-3 illustrate an inductive plasma source device, a magnetically enhanced plasma source device, a capacitively coupled bias device, and a plasma reactor 10 using other aspects of the present invention for processing a semiconductor substrate 5. It is an outline sectional view. The three figures illustrate preferred features and other features of the system.
Three drawings are used due to space limitations. The illustrated chamber is a modification of that shown in the co-pending, partly continuation application having an integrated transmission line structure. Important features of the present invention can be widely applied to plasma reactors. In addition, those of ordinary skill in the art will appreciate that the various features of the present invention that enhance the performance of the reactor can be used individually and that the system can be selectively omitted from the following description. You can also. For example, processing conditions provided by a bias source capacitively coupled to an inductive plasma source device often eliminate the need for magnetic enhancement.

【0014】例示するシステム10は側壁12、頂壁1
3、底壁14を有する陽極処理したアルミニウムその他
の適当な材料で形成した真空チャンバーハウジング11
を含む。陽極処理したアルミニウムはアークとスパッタ
リングを抑制するため好適である。しかし、この加工に
適したポリマー、石英、あるいはセラミックのライナー
の付いた、或いはそれが付いていない裸のアルミニウム
等の他の材料を用いることもできる。頂壁13は壁12
−12の間に形成された下部チャンバー基板処理部16
Bとドームによって形成された上部チャンバープラズマ
源部16Aの間の中央開口部15を有する。このドーム
は好適には石英やその他のアルミニウムやアルファアル
ミナ(サファイア)等のいくつかの誘電体材料のような
誘電体によって形成される反転した単一壁或いは二重壁
のカップとして構成することができる。図1に示す実施
例では、ドーム17は石英等の誘電体の円筒状の壁17
Wと通常のアルミニウム或いは陽極処理したアルミニウ
ム製のカバー或いは頂壁17Tから成る。選択度の高い
酸化物エッチングといった目的のためにはシリコン、或
いはシリコンを含有する頂壁手段及びシリコンで覆った
ドームの側壁が好適である。
The exemplary system 10 includes a side wall 12, a top wall 1.
3. A vacuum chamber housing 11 made of anodized aluminum or other suitable material having a bottom wall 14.
including. Anodized aluminum is preferred because it suppresses arcing and sputtering. However, other materials may be used, such as bare aluminum with or without a polymer, quartz, or ceramic liner suitable for this process. Top wall 13 is wall 12
-12, the lower chamber substrate processing unit 16 formed during
It has a central opening 15 between B and the upper chamber plasma source 16A formed by the dome. The dome is preferably configured as an inverted single or double wall cup formed by a dielectric such as quartz or some dielectric material such as aluminum or alpha alumina (sapphire). it can. In the embodiment shown in FIG. 1, the dome 17 is a cylindrical wall 17 made of a dielectric material such as quartz.
W and normal aluminum or anodized aluminum cover or top wall 17T. For purposes such as highly selective oxide etching, silicon or silicon containing top wall means and silicon covered dome sidewalls are preferred.

【0015】図1に示すように、チャンバーハウジング
11(チャンバー16)の内部の減圧排気は、底壁14
に接続された一つあるいはそれ以上の真空ポンプからな
る真空ポンプシステム21につながった真空線19中の
絞り弁18(流量と無関係に圧力を調整する)によって
制御される。11節に説明するように、チャンバーの壁
とドームを含むチャンバー構成要素は加工性能のために
加熱及び/又は冷却することができる。たとえば、ドー
ムは液体あるいはガスの伝熱媒体によって加熱あるいは
冷却することができ、あるいは加熱要素を用いて直接ド
ームを加熱することができる。2節に示しまた図2に図
示するように、プロセスガス、パージガス、希釈剤その
他は、プラズマ源(ドーム)の基部、プラズマ源の頂部
プレート17T、および基板の周辺にそれぞれ配置され
た三つのマニホルド注入源G1,G2およびG3によっ
てチャンバーに供給することができる。これらのガスは
たとえば一つあるいはそれ以上の加圧ガス源からコンピ
ュータ制御された流れ制御装置(図示せず)を介してチ
ャンバー11に供給される。主吸気マニホルドG1にお
いては、ガスは頂壁13の内部に取り付けたあるいは頂
壁13と一体の石英リングガスマニホルド51を介し
て、22に示すように内部真空加工チャンバー16に入
る。マニホルド23は好適にはRFエネルギーの印加後
にエッチングもしくは蒸着プラズマを発生させるために
チャンバー部16B,16Aに対してわずかに上向きの
角度でエッチングガスもしくは蒸着ガスを供給する。ド
ーム17の頂部プレート17T中の頂部マニホルド装置
G2は反応性ガスあるいはその他のガスをチャンバー1
6い取り入れるのに用いることができる。また、基板の
周辺に反応性ガスおよびその他のガスを供給するマニホ
ルド装置G3を設けることもできる。
As shown in FIG. 1, the reduced pressure exhaust inside the chamber housing 11 (chamber 16) is performed by the bottom wall 14
Is controlled by a throttle valve 18 (regulates pressure independently of flow rate) in a vacuum line 19 connected to a vacuum pump system 21 consisting of one or more vacuum pumps connected to. As described in Section 11, chamber components, including chamber walls and domes, can be heated and / or cooled for processing performance. For example, the dome can be heated or cooled by a liquid or gas heat transfer medium, or the dome can be heated directly using a heating element. As shown in Section 2 and illustrated in FIG. 2, process gas, purge gas, diluent, etc., are provided at the base of the plasma source (dome), the top plate 17T of the plasma source, and three manifolds located around the substrate, respectively. It can be supplied to the chamber by injection sources G1, G2 and G3. These gases are supplied, for example, from one or more sources of pressurized gas to the chamber 11 via a computer controlled flow controller (not shown). In the main intake manifold G1, gas enters the internal vacuum processing chamber 16 as shown at 22 via a quartz ring gas manifold 51 mounted inside or integral with the top wall 13. The manifold 23 preferably supplies the etching or deposition gas at a slightly upward angle to the chamber portions 16B, 16A to generate etching or deposition plasma after the application of RF energy. The top manifold device G2 in the top plate 17T of the dome 17 is used for supplying reactive gas or other gas to the chamber 1
It can be used to capture 6 pieces. Further, a manifold device G3 for supplying a reactive gas and other gases around the substrate may be provided.

【0016】RFエネルギーはRF供給および整合ネッ
トワーク31によって給電される少なくとも1回巻のア
ンテナ30あるいはコイルからなるプレート源によって
ドームに供給される。アンテナ30は好適には複数回巻
の円筒状構成を有する。コイル30はある一定の周波数
およびプラズマ源(コイル)径に対する最小導体電気長
を規定し、好適には動作周波数において1/4波長(<
λ/4)以下の電気長を有する。アンテナ30自体は共
振器ではないが、ファラデーの誘導結合の法則によって
プラズマ源との有効な誘導結合を行うために5節に説明
するように共振に同調される。好適には、チャンバープ
ラズマ源部16Aからのガスの流れは基板5に向かって
下向きに流れ、次に基板から径方向に外向きに引き出さ
れる。この目的のために、カソード伝送線構造32の周
り、一方の側のチャンバー壁12と他方の側の外側伝送
線導体320の間および底部のチャンバー底壁14と頂
部の導電性ポンピングスクリーン29の間の環状の真空
マニホルド33を形成することができる。マニホルドス
クリーン29は真空マニホルド33と基板プロセスチャ
ンバー16Bの間に介装され、チャンバー壁12と伝送
線構造32の外側導体320の間に導電路を提供する。
真空マニホルド33は基板5の周辺からの排出ガスの均
一な径方向の引き出しを行うための環状のポンピングチ
ャンネルを形成する。真空マニホルド33は排出ガスシ
ステム線19に連通している。ガスの流れはマニホルド
G1からの通路22に沿ってドーム/プラズマ源に、及
び/又はマニホルドG2からの通路24及び/又はマニ
ホルドG3からの通路26に沿って基板5に向かって径
方向に内向きに流れる。全体的なガスの流れは通路34
に沿って上部チャンバープラズマ源部16Aから基板5
に、通路3に沿って基板からスクリーン29を通って排
気マニホルド33、そして通路37に沿って排気マニホ
ルド33から排気システム21に向かう。導電性マニホ
ルドスクリーン29とカソード伝送線構造はオプション
であることに注意しなければならない。通常、対象とな
る周波数の低い側では波長が非常に長く、したがって伝
送線構造は不要である。
RF energy is supplied to the dome by a plate source consisting of at least one turn antenna 30 or a coil fed by an RF supply and matching network 31. The antenna 30 preferably has a multi-turn cylindrical configuration. The coil 30 defines a minimum conductor electrical length for a given frequency and plasma source (coil) diameter, and preferably at the operating frequency, a quarter wavelength (<
λ / 4) or less. The antenna 30 itself is not a resonator, but is tuned to resonance as described in Section 5 for effective inductive coupling with the plasma source according to Faraday's law of inductive coupling. Preferably, the gas flow from the chamber plasma source 16A flows downward toward the substrate 5 and then is drawn radially outward from the substrate. For this purpose, around the cathode transmission line structure 32, between the chamber wall 12 on one side and the outer transmission line conductor 320 on the other side and between the bottom chamber bottom wall 14 and the top conductive pumping screen 29. Annular vacuum manifold 33 can be formed. Manifold screen 29 is interposed between vacuum manifold 33 and substrate process chamber 16B and provides a conductive path between chamber wall 12 and outer conductor 320 of transmission line structure 32.
The vacuum manifold 33 forms an annular pumping channel for uniform radial extraction of the exhaust gas from the periphery of the substrate 5. Vacuum manifold 33 is in communication with exhaust system line 19. The gas flow is directed radially inward toward the substrate 5 along the passage 22 from the manifold G1 to the dome / plasma source and / or along the passage 24 from the manifold G2 and / or the passage 26 from the manifold G3. Flow to. The overall gas flow is
Along the upper chamber plasma source 16A to the substrate 5
From the substrate through the screen 29 along the passage 3 to the exhaust manifold 33 and then along the passage 37 from the exhaust manifold 33 to the exhaust system 21. It should be noted that the conductive manifold screen 29 and the cathode transmission line structure are optional. Usually, the wavelength is very long on the low frequency side of interest, so that no transmission line structure is required.

【0017】これは従来のRFシステムの構成とは対照
的であり、RF電力は二つの電極、通常その上面が基板
5を支持するウエハ支持電極32Cと反応装置チャンバ
ーの側壁12、頂壁13及び/又はマニホルド23であ
る第2の電極との間に印加される。とりわけ、アンテナ
30はドーム17とプラズマチャンバー16Aの外側の
それらに隣接する位置に配置され、RF電磁(em)エ
ネルギーをプラズマ源チャンバー16Aに結合してプロ
セスガスに電界を誘起するようになっている。ファラデ
ーの誘導結合の法則から、emエネルギーの変化するB
(磁気)成分はプロセスガスを付勢してチャンバー16
内に比較的密度が高くエネルギーイオンが低いという特
徴を有するプラズマを形成する(参照符号16はチャン
バー16A、16Bおよびプラズマを集合的に指
す。)。このプラズマはドーム17中でコイルアンテナ
30内に形成された小さな容積に集中されて発生する。
イオン、電子、フリラジカルおよび励起中性物等を含む
活性種が拡散とここに説明するガス流によるバルクフロ
ーによって基板に向かって下流に移動する。また、7節
に説明するように、適当な磁界を用いて次に説明するよ
うに基板に向かうイオンや電子を抽出することができ
る。これはオプションであるが、プラズマ源42とバイ
アス整合ネットワーク43からなる図1のバイアスエネ
ルギー入力装置41はRFエネルギーを基板支持電極3
2Cに結合して、基板のプラズマシース電圧を選択的に
増大させそれによって基板のイオンエネルギーを選択的
に増大させるのが好適である。
This is in contrast to the configuration of a conventional RF system, where the RF power is two electrodes, typically the wafer support electrode 32C, whose top surface supports the substrate 5, and the side walls 12, top wall 13 and 13 of the reactor chamber. And / or a second electrode, which is the manifold 23. In particular, the antenna 30 is located outside and adjacent to the dome 17 and the plasma chamber 16A to couple RF electromagnetic (em) energy to the plasma source chamber 16A to induce an electric field in the process gas. . From the Faraday's law of inductive coupling, the em energy changes B
The (magnetic) component energizes the process gas to form a chamber 16.
A plasma having a characteristic of relatively high density and low energy ions is formed therein (reference numeral 16 collectively refers to the chambers 16A, 16B and the plasma). This plasma is generated in the dome 17 concentrated in a small volume formed in the coil antenna 30.
Active species including ions, electrons, free radicals and excited neutrals migrate downstream toward the substrate by diffusion and bulk flow by the gas flows described herein. Also, as described in Section 7, an appropriate magnetic field can be used to extract ions and electrons toward the substrate as described below. This is optional, but the bias energy input device 41 of FIG. 1 comprising a plasma source 42 and a bias matching network 43 transfers RF energy to the substrate support electrode 3.
Preferably, coupled to 2C, the plasma sheath voltage of the substrate is selectively increased, thereby selectively increasing the ion energy of the substrate.

【0018】基本的には底部の開放した箱である反射器
44はアンテナをその頂部と側面部において囲んでいる
が、アンテナ30の底部は囲まない。この反射器44は
RFエネルギーの自由空間への放射を防止し、それによ
ってプラズマ中の電力の放射や散逸を集中して効率を高
めている。7節に詳細に説明するように、図3のファラ
デーシールド45はアンテナ30の内部、上および下に
配置することができ、磁界がプラズマに結合するのを可
能にするが直接電界結合を不能にしている。直接電界結
合はプラズマに傾斜や不均一を誘起する恐れがあり、あ
るいは荷電粒子を高エネルギーに加速する恐れがある。
8節に説明するように、基板5におけるプラズマ密度の
向上、基板へのイオンの搬送、あるいはプラズマの均一
性の向上のために、オプションとして図2の一つあるい
はそれ以上の電磁石47−47、あるいは永久磁石をチ
ャンバーの囲い11に近接して取り付けることができ
る。4節に詳細に説明するように、この発明には通常マ
イクロ波あるいはマイクロ波ECR周波数よりはるかに
低い周波数の誘導結合された電磁エネルギーの磁力成分
を用いて、潜在的に損傷を与える恐れのある高出力RF
エネルギーを基板5に結合することなく高密度かつ比較
的低エネルギーという特徴を持つプラズマを生成するた
めに真空チャンバー内に円形の電界を誘起する。図示す
る好適な下流プラズマ源構成では、RFエネルギーは基
板から離れて高プラズマ密度で完全に吸収され、波が基
板に伝搬せずしたがって損傷の可能性を最小限にするよ
うにしている。選択的及び任意的に、RFバイアスエネ
ルギーは必要に応じて基板シース電圧、したがってイオ
ンエネルギーを増大させるために基板支持電極32Cに
印加される。
Reflector 44, which is basically an open bottom box, encloses the antenna at its top and sides, but not at the bottom of antenna 30. This reflector 44 prevents the emission of RF energy into free space, thereby concentrating the emission and dissipation of power in the plasma to increase efficiency. As will be described in detail in Section 7, the Faraday shield 45 of FIG. ing. Direct electric field coupling may induce tilt or non-uniformity in the plasma, or may accelerate charged particles to high energies.
As described in Section 8, one or more electromagnets 47-47 of FIG. 2 may optionally be provided to improve the plasma density on the substrate 5, transport ions to the substrate, or improve plasma uniformity. Alternatively, a permanent magnet can be mounted in close proximity to the chamber enclosure 11. As described in detail in Section 4, the present invention uses potentially magnetically damaging components of magnetically coupled components of inductively coupled electromagnetic energy at frequencies well below the microwave or microwave ECR frequencies. High power RF
A circular electric field is induced in the vacuum chamber to generate a plasma with high density and relatively low energy without coupling the energy to the substrate 5. In the preferred downstream plasma source configuration shown, the RF energy is completely absorbed away from the substrate at high plasma densities, such that the waves do not propagate to the substrate and thus minimize the potential for damage. Optionally and optionally, RF bias energy is applied to substrate support electrode 32C to increase the substrate sheath voltage, and thus the ion energy, as needed.

【0019】チャンバー16は総チャンバー圧約0.1ミ
リトルから約50トル、通常エッチングには0.1ミリト
ルから200ミリトルを用いて、蒸着及び/又はエッチ
ングによって半導体ウエハを含む基板を処理することが
できる。このチャンバーは5ミリトルより低い圧力で動
作することができ、実際に2ミリトルで正常に動作し
た。しかし、ある種の加工には、ポンピング速度と流量
が増大するという点で高い圧力が好適である。たとえ
ば、酸化物エッチングには約5ミリトルから約50ミリ
トルの圧力範囲が好適である。このような比較的高い圧
力では、プラズマ源と基板の間隔を小さくしなければな
らない。この発明のチャンバーは基板5とアンテナ30
の最下部の巻の間の間隔と約5cm/2in.と非常に適切
な小さい間隔dにしたとき、敏感な装置に対するチャー
ジアップ損傷を生じることなく良好に動作した。したが
って、このような非常に小さい間隔にすることの利点、
すなわちエッチング速度と選択度の向上、ある一定のエ
ッチング速度に対するバイアス電圧およびイオンエネル
ギー条件の低減、および基板上のエネルギーの均一性の
向上が達成される。たとえば、基板5とソースアンテナ
30の間隔dを10cm/in.(これ自体小さい間隔であ
る)から5cm/2inに縮小すると、必要電圧は半分にな
り均一性は約2.5%から約1%に上がった。 2.ガス処理システム 前述したように、このチャンバーには反応性ガス、パー
ジガス、その他を異なる場所に注入してそれぞれの加工
(エッチング、蒸着その他)の条件とその加工に用いら
れる材料に応じて加工を向上させるための複数のガス注
入源G1,G2,G3(図2)が内蔵されている。ま
ず、チャンバーはプラズマ源領域16Aの基部/底部の
周囲に標準の径方向ガス分配システムG1を有する。好
適な構成では、G1注入システムはプラズマ源の底部の
石英ガス分配リング51とこのリングにガスを供給する
分配チャンネルを形成する周辺環状マニホルド52から
なる。このリングは内側を向いた径方向の穴53−53
を有し、好適には中空陰極放電を防止するため前記の穴
に挿入される階段状の焼結セラミック多孔性ガス拡散プ
ラグ54−54を有する。
Chamber 16 may be used to process substrates, including semiconductor wafers, by vapor deposition and / or etching using a total chamber pressure of about 0.1 mTorr to about 50 Torr, typically 0.1 mTorr to 200 mTorr for etching. . This chamber could operate at pressures below 5 millitorr and in fact operated normally at 2 millitorr. However, high pressures are preferred for certain types of processing in that pumping speed and flow rate are increased. For example, a pressure range of about 5 mTorr to about 50 mTorr is suitable for oxide etching. At such relatively high pressures, the distance between the plasma source and the substrate must be reduced. The chamber of the present invention comprises a substrate 5 and an antenna 30.
Between the bottom turns and about 5 cm / 2 in. And a very suitable small spacing d performed well without charge-up damage to sensitive devices. Therefore, the benefits of such a very small spacing,
That is, an improvement in etching rate and selectivity, a reduction in bias voltage and ion energy conditions for a certain etching rate, and an improvement in energy uniformity on the substrate are achieved. For example, the distance d between the substrate 5 and the source antenna 30 is 10 cm / in. Reducing from 5 cm / 2 in (which is itself a small spacing) halved the required voltage and increased the uniformity from about 2.5% to about 1%. 2. Gas processing system As described above, this chamber is injected with reactive gas, purge gas, etc. into different places to improve the processing according to the conditions of each processing (etching, vapor deposition etc.) and the material used for the processing A plurality of gas injection sources G1, G2, and G3 (FIG. 2) for performing the operation are incorporated. First, the chamber has a standard radial gas distribution system G1 around the base / bottom of the plasma source region 16A. In a preferred configuration, the G1 injection system comprises a quartz gas distribution ring 51 at the bottom of the plasma source and a peripheral annular manifold 52 forming a distribution channel supplying gas to the ring. This ring has an inward facing radial hole 53-53
And preferably has a stepped sintered ceramic porous gas diffusion plug 54-54 inserted into said hole to prevent hollow cathode discharge.

【0020】第2のガス注入装置G2は多孔性セラミッ
ク拡散ディスク57を詰めた中央吸気穴56を有する陽
極処理したアルミニウム等の材料でできた接地された、
あるいは浮動する、あるいはバイアスされたドーム頂部
プレート17Tからなる。第3のガス注入源G3は基板
5の周辺に取り付けたリング状吸気マニホルド58(あ
るいは基板を支持受台に保持するのに用いられるクラン
プリング(図示せず)に内蔵されたガス取入口)からな
る。単結晶やポリシリコンを含む酸素非含有層の表面に
わたって二酸化シリコン等の酸素含有層を通して開口を
エッチングする場合に、望ましくは二酸化シリコンはポ
リシリコンや他の基板より非常に早い速度でエッチング
される。フッ化物を含むエッチングガスはエッチャント
として用いられる。しかし、フッ化物のエッチャントは
通常二酸化シリコンとポリシリコン等の材料を、例えば
同じ速度でエッチングするので、そのエッチングはシリ
コンよりむしろ酸化物に対して殆ど選択度がない。しか
しながら、反応性イオンエッチング中に、ポリマーの保
護層が成長する開口の側壁と底部に形成される。このよ
うなポリマーは炭素とフッ素から形成され、一般的には
約30%の炭素と約60%のフッ素を含んでいる。
The second gas injector G2 is grounded, made of a material such as anodized aluminum having a central intake hole 56 filled with a porous ceramic diffusion disk 57.
Alternatively, it consists of a floating or biased dome top plate 17T. The third gas injection source G3 is supplied from a ring-shaped intake manifold 58 attached to the periphery of the substrate 5 (or a gas inlet incorporated in a clamp ring (not shown) used to hold the substrate on the support base). Become. When etching openings through an oxygen-containing layer, such as silicon dioxide, across the surface of an oxygen-free layer that includes single crystal or polysilicon, silicon dioxide is preferably etched at a much faster rate than polysilicon or other substrates. An etching gas containing fluoride is used as an etchant. However, since fluoride etchants usually etch materials such as silicon dioxide and polysilicon, for example, at the same rate, the etch has little selectivity for oxides rather than silicon. However, during reactive ion etching, a protective layer of polymer forms on the sidewalls and bottom of the growing opening. Such polymers are formed from carbon and fluorine, and generally contain about 30% carbon and about 60% fluorine.

【0021】このポリマーは、フッ素原子の存在下で分
離される。必要なことは約50%以上の炭素と約40%
以下のフッ素を含む炭素の含有量の多いポリマーを形成
することである。もしフッ化物イオン用のスカベンジャ
が反応装置の中に配置されるなら、自由なフッ化物イオ
ンはプラズマ中には殆ど存在しないし、C−F結合はポ
リマー膜に形成さないであろう、ということを発見し
た。従来の反応装置における成功は、プラズマ中に自由
なシリコンを与えるためにフッ化物イオン用のスカベン
ジャをプラズマ自身、例えばシランやオルガノシランに
加えることによって得られていた。しかしながら、多く
の異なったプラズマイオンが形成されるので、そのプロ
セスは本質的に“ダーティー”であり、エッヤントとポ
リマーの性質は異なっており、また不均一であって、そ
のプロセスは全く再現性がない。従って、自由なフッ化
物イオンを取り除くために“きれいな”シリコン源を提
供する本装置は優れている。本発明によれば、このよう
なフッ化物イオン・スカベンジャは、フッ化物に対する
反応物質である。そしてそれはプラズマの中或いはその
近くにあるシリコン源であることが望ましい。フッ物ス
カベンジャは単結晶シリコンのような純粋なシリコン、
ポリシリコン或いはシリコンカーバイド等であり、或い
は第3の電極がシリコン又はシリコンを含む材料からで
きているのが好ましい。また、グラファイトはフッ化物
イオンを取り除くために用いることができる。例えば、
第3の電極がグラファイトから作られる。
The polymer is separated in the presence of fluorine atoms. All you need is about 50% more carbon and about 40%
The following is to form a polymer having a high carbon content including fluorine. If a scavenger for fluoride ions is placed in the reactor, there will be little free fluoride ions in the plasma and no CF bonds will form in the polymer membrane. Was found. Success in conventional reactors has been obtained by adding a scavenger for fluoride ions to the plasma itself, for example, silane or organosilane, to provide free silicon in the plasma. However, because many different plasma ions are formed, the process is inherently "dirty", the properties of the etchant and polymer are different and non-uniform, and the process is quite reproducible. Absent. Thus, the present apparatus, which provides a "clean" silicon source for removing free fluoride ions, is superior. According to the present invention, such a fluoride ion scavenger is a reactant for fluoride. And it is preferably a silicon source in or near the plasma. Fluorine scavenger is pure silicon like single crystal silicon,
It is preferably polysilicon, silicon carbide, or the like, or the third electrode is preferably made of silicon or a material containing silicon. Graphite can also be used to remove fluoride ions. For example,
The third electrode is made of graphite.

【0022】本発明のチャンバーにおけるプラズマエッ
チング中に、酸化シリコン膜にある酸素は成長するトレ
ンチの側壁と底部に形成されるポリマーを容易にエッチ
ングする。しかしながら、トレンチの深さがポリシリコ
ンや他の酸素非含有基板に達すると、酸素は存在せず、
またポリマーはポリシリコン表面に残り、基板を更にエ
ッチングしないように保護する。ここでの好ましいエッ
チャントはCF4,C2F6及びC3F8等のフッ化炭素でれらは炭
素イオンとフッ化物イオンのみを発生する。他の公知の
フッ化物、例えばCHF3は好ましくない。何故ならば、そ
れらは炭素とフッ素のイオンに加えて素イオンを発生す
るからである。本発明によれば、酸素含有層と酸素非含
有基板或いは下部層間の非常に高い選択度が、殆ど無限
に得られる。何故ならば、炭素を豊富に含むポリマーは
シリコン表面或いは酸化物非含有基板や酸素のない層の
上に分解しないからである。これらのポリマーは酸素に
敏感であり、また酸素がないと、例えばエッチャントが
酸素非含有基板に達すると、ポリマーの分離は減少し、
そして少なくなったフッ素イオンでプラズマに結合する
と、層を不動態化している比較的不活性のポリマーが形
成され、下部層を保護する。
During plasma etching in the chamber of the present invention, oxygen in the silicon oxide film readily etches the polymer formed on the sidewalls and bottom of the growing trench. However, when the trench depth reaches polysilicon or other non-oxygen containing substrate, no oxygen is present,
Also, the polymer remains on the polysilicon surface and protects the substrate from further etching. Preferred etchants here are fluorocarbons such as CF 4, C 2 F 6 and C 3 F 8 which only generate carbon and fluoride ions. Other known fluorides such as CHF 3 are not preferred. Because they generate elementary ions in addition to carbon and fluorine ions. According to the invention, a very high selectivity between the oxygen-containing layer and the non-oxygen-containing substrate or lower layer is obtained almost indefinitely. This is because carbon-rich polymers do not decompose on silicon surfaces or oxide-free substrates or oxygen-free layers. These polymers are sensitive to oxygen, and in the absence of oxygen, for example when the etchant reaches a non-oxygen containing substrate, the separation of the polymer is reduced,
When bound to the plasma with the reduced fluorine ions, a relatively inert polymer is formed that passivates the layer, protecting the underlying layer.

【0023】シリコン源はプラズマが発生される場所の
近くに置かれるのが好ましく、その結果シリコンはフッ
化物イオンを取り除くことができる。フッ化物イオンが
処理される基板の表面と反応することは殆どない。例え
ば、シリコンメッシュがプラズマ領域に吊り下げられる
か、或いはシリコンがRF電源の部分としてリアクター
の壁或いは頂部の近くに配置される。またシリコン源は
基板の表面近くに吊り下げることもできるが、高いフッ
化物含有量を有するポリマーが生じるかもしれない。シ
リコン源は反応装置のプラズマ領域外に配置することも
可能で、どの場合においても、フッ化物イオンを取り除
くためにシリコンイオンを形成する温度、例えば少なく
とも約150℃或いはそれより高い温度に加熱される。
その場合、シリコン源の温度を調整する手段は反応チャ
ンバーに設けられなければならない。本発明のリアクタ
ーが種々の膜を蒸着するために、蒸着チャンバーとして
用いられるときには、他の利点がある。例えば、基板内
にトレンチや開口が作られた後には、基板内のデバイス
どうしの導通や分離を形成するために、そのトレンチや
開口は他の材料で満たされる。例えば基板の開口はシラ
ンと酸素を用いる酸化シリコンで満たされる。これはト
レンチの底部コーナー、或いはデポジットの中央におい
て空隙が形成されるのを避けるために蒸着速度を注意深
く制御する必要がある。この後者の現象はECR処理に
関してはよく知られており、また取り扱われている。こ
の問題を避けるために蒸着の前にアルゴンでトレンチの
頂部をスパッタすること、即ちトレンチや開口の頂部を
ファセッティングすることは知られている。それによっ
て、空隙の周りを塞ぐことなくトレンチの頂部を開ける
ことができる。しかしながら、ECR処理は、高イオン
密度を維持するために2−3ミリトルのオーダーの非常
に低い圧力が用いられるので、不利である。ECRにお
けるイオン密度は圧力に非常に依存している。イオン密
度は約1−2ミリトルで最大にされ、5−10ミリトル
の圧力で急激に降下する。従って、大量のガスがECR
に供給されなくてはならないし、大きな真空ポンプが過
剰なガスを排気するために必要となる。
The silicon source is preferably placed near the location where the plasma is generated so that the silicon can scavenge fluoride ions. Fluoride ions hardly react with the surface of the substrate to be treated. For example, a silicon mesh is suspended in the plasma area, or silicon is placed near the reactor wall or top as part of the RF power supply. The silicon source can also be suspended near the surface of the substrate, but a polymer with a high fluoride content may result. The silicon source may be located outside the plasma region of the reactor and in any case heated to a temperature that forms silicon ions to remove fluoride ions, eg at least about 150 ° C. or higher. .
In that case, means for adjusting the temperature of the silicon source must be provided in the reaction chamber. There are other advantages when the reactor of the present invention is used as a deposition chamber for depositing various films. For example, after a trench or opening is created in a substrate, the trench or opening is filled with another material to form continuity or isolation between devices in the substrate. For example, the openings in the substrate are filled with silicon oxide using silane and oxygen. This requires careful control of the deposition rate to avoid creating voids at the bottom corner of the trench, or at the center of the deposit. This latter phenomenon is well known and addressed in ECR processing. To avoid this problem, it is known to sputter the top of the trench with argon prior to deposition, i.e. to facet the top of the trench or opening. Thereby, the top of the trench can be opened without closing around the gap. However, the ECR process is disadvantageous because very low pressures on the order of 2-3 mTorr are used to maintain high ion densities. The ion density in ECR is very dependent on pressure. The ion density is maximized at about 1-2 millitorr and drops sharply at 5-10 millitorr pressure. Therefore, a large amount of gas is ECR
Must be supplied to a large vacuum pump and a large vacuum pump is needed to pump out excess gas.

【0024】誘導性プラズマを形成している本発明の装
置は約30ミリトルの圧力までの高いイオン密度を維持
する。従って、非常に高い圧力、即ち約15−30ミリ
トルが本発明の誘導的に結合されたプラズマ中に維持さ
れ、また付随して、ガスはリアクターに殆ど供給される
必要がない。そしてECRにおける蒸着に必要な1−2
ミリトルの圧力操作以外の副産物や過剰ガスを除くため
に、小さな真空ポンプが必要とされる。本発明の装置は
ECRにおいて得られるこれらのものと等価な、しかし
簡単なそして費用のかからない方法で、結果を満たすト
レンチを与える。低い圧力で発生したプラズマのイオン
密度を調整する低い温度操作と能力のために、同様な方
法が本発明の装置において大量のガス又は大きな真空ポ
ンプを必要とせずに達成できる。従って、適当な量のシ
ラン、酸素及びアルゴンの、例えば反応装置への導入は
トレンチの頂部、側壁をスッパタし、そして酸化シリコ
ンでトレンチを満たすプラズマを形成する。酸化シリコ
ンの堆積内に空隙を作ることが避けられ、そしてトレン
チの頂部の形成とトレンチ内の蒸着またはトレンチ内に
満たすことは単一処理工程でできる。
The device of the present invention forming an inductive plasma maintains a high ion density up to a pressure of about 30 mtorr. Thus, very high pressures, about 15-30 milliTorr, are maintained in the inductively coupled plasma of the present invention, and concomitantly, little gas needs to be supplied to the reactor. And 1-2 necessary for vapor deposition in ECR
A small vacuum pump is required to remove by-products and excess gas other than millitorr pressure operation. The device of the present invention provides trenches that meet the results in an equivalent, but simple and inexpensive way to obtain these in ECR. Due to the low temperature operation and ability to regulate the ion density of the plasma generated at low pressure, a similar method can be achieved without the need for large volumes of gas or large vacuum pumps in the apparatus of the present invention. Thus, the introduction of appropriate amounts of silane, oxygen, and argon, for example, into the reactor, sputters the top, sidewalls of the trench and forms a plasma that fills the trench with silicon oxide. The creation of voids in the silicon oxide deposition is avoided, and the formation of the top of the trench and the deposition or filling of the trench can be done in a single processing step.

【0025】上に言及したように、エッチャントと蒸着
種、不動態化種、希釈種等から選択される色々なタイプ
のガスが、特別なエッチングと蒸着処理と材料の要求を
満足するためにG1−G3の一つ或いはそれ以上のソー
スを介してチャンバーに供給される。例えば、本誘導性
プラズマ源アンテナ30が非常に高密度のプラズマを与
え、チャンバーのドームプラズマ源領域16Aにおける
ガスを分離するのに非常に有効である。結果として、ポ
リマー形成の種がG1或いはG2を介してドームに供給
されると、高度に分離された種がポリシリコンの被覆を
犠牲にしてドームの内部を被覆し得るし、及び/又は十
分に分離されているので保護のために被覆されるべきポ
リシリコンの表面に付着しない。一つの解決策はC2F6
いは等のエッチング種をG1又はG2、或いはG1とG
3を介してプラズマ源領域に挿入するこであり、基板上
に優先的に炭素を豊富に含有するポリマーを形成するた
めに、フッ化物イオンを取り除くシリコンを与えること
である。プラズマ源領域におけるガスの高い分離のため
に、フッ素を含むガス(フッ素を含むガス中にフッ素が
炭素と共にあってもよい)シリコンと酸化シリコンをエ
ッチングする自由なフッ化物イオンが典型的に生成す
る。従って、酸化物のためのエッチング選択度を減少す
る。プラズマ中のシリコン源を与えることに加えて、高
い選択度が要求されると、シリコンを含む付加的なガス
が、自由なフッ化物イオンを更に取り除くため、また酸
素非含有基板のエッチングを減少するために挿入され
る。エッチングガスとシリコン含有付加ガスはG1とG
2を介して別々に導入することができるし、或いはG1
及び/又はG2を介して混合して導入することができ
る。適当なフッ素消費シリコン含有付加ガスはシラン
(SiH4),TEOS, ディエチルラン及び四フッ化シリコン(S
iF4)を含んでいる。
As mentioned above, various types of gases selected from etchants and vapor deposition species, passivation species, dilution species, etc., can be used to meet the requirements of special etching and vapor deposition processes and materials. -Supplied to the chamber via one or more sources of G3. For example, the inductive plasma source antenna 30 provides a very dense plasma and is very effective at separating gases in the dome plasma source region 16A of the chamber. As a result, when polymer-forming species are provided to the dome via G1 or G2, highly isolated species may coat the interior of the dome at the expense of polysilicon coverage and / or Since it is separated, it does not adhere to the surface of the polysilicon to be coated for protection. One solution is to use an etching species such as C 2 F 6 or G1 or G2, or G1 and G.
3 to insert into the plasma source region, and to provide silicon that removes fluoride ions to form a preferentially carbon-rich polymer on the substrate. Due to the high separation of gases in the plasma source region, fluorine containing gases (fluorine may be present in the fluorine containing gas with carbon) typically produce free fluoride ions etching silicon and silicon oxide. . Thus, the etch selectivity for the oxide is reduced. In addition to providing a source of silicon in the plasma, when high selectivity is required, an additional gas containing silicon further scavenges free fluoride ions and also reduces etching of non-oxygen containing substrates. Inserted for. The etching gas and the additional gas containing silicon are G1 and G
2 can be introduced separately or G1
And / or mixed and introduced via G2. Suitable fluorine-consuming silicon-containing additional gases are silane (SiH 4 ), TEOS, diethyl ethyl and silicon tetrafluoride (S
iF 4 ) is included.

【0026】フッ素消費シリコン源ガスとポリマー付加
ガスはエッチングの選択度を増進するために同じプロセ
スにおいて一緒に用いることができる。 3.差動ポンピング 図2は代替の真空ポンピング構成を示す。チャンバーの
底部、あるいはその近傍に接続される真空ポンピングシ
ステム21に加えて、真空ポンプ39が線38を介して
ドーム17内のプラズマ源領域16Aに接続される。ポ
ンピングシステム39および21の流量は、それらがプ
ラズマ源領域16Aに垂直方向に圧力差ΔPp を発生さ
せるように選択される。この圧力差ΔPp は(1)プラ
ズマ源16Aから基板5への非荷電粒子の移動を防止
し、また(2)バイアス電圧によって電子やイオンとい
った荷電粒子に加えられる力Fb より小さい。ΔPp
ために、ラジカルのような非荷電粒子は基板5に到着せ
ず、むしろ主として頂部真空接続部38から流出する。
DC>ΔPp であることから荷電電子および荷電イオン
は主として加工領域に流れる。この方法はイオンではな
くラジカルを選択的に基板プロセス領域外に置きたいと
き有効であることは明らかである。この状況はたとえ
ば、(1)ポリマー形成ガスの化学作用を用いるが、ポ
リマーがプラズマ源領域で形成されチャンバーの側壁に
付着する、及び/又は所望の基板面に良好に付着しない
場合、もしくは(2)プラズマ源領域にフッ素基が形成
される場合に起こる。 4.RF電力、上部およびバイアス源 1)上部あるいはアンテナ源 図1において、好適には上部プラズマ源16AのRF電
源31の動作周波数は密度の高いプラズマを発生して敏
感な装置への損傷を最小限とし、RF電力のプラズマへ
の効率的な誘導結合を提供するように選択される。すな
わち、この動作範囲の上の周波数は“電流によって誘起
される”損傷を最小限にするように制限される。動作周
波数の下限はプラズマへのRF電力結合の効率が上がる
ように選択される。示唆される限界は上述されている。 2)下部あるいはバイアス源 基板支持カソード32Cの交流電源42はRF電力をプ
ラズマに誘導結合して、それによって高周波電力によっ
て行われるプラズマ密度制御から独立して制御されるカ
ソードシース電圧およびイオンエネルギー等を含むさま
ざまな要素の制御を行う。バイアス周波数は多くの目的
を達成するように選択される。まず、周波数の上限は敏
感な装置への電流によって誘起されるチャージアップ損
傷を防止するように選択される。低い周波数が部分的に
は電圧によって誘起される損傷を排除するために選択さ
れる。また周波数バイアスが低ければ、基板の単位バイ
アス電圧あたりの基板シース電圧(加熱を除く)は高く
なり、プラズマ密度への貢献は少なく、したがってイオ
ン密度とイオンエネルギーの独立的制御が向上する。し
かし、バイアス周波数が低すぎると、イオンが基板シー
ス電界のRF成分に追従し、それによってイオンエネル
ギーが変調される。その結果、ピーク/平均エネルギー
比が高くなり、また(ピーク間)イオンエネルギー分布
が広くなる。バイアス周波数が非常に低いと、絶縁チャ
ージアップが発生し、バイアス周波数制御の一部におい
てイオンによって誘起される処理を不能にする。
The fluorine-consuming silicon source gas and the polymer addition gas can be used together in the same process to enhance etch selectivity. 3. Differential Pumping FIG. 2 shows an alternative vacuum pumping configuration. In addition to the vacuum pumping system 21 connected at or near the bottom of the chamber, a vacuum pump 39 is connected via line 38 to the plasma source region 16A in the dome 17. The flow rates of the pumping systems 39 and 21 are selected such that they create a pressure difference ΔP p in a direction perpendicular to the plasma source region 16A. This pressure difference ΔP p (1) prevents the movement of uncharged particles from the plasma source 16A to the substrate 5, and (2) is smaller than the force F b applied to charged particles such as electrons and ions by the bias voltage. Due to ΔP p , uncharged particles such as radicals do not arrive at the substrate 5, but rather primarily flow out of the top vacuum connection 38.
Since F DC > ΔP p , charged electrons and charged ions mainly flow to the processing region. Obviously, this method is effective when it is desired to selectively place radicals, not ions, outside the substrate process region. This situation may be, for example, (1) using the chemistry of the polymer forming gas, but where the polymer is formed in the plasma source region and adheres to the sidewalls of the chamber and / or does not adhere well to the desired substrate surface, or (2) This occurs when fluorine groups are formed in the plasma source region. 4. RF Power, Top and Bias Source 1) Top or Antenna Source In FIG. 1, preferably the operating frequency of the RF power source 31 of the top plasma source 16A generates a dense plasma to minimize damage to sensitive equipment. And to provide efficient inductive coupling of RF power to the plasma. That is, frequencies above this operating range are limited to minimize "current-induced" damage. The lower limit of the operating frequency is chosen to increase the efficiency of the RF power coupling to the plasma. The suggested limits are described above. 2) The AC power supply 42 of the lower or bias source substrate-supporting cathode 32C inductively couples RF power into the plasma to thereby control cathode sheath voltage and ion energy, etc., which are controlled independently of plasma density control performed by high frequency power. Controls various elements, including: The bias frequency is selected to achieve many goals. First, the upper frequency limit is selected to prevent current induced charge-up damage to sensitive devices. Lower frequencies are selected to eliminate, in part, voltage-induced damage. Also, when the frequency bias is low, the substrate sheath voltage (excluding heating) per unit bias voltage of the substrate is high, and the contribution to the plasma density is small, so that the independent control of ion density and ion energy is improved. However, if the bias frequency is too low, the ions will follow the RF component of the substrate sheath electric field, thereby modulating the ion energy. As a result, the peak / average energy ratio increases and the ion energy distribution (between peaks) widens. If the bias frequency is too low, insulation charge-up will occur, disabling ion-induced processing as part of the bias frequency control.

【0027】本出願人は以上の考察が以上記載されたプ
ラズマ源周波数範囲に対応するバイアス周波数範囲を用
いることによって満足されることを発見した。 3)上部アンテナ源とバイアス源の連結動作 本発明の好適な特徴は電源42によって供給される下部
あるいはバイアス電力を自動的に変更して一定のカソー
ドシース電圧を維持することである。非対象性の高いシ
ステムにおいては低圧(<500ミリトル)では、カソ
ード32Cで測定される直流バイアスはカソードシース
電圧の近似値である。下部電力は一定の直流バイアスを
維持するために自動的に変更することができる。下部あ
るいはバイアス電力のプラズマ密度とイオン流密度に対
する影響は非常に小さい。上部あるいはアンテナ電力は
プラズマ密度と電流密度に非常に大きく影響するが、カ
ソードシース電圧に対する影響は非常に小さい。したが
って、プラズマ密度とイオン流密度を規定するには上部
電力を用い、カソードシース電圧を規定するには下部電
力を用いるのが望ましい。それにもかかわらずアンテナ
30を駆動する電源31の高周波はマイクロ波あるいは
マイクロ波ECRアプリケーションに用いられる周波数
よりはるかに低いため、より安価な電源によってより低
いDC電流で作動されるオプションのより小さい磁石を
用いることもできる。この場合関連する熱負荷も小さく
なる。さらに、以上の説明から明らかなように、導波管
の代わりに31C等の同軸ケーブルを用いることができ
る。さらに、他の磁気増強されたあるいは磁気補助され
たシステム中のE×B電子ドリフトによって引き起こさ
れたプラズマの不均一はここには存在しない。これは、
印加される磁界(アンテナ30を介して引火されるRF
フィールドの磁気成分と磁石81によって印加される任
意の静磁界の両方)はカソードの電界とほぼ平行であ
る。したがってこのシステムにはE×Bドリフトはな
い。
Applicants have found that the above considerations are satisfied by using a bias frequency range corresponding to the plasma source frequency range described above. 3) Connection of Upper Antenna Source and Bias Source A preferred feature of the present invention is to automatically change the lower or bias power provided by power supply 42 to maintain a constant cathode sheath voltage. At low pressures (<500 mTorr) in highly asymmetric systems, the DC bias measured at cathode 32C is an approximation of the cathode sheath voltage. The lower power can be changed automatically to maintain a constant DC bias. The effect of lower or bias power on plasma density and ion flow density is very small. Top or antenna power has a very large effect on plasma density and current density, but very little on cathode sheath voltage. Therefore, it is desirable to use the upper power to define the plasma density and the ion flow density, and to use the lower power to define the cathode sheath voltage. Nevertheless, since the high frequency of the power supply 31 driving the antenna 30 is much lower than the frequencies used in microwave or microwave ECR applications, an optional smaller magnet operated at a lower DC current by a cheaper power supply may be used. It can also be used. In this case, the associated heat load is also reduced. Further, as is apparent from the above description, a coaxial cable such as 31C can be used instead of the waveguide. Furthermore, plasma non-uniformities caused by ExB electron drift in other magnetically enhanced or magnetically assisted systems are not present here. this is,
Applied magnetic field (RF ignited via antenna 30
Both the magnetic component of the field and any static magnetic field applied by the magnet 81) are approximately parallel to the electric field of the cathode. Therefore, there is no E × B drift in this system.

【0028】透磁率の高い材料で形成した磁気分路を用
いてプラズマ源(上部チャンバー16A)にBフィール
ドを発生させ基板には発生させない。またオプションと
して、永久磁石あるいは電磁石を、下部チャンバー16
Bの周囲の通常N−S−N−S…N−Sという交互の磁
極構成の多極配列に置いてプラズマ源及び/又はチャン
バー壁に多カスプ磁気ミラーを生成することができる。
磁石は垂直の棒磁石あるいは好適にはたとえば水平なリ
ング磁石とすることができる。かかる磁石は壁への電子
損失を低減し、それによって基板を磁界にさらすことな
くプラズマ密度とプラズマの均一性を向上させるのに用
いることができる。 4)RF電源の結合と同期 上述したように、上部あるいはアンテナRF電源の動作
の好適な周波数と下部あるいはバイアスRF電源の動作
の好適な周波数は好都合なことに同じ範囲に入ってい
る。ここで選択することのできる構成として、これらの
二つのRF電源を別々に使用する代わりに一つの電源に
結合する方法がある。より一般的に言えば、三つのRF
信号(第3あるいは上部電極へのRFバイアスを含む)
のすべてを一つの電源から供給する、あるいはアンテナ
と下部バイアスに一つの電源を用い、第3電極に第2の
電源を用いる、あるいは三つの別々の電源を用いるとい
った可能性がある。別々の電源が用いられる場合、考慮
しなければならないことは別々のRF信号の周波数は等
しくなければならないか、等しくなければならないとす
ればこれらの信号をなんらかの所望の位相関係にロック
しなければならないかどうかということである。予備的
な研究からこれらの質問に対する答は主に選択された動
作周波数によることがわかっている。二つあるいは三つ
のRF電源に対して一つの周波数を選択できる場合、ま
たその周波数がこのシステムが用いられる別の加工につ
いて変更される可能性がない場合、単一のRF電源が論
理的選択ということになる。
A magnetic shunt formed of a material having a high magnetic permeability is used to generate a B field in the plasma source (upper chamber 16A) and not in the substrate. Optionally, a permanent magnet or electromagnet may be provided in the lower chamber 16.
A multi-cusp magnetic mirror can be created in the plasma source and / or chamber wall in a multi-pole arrangement of alternating pole configurations, typically NSNS... NS, around B.
The magnet can be a vertical bar magnet or, preferably, for example, a horizontal ring magnet. Such magnets can be used to reduce electron loss to the wall, thereby improving plasma density and plasma uniformity without exposing the substrate to a magnetic field. 4) RF Power Coupling and Synchronization As mentioned above, the preferred frequency of operation of the upper or antenna RF power supply and the preferred frequency of operation of the lower or biased RF power supply are conveniently in the same range. A configuration that can be selected here is to combine these two RF power supplies into one power supply instead of using them separately. More generally speaking, three RF
Signal (including RF bias to third or upper electrode)
May be supplied from a single power supply, or one power supply may be used for the antenna and lower bias, a second power supply for the third electrode, or three separate power supplies. If separate power supplies are used, it must be taken into account that the frequencies of the separate RF signals must be equal or, if they must be, locked to some desired phase relationship. That is. Preliminary studies have shown that the answers to these questions depend primarily on the operating frequency selected. A single RF power source is a logical choice if one frequency can be selected for two or three RF power sources, and if that frequency cannot be changed for another process in which the system is used. Will be.

【0029】しかしながら、上のサブパラグラフ1−3
に論じた考察に基づいて、これらのプラズマ源に対して
異なる周波数が必要である場合、あるいは異なるプロセ
スに用いるために周波数を変更しなければならない場
合、別々のRF電源が必要になる。別々の電源源があ
り、同じ周波数が選択される場合、数100KHz 以下の
ような比較的低い周波数を除いて、位相同期はおそらく
問題ではない。例えば、電源はアンテナへのRF電圧入
力と下部あるいは基板電極へのRF電圧入力の間の位相
角はプロセスの繰り返し精度を最適化するために選択さ
れた一定の値に維持される。高い周波数では、動作は位
相あるいは周波数の同期とは無関係と見られる。 5.アンテナの同調と負荷 1)同調 通常、アンテナ30は(1)発振器31の周波数をアン
テナと共振するように変化させることによって、あるい
は(2)共振に同調するためにアンテナに接続された別
の共振素子によって共振に同調される。たとえば、この
同調素子は可変のインダクタンス−アース、あるいは可
変のキャパシタンス−アースとすることができる。
However, sub-paragraphs 1-3 above
Based on the considerations discussed above, separate RF power sources will be required if different frequencies are required for these plasma sources, or if the frequencies must be changed for use in different processes. If there are separate power sources and the same frequency is selected, phase synchronization is probably not an issue except at relatively low frequencies, such as below a few hundred KHz. For example, the power supply may maintain the phase angle between the RF voltage input to the antenna and the RF voltage input to the bottom or substrate electrode at a constant value selected to optimize the repeatability of the process. At higher frequencies, operation appears to be independent of phase or frequency synchronization. 5. Antenna tuning and load 1) Tuning Normally, the antenna 30 is either (1) changed by changing the frequency of the oscillator 31 to resonate with the antenna, or (2) another antenna connected to the antenna to tune to resonance. Are tuned to resonance by the resonant element of For example, the tuning element can be a variable inductance-to-ground or a variable capacitance-to-ground.

【0030】誘導同調および容量性同調は共振周波数を
低下させることに注意しなければならない。したがって
このシステムを望ましい最も高い共振周波数となるよう
に構成してキャパシタンスあるいはインダクタンス同調
変数を用いる際に共振周波数の低下に対処するようにす
ることが望ましい。自動同調は好適であり、インピーダ
ンス位相/振幅検出器を用いて同調/負荷変数を駆動す
ることによって実行することができる。図6と9節を参
照。また、反射電力ブリッジあるいはVSWRブリッジ
を用いて同調変数と負荷変数の両方を駆動することがで
きるが、反復が必要である。 2)ローディング 導電性、容量性、あるいは誘導性負荷手段Lを用いてプ
ラズマ源アンテナ30をRF発電器31と接続用同軸ケ
ーブル31Cのインピーダンスに一致させることができ
る。たとえば、タップあるいはワイパを50オームある
いは300オーム近辺あるいはアンテナ上の他の発電器
出力インピーダンス位置にオーミックに接触させること
ができる。また、可変インダクタンスあるいは可変キャ
パシタンスをアンテナ上の発電器出力インピーダンス点
50に接続することもできる。 3)同調回路と負荷回路 図4および図9において、好適にはプラズマ源アンテナ
30と一体でプラズマ源を共振に同期させる同調手段T
が設けられる。また、一体化負荷手段Lがプラズマ源ア
ンテナ30の入力インピーダンスを関連の発振器31
(あるいは伝送線31C)の出力インピーダンスに一致
させるために用いられる。図4において、ある側面にお
いては、同調手段Tはアンテナ30の一端とRFアース
の間に電気的に接続された可変キャパシタンスである。
It should be noted that inductive tuning and capacitive tuning lower the resonant frequency. Therefore, it is desirable to configure the system to have the desired highest resonance frequency to account for the reduction in resonance frequency when using capacitance or inductance tuning variables. Automatic tuning is preferred and can be performed by driving a tuning / load variable with an impedance phase / amplitude detector. See FIGS. 6 and 9. Also, a reflected power bridge or a VSWR bridge can be used to drive both tuning and load variables, but requires repetition. 2) The plasma source antenna 30 can be made to match the impedance of the RF generator 31 and the connecting coaxial cable 31C using the loading conductive, capacitive, or inductive load means L. For example, a tap or wiper can be in ohmic contact near 50 or 300 ohms or other generator output impedance location on the antenna. Also, a variable inductance or variable capacitance can be connected to the generator output impedance point 50 on the antenna. 3) Tuning Circuit and Load Circuit In FIGS.
Is provided. Further, the integrated load means L changes the input impedance of the plasma source antenna 30 to the associated oscillator 31.
(Or the transmission line 31C). In FIG. 4, in one aspect, tuning means T is a variable capacitance electrically connected between one end of antenna 30 and RF ground.

【0031】図5に示すように、また別の側面において
は、負荷手段Lはアンテナの一端とRFアースの間に電
気的に接続された可変キャパシタンスとすることができ
る。また、この負荷手段はアンテナにRF入力電力を加
える可変位置タップ60とすることができる。図6を参
照されたい。図7に示す好適な組合せにおいて、同調手
段Tはアンテナ30の一端とRFアースの間に電気的に
接続された可変キャパシタンスであり、負荷手段Lはア
ンテナの他端とRFアースの間に電気的に接続された別
の可変キャパシタンスである。この構成では、RF入力
電力はタップを介して、すなわちアンテナに沿ってある
いはそのいずれかの端部に設けられたタップを介してア
ンテナに印加することができる。図8を参照されたい。
また、RF電力入力接続部66を図9に示すように負荷
可変キャパシタンスLとアンテナ30の端部の接続部に
配置することができる。 6.ソース/バイアスプロセス制御 また、本発明は十分に高いバイアス電圧を用いて高い二
酸化シリコンエッチング速度を提供し、バイアス電圧を
低い値に周期的にパルス化することによって、二酸化シ
リコン等の材料のエッチング速度が上がり、シリコン等
の材料と比較して二酸化シリコンのエッチング選択度が
増すという発見を含んでいる。 1)パルス/変調バイアス−エッチング速度と選択度の
向上 図10において、通常二酸化シリコンSiO2等の材料のエ
ッチング速度は、バイアス電圧が上がるにつれて増大す
る。したがって、バイアス電圧を上げれば酸化物のエッ
チング速度が上がる。しかし、残念ながらシリコン/ポ
リシリコン等の集積回路構造中の関連する材料のエッチ
ング速度もまたバイアス電圧につれて上がる。したがっ
て、非常に高い二酸化シリコンのエッチング速度を提供
する十分な大きさのバイアス電圧を用いると、シリコン
のエッチング速度は(酸化物エッチング速度よりいくぶ
ん低いが)高すぎる値になり、選択度が低下する。二酸
化シリコンをエッチングする際には、高いDCバイアス
電圧Vh の特徴である高い酸化物エッチング速度と低い
DCバイアス電圧V1 の特徴である比較的低いシリコン
のエッチング速度の組合せ、したがって高い酸化物選択
度を得ることが非常に望ましいことはきわめて明白であ
る。
As shown in FIG. 5, and in another aspect, the load means L can be a variable capacitance electrically connected between one end of the antenna and RF ground. Also, the load means may be a variable position tap 60 for applying RF input power to the antenna. Please refer to FIG. In the preferred combination shown in FIG. 7, the tuning means T is a variable capacitance electrically connected between one end of the antenna 30 and RF ground, and the load means L is electrically connected between the other end of the antenna and RF ground. Is another variable capacitance connected to. In this configuration, the RF input power can be applied to the antenna via a tap, ie, along the antenna or via a tap provided at either end thereof. Please refer to FIG.
Further, the RF power input connection section 66 can be arranged at the connection section between the load variable capacitance L and the end of the antenna 30 as shown in FIG. 6. Source / Bias Process Control Also, the present invention provides a high silicon dioxide etch rate using a sufficiently high bias voltage, and by periodically pulsing the bias voltage to a low value, the etch rate of materials such as silicon dioxide is improved. Including the discovery that the etch selectivity of silicon dioxide is increased compared to materials such as silicon. 1) Pulse / Modulation Bias-etch rate and selectivity
In FIG. 10, the etching rate of a material such as silicon dioxide SiO 2 usually increases as the bias voltage increases. Therefore, increasing the bias voltage increases the oxide etching rate. Unfortunately, however, the etch rate of related materials in integrated circuit structures, such as silicon / polysilicon, also increases with bias voltage. Thus, with a bias voltage large enough to provide a very high silicon dioxide etch rate, the silicon etch rate will be too high (albeit somewhat lower than the oxide etch rate) and the selectivity will be reduced. . When etching silicon dioxide, a combination of a high oxide etch rate characteristic of a high DC bias voltage V h and a relatively low silicon etch rate characteristic of a low DC bias voltage V 1 , and thus a high oxide selectivity It is very clear that gaining degree is very desirable.

【0032】ここで図11のDCバイアス電圧波形70
を参照すると、Vh とV1 の特性を組み合わせるという
前のパラグラフで示した一見矛盾する目的は実際には高
ベースラインDCバイアス電圧Vh を用い、この電圧を
低い値V1 に周期的にパルス化あるいは変調することに
よってポリマー形成エッチング処理(シリコン等の材料
の上にエッチング抑制ポリマーを形成する処理)におい
て達成される。V1 はけい素エッチングとシリコン蒸着
の間の交差点/電圧68(図10)以下であり、酸化物
交差点/電圧69以上である。その結果、保護ポリマー
がシリコン上に蒸着され高速エッチング電圧Vh に復帰
する間エッチングを抑制するが、Vh での酸化物のエッ
チングをに重大な抑制を加えるような蒸着は酸化物上に
発生しないか、発生しても不十分である。好適には、V
1 はポリシリコン上の蒸着を特徴とするが、少なくとも
酸化物のわずかなエッチングである。この発明の一実施
例においては、パラメータVh (高いDCバイアス電
圧)、V1 (低いDCバイアス電圧)、Pw (低電圧V
1 のパルス幅)、およびPrp(低電圧パルスと高電圧パ
ルスのパルス繰返し率あるいは結合された幅)の値はそ
れぞれ−400V、−225V、約0.1秒、および約1
秒である。 2)2周波数バイアス 代替の方法を図12のDCバイアス電圧波形71によっ
て示す。比較的低い周波数電圧変動が基本バイアス電圧
周波数に重畳される。たとえば、低い周波数T 2 <25
KHz (好適には5−10KHz )をベース高周波T1
<2MHz に重畳あるいは混合される。酸化シリコンは
絶縁体である。シリコン/ポリシリコンは通常非常に薄
い固有酸化物層しか持っていない。したがって、低周波
数T2 のDCバイアス電圧変動は酸化物表面には見られ
ない。なぜなら、これは帯電しているためである。しか
し、基本的に絶縁されていないポリシリコンは低い周波
数T2 サイクルの低電圧のエクスカーション72
(V1 )中に保護層を形成することによって前述したも
のと同様の態様で低周波数T2 に反応する。この低周波
数で形成した層は高い周波数T1 サイクルの変動する高
電圧エクスカーション73中、エッチングを不能とす
る。前述したように、二酸化シリコンの絶縁性によって
2 の低電圧エクスカーション中、エッチング抑制蒸着
を不能とし、酸化物エッチングがT1 サイクルの高電圧
部分の期間に抑制されることなく進行する。
Here, the DC bias voltage waveform 70 of FIG.
Referring to FIG.hAnd V1Combining the characteristics of
The seemingly contradictory objective shown in the previous paragraph is actually high
Baseline DC bias voltage VhUsing this voltage
Low value V1To periodically pulse or modulate
Therefore, polymer formation etching process (materials such as silicon
Process to form an etch-inhibiting polymer on the surface)
Achieved. V1Silicon etching and silicon deposition
Between the intersection / voltage 68 (FIG. 10) and the oxide
The intersection / voltage is 69 or more. As a result, the protective polymer
Is deposited on silicon and the high-speed etching voltage VhReturn to
While suppressing the etching,hOxide edge
Deposition that adds significant suppression to the etching
Does not occur, or occurs insufficiently. Preferably, V
1Is characterized by deposition on polysilicon, but at least
Slight etching of the oxide. One embodiment of the present invention
In the example, the parameter Vh(High DC bias voltage
Pressure), V1(Low DC bias voltage), Pw(Low voltage V
1Pulse width), and Prp(Low voltage pulse and high voltage pulse
Pulse repetition rate or combined width)
-400V, -225V, about 0.1 second, and about 1 respectively
Seconds. 2)2 frequency bias An alternative method is illustrated by the DC bias voltage waveform 71 in FIG.
Shown. Relatively low frequency voltage fluctuation is the basic bias voltage
Superimposed on frequency. For example, low frequency T Two<25
KHz (preferably 5-10 KHz) based on high frequency T1
<2 MHz. Silicon oxide
It is an insulator. Silicon / polysilicon is usually very thin
It has only a unique oxide layer. Therefore, low frequency
Number TTwoDC bias voltage fluctuation is seen on the oxide surface
Absent. This is because it is charged. Only
And basically uninsulated polysilicon isLowfrequency
Number TTwoCycle low voltage excursion 72
(V1)) By forming a protective layer therein.
Low frequency T in a manner similar toTwoReacts to. This low frequency
The layers formed by numbershighFrequency T1Cycle fluctuating high
Etching disabled during voltage excursion 73
You. As mentioned earlier, the insulating nature of silicon dioxide
TTwoDuring low-voltage excursions during etching suppression
And oxide etching is1Cycle high voltage
Proceed without restraint during the partial period.

【0033】つまり、低周波数サイクルT2 の低電圧エ
クスカーション72中にシリコン上に保護層が形成さ
れ、蒸着を抑制することなく酸化物を急速にエッチング
する高周波数サイクルT1 の高電圧エクスカーション7
3中のけい素エッチングを抑制する。その結果、上述し
たパルス/変調による方法の場合と同様に、酸化シリコ
ンの早いエッチング速度、シリコンの比較的低いエッチ
ング速度および酸化物に対する高いエッチング選択度が
得られる。パルス/変調法は現在2周波数バイアス法に
より好適であることに注意しなければならない。これは
前者が精密な制御を行うことができるためである。 7.ファラデーシールド 入力端に負荷コンデンサL、他端に同調コンデンサT、
また入力端に比較的低い電圧、他端にそれよりはるかに
高い電圧を有する典型的なアンテナ30のコイル構成に
ついて考察する。グラウンドに近いコイルの最下部の巻
線は低電圧RF入力に接続されている。通常、プラズマ
はガスの分解を静電的に開始することによってプラズマ
を開始する同調端に近い比較的高電圧の巻線の静電界に
さらされる。分解の開始に続いて、プラズマへの結合は
主として電磁的すなわち誘導的なものになる。このよう
な動作は周知である。定常状態の条件下では、通常、静
電結合と電磁誘導結合の両方が存在する。電磁結合の方
が優勢であるが、加工の種類によっては静電界に敏感な
ものもある。たとえば、ポリシリコンのエッチングには
酸化物のエッチングを防止するために低エネルギー粒子
と低エネルギー衝撃が必要である。
That is, a protective layer is formed on the silicon during the low voltage excursion 72 of the low frequency cycle T 2 and the high voltage excursion 7 of the high frequency cycle T 1 is used to rapidly etch the oxide without inhibiting deposition.
3 suppresses silicon etching. The result is a high etch rate for silicon oxide, a relatively low etch rate for silicon, and a high etch selectivity for oxides, as in the pulse / modulation method described above. It should be noted that the pulse / modulation method is currently preferred over the two-frequency bias method. This is because the former can perform precise control. 7. Load capacitor L at the input end of Faraday shield , tuning capacitor T at the other end,
Also consider the coil configuration of a typical antenna 30 having a relatively low voltage at the input and a much higher voltage at the other. The bottom winding of the coil near ground is connected to the low voltage RF input. Typically, the plasma is exposed to a relatively high voltage winding electrostatic field near the tuning end that initiates the plasma by initiating the decomposition of the gas electrostatically. Subsequent to the onset of decomposition, the coupling to the plasma is mainly electromagnetic or inductive. Such an operation is well known. Under steady state conditions, there is usually both electrostatic and inductive coupling. Electromagnetic coupling is dominant, but some types of processing are more sensitive to electrostatic fields. For example, etching of polysilicon requires low energy particles and low energy bombardment to prevent oxide etching.

【0034】図1および図15について説明すると、定
常状態の静電界を減少させるには、この発明のチャンバ
ーにはオプションとしてファラデーシールド45を内蔵
することもできる。図15(A)に示す実施例における
構造は、ドーム壁17Wとアンテナ30を取り囲む接地
された間隔を置いた軸方向に伸長するポストあるいはバ
ーその他の円筒状の配列からなる、“単一”ファラデー
シールド45Sと呼ばれるものである。この単一シール
ドは大きな間隔をおいた構成からシールドの各部分の間
の間隔が非常に小さい構成までさまざまな態様とするこ
とができる。図15(B)はその一方のバーが他方の間
隔に重なるように間隔をおいた一対の同心のシールドか
らなるいわゆる“全”ファラデーシールド45Fを示
す。これは、シールドを通る電界線の視線路を排除し、
それによって静電界を分路する。ファラデーシールド4
5Sおよび45Fにはさまざまな構成が可能であるが、
現在好適な構成は、図1に垂直断面図で示す外向きのフ
ランジの付いた導電性の端部の開放した円筒状の構成で
ある。単一壁あるいは二重壁の開口を設けたフィールド
面46、47、48がアンテナの頂部、内面(ソース)
および底面の周りに伸長し、グラウンド側49(ここは
開放していなくてもよい)がアンテナの外側に位置す
る。この構成によれば、アンテナ30からの電磁波の軸
方向の磁気成分がプラズマ16を生成するアンテナ30
の平面に平行な閉ループ電界を誘起することを可能にす
る。しかし、シールド45はグラウンドへの直接電界成
分を容量的に分路し、高周波電磁エネルギーの直接電界
成分がプラズマに結合するのを防止する。シールド45
を用いると、アンテナ30に沿って変動する電圧が容量
性変位電流結合のマックスウェル方程式にしたがってプ
ラズマに結合する。これによってプラズマ密度と基板5
のエネルギーに不均一性と勾配が誘起され、加工の不均
一性や高エネルギー荷電粒子が発生する可能性がある。
積分形式で表したファラデーの法則によれば面を通る変
化する磁界によってその面に閉じた電界が発生しなけれ
ばならない。この現象を微分形式で表すマックスウェル
方程式は誘起された電界の回転は磁界の変化の負の時間
率に比例することを示している。正弦励起の場合、誘起
されたEは変化するBフィールドの放射周波数およびそ
のピーク振幅に比例する。
Referring to FIGS. 1 and 15, a Faraday shield 45 can optionally be included in the chamber of the present invention to reduce the steady state electrostatic field. The structure in the embodiment shown in FIG. 15A is a "single" Faraday consisting of a grounded spaced axially extending post or bar or other cylindrical arrangement surrounding the dome wall 17W and antenna 30. This is called a shield 45S. The single shield can vary in configuration from large spaced configurations to very small configurations between portions of the shield. Figure 15B shows a so-called "all" Faraday shield 45F consisting of a pair of concentric shields spaced so that one bar overlaps the other. This eliminates the line of sight of the electric field lines passing through the shield,
This shunts the electrostatic field. Faraday shield 4
Various configurations are possible for 5S and 45F,
The presently preferred configuration is an open-ended, cylindrical, open-ended end configuration with an outwardly facing flange shown in FIG. Field surfaces 46, 47, 48 with single-wall or double-wall openings are the top, inner surface (source) of the antenna
And extends around the bottom surface, with the ground side 49 (which may not be open) located outside the antenna. According to this configuration, the axial magnetic component of the electromagnetic wave from the antenna 30 generates the plasma 16 in the antenna 30.
To induce a closed-loop electric field parallel to the plane of However, the shield 45 capacitively shunts the direct electric field component to ground and prevents the direct electric field component of the high frequency electromagnetic energy from coupling to the plasma. Shield 45
, The voltage that fluctuates along the antenna 30 couples to the plasma according to Maxwell's equation for capacitive displacement current coupling. Thereby, the plasma density and the substrate 5
Inhomogeneities and gradients are induced in the energy of GaN, which may cause non-uniform processing and high-energy charged particles.
According to Faraday's law in integral form, a changing magnetic field through a surface must produce a closed electric field at that surface. Maxwell's equation, which describes this phenomenon in differential form, shows that the rotation of the induced electric field is proportional to the negative rate of change of the magnetic field. For sinusoidal excitation, the induced E is proportional to the changing B-field radiation frequency and its peak amplitude.

【0035】つまり、不連続あるいはスリットの入った
あるいは分割されたファラデーシールドはコイルからの
変化する電磁界に対するシールドの短絡効果を最小限に
し、うず電流損失を低減し、高周波の軸方向の磁界のプ
ラズマへの結合を可能にし、プラズマを生成する閉ルー
プ電界を誘起するが、この電界(これはアンテナ上で変
化する)のプラズマへの直接結合を不能にし、それによ
ってプラズマの不均一性や高エネルギー荷電粒子に対す
る処理の不均一性といった損失を排除する。 8.磁界の制限と増強 1)制限 円筒/ドームプラズマ源の壁17Wにおける損失(プラ
ズマ密度の低下)を低減するために、周辺の環状(浅
い)磁界を発生する磁気装置が設けられている。図13
の水平断面図に示す好適な構成では、この磁界は軸方向
の永久磁石あるいは電磁石76−76を近接して配置し
た“バケツ”あるいは円筒状の多極配列によって提供さ
れ、磁石はそれぞれがその短尺方向に着磁されて閉じた
交番磁極の周辺−N−S−N−S−磁界Bを形成する。
この多極配列はドーム壁に多カスプ磁気ミラー77を生
成する。また、この配列は水平なリング磁石とすること
もできる。かかる磁石は壁17Wの電子損失を低減し、
基板を磁界にさらすことなくプラズマの密度と均一性を
向上させる。
That is, a discontinuous, slitted or split Faraday shield minimizes the shield's short circuit effect on the changing electromagnetic field from the coil, reduces eddy current losses and reduces the high frequency axial magnetic field. Allows coupling to the plasma and induces a closed loop electric field that creates the plasma, but disables the direct coupling of this field (which changes on the antenna) to the plasma, thereby causing plasma inhomogeneity and high energy. Eliminate losses such as process non-uniformity for charged particles. 8. Restriction and Enhancement of Magnetic Field 1) Restriction In order to reduce the loss (reduction in plasma density) at the wall 17W of the cylindrical / dome plasma source, a magnetic device for generating a peripheral annular (shallow) magnetic field is provided. FIG.
In a preferred configuration, shown in a horizontal cross-section, this field is provided by an axial permanent magnet or a "bucket" or cylindrical multipole array of closely spaced electromagnets 76-76, each magnet having its short length. A magnetic field B is formed around the alternating magnetic pole which is magnetized in the direction and closed.
This multipole arrangement creates a multi-cusp magnetic mirror 77 on the dome wall. This arrangement can also be a horizontal ring magnet. Such a magnet reduces the electron loss of the wall 17W,
Improve plasma density and uniformity without exposing the substrate to a magnetic field.

【0036】また同様に、永久磁石あるいは電磁石を下
部チャンバー16Aの周囲に、通常はN−S−N−S…
N−Sの交番する構成の多極配列に配置して、チャンバ
ー壁に多カスプ磁気ミラーを発生させることもできる。
これらの磁石は垂直方向の棒磁石とすることができ、ま
た好適にはたとえば水平なリング磁石とすることができ
る。かかる磁石は壁の電子損失の低減に用いることがで
き、それによって基板を磁界にさらすことなくプラズマ
の密度と均一性が向上する。さらに、磁石の放射状の配
列を円筒状プラズマ源のドームの頂部あるいは頂部プレ
ート17T上に取り付けて頂部での損失を低減すること
もできる。図3について説明すると、基板加工領域16
B中のプラズマは、ほぼ平面状をなす磁石の格子をプラ
ズマ源領域の底部と加工領域の頂部に配置することによ
って、発生あるいはプラズマ源領域16A中のプラズマ
から減結合することができる。この磁気格子は、上述し
たバケツ構成と同様に近接したほぼ平行な磁気バー78
−78からなり、その短尺方向にNS着磁されて磁力線
が一つのバーから出て次のバーで終了する平面構成の−
NS−NS−NS−磁界を提供する。その結果得られる
プラズマ源の開口部15にかかるほぼ平面状の磁気フィ
ルター79が磁界をこの平面とプレートの領域に制限
し、プラズマ源にも基板領域にも侵入しない。
Similarly, permanent magnets or electromagnets are provided around the lower chamber 16A, usually N--S--N--S ...
A multi-cusp magnetic mirror can also be generated on the chamber wall by arranging in an N-S alternating multipole arrangement.
These magnets may be vertical bar magnets, and preferably may be, for example, horizontal ring magnets. Such magnets can be used to reduce wall electron loss, thereby increasing the density and uniformity of the plasma without exposing the substrate to a magnetic field. In addition, a radial array of magnets can be mounted on the top of the dome of the cylindrical plasma source or on the top plate 17T to reduce losses at the top. Referring to FIG. 3, the substrate processing area 16
The plasma in B can be generated or decoupled from the plasma in plasma source region 16A by placing a substantially planar magnet grid at the bottom of the plasma source region and the top of the processing region. This magnetic grid is similar to the bucket configuration described above, but includes close to parallel magnetic bars 78.
-78, which has a plane configuration in which the magnetic field lines exit from one bar and end at the next bar by being NS-magnetized in the short direction-
NS-NS-NS-provides a magnetic field. The resulting substantially planar magnetic filter 79 over the opening 15 of the plasma source limits the magnetic field to this plane and plate area and does not penetrate the plasma source or the substrate area.

【0037】F=qV×Bの関係から、プラズマ源の高
エネルギー/高速電子はこの磁界79によってイオンよ
りも高い程度で曲げられ、あるいははね返され、基板プ
ロセス領域に貫入することができない。これによってプ
ロセス領域16B中の高エネルギー電子の密度が低下
し、同領域のプラズマ密度が低下する。プロセス領域と
プラズマ源領域は減結合される。このフィルターによる
磁気制限法は小型システムのプラズマ領域を減結合する
のに特に有効である。すなわち、たとえば基板上のイオ
ン密度を高くすることなく高い基密度を提供し、同時に
コンパクトさを維持する。ある好適な構成では、フィル
ター磁気制限は空気冷却用の中空のバーと細長い磁石を
有する機械仕上げのアルミニウム板を用いて実施され
る。バケツ磁気制限構成とフィルター磁気制限構成を一
緒に用いることもできる。 2)増強 上述したように、図3に示す一つあるいはそれ以上の
(好適には少なくとも二つ)永久磁石あるいは電磁石8
1−81を用いてアンテナコイルの水平面と高周波RF
放射アンテナによって誘起される電界の両方に対して直
角をなし、またそれらを通るほぼ軸方向の静電界を形成
することができる。好適にはつぎに説明するように、三
種類の磁界、すなわち均一磁界、発散磁界あるいは磁気
ミラーのうちの一つが用いられる。
From the relationship of F = qV × B, the high energy / fast electrons of the plasma source are bent or repelled to a higher degree than the ions by this magnetic field 79 and cannot penetrate into the substrate process area. As a result, the density of high-energy electrons in the process region 16B decreases, and the plasma density in the region decreases. The process region and the plasma source region are decoupled. The magnetic limiting method with this filter is particularly effective for decoupling the plasma region of small systems. That is, for example, it provides a high substrate density without increasing the ion density on the substrate while maintaining compactness. In one preferred configuration, the filter magnetic restriction is performed using a machined aluminum plate with hollow bars for air cooling and elongated magnets. The bucket magnetic limiting arrangement and the filter magnetic limiting arrangement can also be used together. 2) Augmentation As described above, one or more (preferably at least two) permanent magnets or electromagnets 8 shown in FIG.
1-81 and horizontal plane of antenna coil and high frequency RF
A substantially axial electrostatic field can be formed at right angles to and through both of the electric fields induced by the radiating antenna. Preferably, as described below, one of three types of magnetic fields is used: a uniform magnetic field, a divergent magnetic field, or a magnetic mirror.

【0038】図14(A)について説明すると、磁石8
1−81によって基板5に直角に加えられる同質の軸方
向の均一な磁界82は電子の運動を壁に制限する。イオ
ンが高周波磁界変動に追従できないため、イオンは電子
の不足にしたがって基板上のプラズマ中に集まる。最大
限の効率を得るには、この磁界あるいは他の静磁界を高
周波電磁界で共振に同調することができる。Ω=2πF
=Be/m、ここでBは磁束密度であり、eとmはそれ
ぞれ電子の電荷と質量である。軸方向の発散磁界83の
概略を図14(B)に示す。磁気モーメントの保存によ
り、磁界の軸勾配が円並進エネルギーを軸並進エネルギ
ーに変換し、電子とイオンを強い磁気領域からより弱い
磁気領域に駆動しようとする。発散磁界は電子とイオン
をプラズマ発生領域から押し出しプラズマを基板に集中
させるのに用いることができる。図14(C)と図14
(D)について説明すると、ふくらし磁界あるいは助成
磁界84(図15(C))とカスプ状あるいは対向磁界
85(図15(D))を示している。これらのいわゆる
“磁気ミラー”磁界のそれぞれの効果は軸方向発散磁界
の効果と同様である。荷電粒子が比較的強い磁界領域か
ら比較的弱い中央の領域に駆動される。
Referring to FIG. 14A, the magnet 8
A homogeneous, axially uniform magnetic field 82 applied perpendicular to the substrate 5 by 1-81 restricts the movement of electrons to the wall. Since the ions cannot follow the high-frequency magnetic field fluctuation, the ions gather in the plasma on the substrate according to the shortage of the electrons. For maximum efficiency, this or other static magnetic field can be tuned to resonance with high frequency electromagnetic fields. Ω = 2πF
= Be / m, where B is the magnetic flux density, and e and m are the charge and mass of the electron, respectively. An outline of the divergent magnetic field 83 in the axial direction is shown in FIG. By conserving the magnetic moment, the axial gradient of the magnetic field transforms the circular translational energy into axial translational energy, attempting to drive electrons and ions from the strong magnetic region to the weaker magnetic region. The diverging magnetic field can be used to push electrons and ions out of the plasma generation region and concentrate the plasma on the substrate. FIG. 14C and FIG.
Explaining (D), a bulging magnetic field or assisting magnetic field 84 (FIG. 15 (C)) and a cusp-shaped or opposing magnetic field 85 (FIG. 15 (D)) are shown. The effect of each of these so-called "magnetic mirror" magnetic fields is similar to that of the axially diverging magnetic field. The charged particles are driven from a relatively strong magnetic field region to a relatively weak central region.

【0039】磁石を選択的に配置し、また単一あるいは
複数の磁石によって提供される磁界の強さを選択および
変化させることによって、関連する均一な発散磁界ある
いは磁気ミラー磁界を制御された態様とし、基板のプラ
ズマ密度を増大させる。磁気ミラー磁界の場合、最大の
プラズマ密度増強を得るための好適な基板位置は張り出
しあるいはカスプ上あるいはそれに密接する位置であ
り、これによって最大限のプラズマ密度増強が得られ
る。アンテナのボリュームに軸方向の磁界を用いてプラ
ズマの生成を向上させたいが基板上では磁界を排除した
いという場合がある。(軟鉄用のニッケルあるいは鋼鉄
等の)の高透磁性材料でできた環状のディスクを磁石と
アンテナの平面の下基板5の上に介装することができ
る。 3.抽出 適当な磁界を用いてイオンと電子を基板方向に抽出する
ことができる。 9.制御システム 以下の定義は図16に示す制御システムについて用い
る。 Psp 電力設定点 Pf 正方向電力(電源に配置した方向性結合器によ
って測定) Pr 反射電力 (電源に配置した方向性結合器によ
って測定) |Z| インピーダンスの大きさ <phi インピーダンスの位相 Tsp 同調設定点 Lsp 負荷設定点 Tfb 同調フィードバック(測定値) Lfb 負荷フィードバック(測定値) 図16は電源を含む各種の構成要素を制御するための代
表的なシステムのブロック図である。ここで、システム
コントローラ86はアンテナ電源31、インピーダンス
ブリッジ87、アンテナ30、バイアス電源31、イン
ピーダンスブリッジ88、整合ネットワーク43、およ
びカソード32とインターフェースされている。イオン
束密度とイオンエネルギーに対して選択された処理パラ
メータ、アンテナ電力および直流バイアスがコントロー
ラ86への入力として供給される。また、コントローラ
86はガス流、チャンバー圧力、電極あるいは基板の温
度、チャンバーの温度、その他のパラメータを制御す
る。コントローラ86はアンテナ30に接続された Tsp
1 ラインおよび Lsp1 ライン上に信号を発することによ
って初期の同調1および負荷1の条件を設定することが
できる。また、コントローラは整合ネットワーク43に
接続された Tsp2 ラインおよび Lsp2 ライン上に信号を
発することによって初期の同調2 および負荷2 の条件を
設定することができる。通常、これらの条件はプラズマ
の開始(ガスの降伏)を最適化するように選択される。
電力はまずアンテナ30あるいはカソード32のいずれ
かまたはその両者に同時に印加することができる。コン
トローラ86はアンテナ電源31への Psp1 ラインとバ
イアス電源42への Psp2 ライン上に同時にあるいは順
次(順次はどちらが先でもよい)電力設定点を発する。
By selectively arranging the magnets and selecting and varying the magnetic field strengths provided by the single or multiple magnets, the associated uniform divergent or mirror field is controlled. , Increase the plasma density of the substrate. In the case of a magnetic mirror magnetic field, the preferred substrate location for maximum plasma density enhancement is overhang or on or close to the cusp, thereby providing maximum plasma density enhancement. There is a case where it is desired to improve the plasma generation by using an axial magnetic field for the volume of the antenna, but to eliminate the magnetic field on the substrate. An annular disk made of a highly permeable material (such as nickel or steel for soft iron) can be interposed on the lower substrate 5 in the plane of the magnet and antenna. 3. Extraction Ions and electrons can be extracted toward the substrate using an appropriate magnetic field. 9. Control System The following definitions are used for the control system shown in FIG. Psp Power set point Pf Positive power (measured by directional coupler placed in power supply) Pr Reflected power (measured by directional coupler placed in power supply) | Z | Impedance magnitude <phi Impedance phase Tsp tuning setting Point Lsp Load set point Tfb Tuning feedback (measured value) Lfb Load feedback (measured value) FIG. 16 is a block diagram of a typical system for controlling various components including a power supply. Here, the system controller 86 is interfaced with the antenna power supply 31, the impedance bridge 87, the antenna 30, the bias power supply 31, the impedance bridge 88, the matching network 43, and the cathode 32. Process parameters, antenna power and DC bias selected for ion flux density and ion energy are provided as inputs to controller 86. The controller 86 also controls gas flow, chamber pressure, electrode or substrate temperature, chamber temperature, and other parameters. The controller 86 has a Tsp connected to the antenna 30
By issuing a signal on one line and the Lsp one line, the initial tuning 1 and load 1 conditions can be set. The controller can also set initial tuning 2 and load 2 conditions by issuing signals on the Tsp 2 and Lsp 2 lines connected to matching network 43. Typically, these conditions are chosen to optimize the onset of the plasma (gas breakdown).
Power can first be applied to either the antenna 30 or the cathode 32 or both simultaneously. The controller 86 sequentially simultaneously or on Psp 2 line to Psp 1 line and a bias power source 42 to the antenna power supply 31 (sequential Which may be the first) emits power setpoint.

【0040】電子なだれ降伏がガス中で急激に発生し、
プラズマが生成される。コントローラ86はアンテナ3
0との間の正方向電力(Pf1)および反射電力(Pr1)を
監、またカソード32との間の正方向電力(Pf2)および
反射電力(Pr2)を監視。DCバイアス(カソード−アノ
ードDC電圧)もまたコントローラ86に示すように監
視される。コントローラ86は(a)正方向電力Pf1
よび反射電力Pr 1 あるいは(b)インピーダンスの大き
さ|Z1 |とインピーダンスの位相<phi1のいずれかに
基づいてライン Tsp1 および Lsp1 上に設定点を発する
ことにってコイル同調1 および負荷1 のパラメータを調
整する。ブリッジ87はコントローラ86にインピーダ
ンスの大きさと位相角の情報を与える。アンテナ30は
反射電力Pr1 がほぼゼロであるとき、またインピーダン
ス(大きさと位相|Z1|<phi )がコイル電源出力イ
ンピーダンスの複素共役であるときマッチングしてい
る。(ゼロ反射電力条件と共役インピーダンス条件は同
時に発生する。したがって、反射電力が最小化される
か、インピーダンスが整合するかのいずれかであり、そ
の結果は同じになる。あるいは、VSWR(電圧定在波
比)あるいは反射係数が最小になる。)コントローラ8
6は(a)正方向電力Pf2 および反射電力Pr2 あるいは
(b)インピーダンスの大きさ|Z2 |とインピーダン
スの位相<phi2のいずれかに基づいてライン Tsp2 およ
び Lsp2 上に設定点を発するこによってカソード32と
整合ネットワーク同調2 および負荷2 のパラメータを調
整する。ブリッジ88はコントローラ86にインピーダ
ンスの大きさ|Z2 |と位相<phi2の情報を与える。ア
ンテナのマッチングと同様に、反射電力Pr2 がぼゼロで
あるとき、またインピーダンス(大きさと位相|Z2
<phi2)がバアス電源504出力インピーダンスの複素
共役であるときマッチングが起こる。直流バイアスはコ
ントローラ86によって監視される。コントローラ86
はバイアス電源の出力電力を変化させて所望の測定DC
バイアスを得る。コントローラ86は直流バイアスの所
望の値からDCバイアスの測定値を減算する。その差が
負である場合、バイアス電源42の出力が上げられる。
その差が正である場合、バイアス電源の出力が下げられ
る(バイアス電源の出力が高いほど直流バイアスは負の
方向に大きくなる。)この方法によれば、比例制御、比
例積分制御、あるいは比例積分微分制御あるいはその他
の制御を用いることができる。
Electron avalanche breakdown occurs rapidly in gas,
A plasma is generated. Controller 86 is antenna 3
0 (Pf1) And reflected power (Pr1)
And the forward power (PfTwo)and
Reflected power (PrTwo) Monitor. DC bias (cathode-ano
DC voltage) is also monitored by controller 86 as shown.
To be seen. The controller 86 (a) forward power Pf1You
And reflected power Pr 1Or (b) Large impedance
Sa | Z1| And impedance phase <phi1One of
Line Tsp Based1And Lsp1Emit set point above
Especially coil tuning1And load1Adjust the parameters of
Adjust. The bridge 87 is an impeder for the controller 86.
It gives information on the magnitude of the impedance and the phase angle. Antenna 30
Reflected power Pr1When is almost zero,
Space (size and phase | Z1| <Phi) is the coil power output
Matching when it is the complex conjugate of impedance
You. (The zero reflected power condition and the conjugate impedance condition are the same.
Occurs sometimes. Therefore, the reflected power is minimized
Or impedance match.
Will have the same result. Alternatively, VSWR (voltage standing wave
Ratio) or the reflection coefficient is minimized. ) Controller 8
6 is (a) forward power PfTwoAnd reflected power PrTwoOr
(B) Impedance magnitude | ZTwo| and Impedan
Phase of phase <phiTwoLine Tsp based on one ofTwoAnd
And LspTwoBy issuing a set point on the cathode 32
Matching network tuningTwoAnd loadTwoAdjust the parameters of
Adjust. The bridge 88 is impeded to the controller 86.
Size | ZTwo| And phase <phiTwoGive information. A
As with the antenna matching, the reflected power PrTwoWith zero gabot
Sometimes, again, impedance (magnitude and phase | ZTwo|
<PhiTwo) Is the complex of the Baas power supply 504 output impedance
Matching occurs when it is conjugate. DC bias is
Monitored by controller 86. Controller 86
Changes the output power of the bias power supply to the desired measurement DC
Get the bias. The controller 86 is a DC bias
Subtract the DC bias measurement from the desired value. The difference is
If negative, the output of bias power supply 42 is increased.
If the difference is positive, the output of the bias supply is reduced.
(The higher the output of the bias power supply, the more negative the DC bias
Grows in the direction. ) According to this method, proportional control, ratio
Example Integral control, or proportional-integral-derivative control or other
Can be used.

【0041】また、バイアス電源42の出力を調整して
一定の直流バイアスを維持するこの実施例に替わって、
定バイアス電源出力を用いることもできる。上述した直
流バイアスサーボマッチング技術に加えて、ピーク−ピ
ークRF電圧へのサーボによっても自動同調を行うこと
ができる。この後者の方法はたとえば計器の駆動用の電
流を提供するのにカソードとアノードに十分な導電面積
を必要とするある種のエッチング処理においては有効で
あることがある。ポリマーコーティング技術を用いると
これらの導電領域が不動態化され、電流によって計器が
飽和することを防止して有効な読みが得られる。それと
対照的に、ピーク−ピークRF電圧の方法は特に好適な
周波数範囲に関連する低周波数においては影響を受けな
い。測定値はカソードではなくチャンバーに近い整合ネ
ットワーク43で得ることができる。コントローラ86
は中央制御装置あるいは制御装置の分散形システムとす
ることができる。感度のよい基板装置構造を得るにはタ
ーンオン/ターンオフシーケンスが重要である。一般
に、プラズマ源を始めにオンして最後にオフするのが好
適である。これはこの方法によればシース電圧の変化を
最小限にできるためである。アプリケーションによって
は、バイアスを先にオンする方がよい場合もある。 10. 伝送線構造 参照した特許出願米国特許559,947 号に詳細に説明して
いるように、適正な同軸/伝送線の設計には、低い特性
インピーダンスを介した給電、整合ネットワークからウ
エハまでの短い伝送線、そして伝送線に沿ったリターン
パスが必要である。この設計条件はカソード32C、同
心環状導体320、およびカソード32Cを取り囲むカ
ソードを同心環状導体320から絶縁し、降伏の可能性
のあるプロセスガスを置換する非孔質の低損失絶縁体3
2Iからなる図1に示す一体伝送線構造32によって満
足される。たとえば、TeflonTMや石英の材料は絶縁耐力
が高く、比誘電率が低く、損失が少ないため好適であ
る。この構造の入力側は次に説明する方法で整合ネット
ワークに接続されている。絶縁されたカソード32Cと
外側導体320は整合ネットワーク43とプラズマ16
の間に別々の電流路を提供する。一つの可逆電流路は整
合ネットワークからカソード32Cの外周に沿ってチャ
ンバー(電極)の表面のプラズマシースに向かう。第2
の可逆路はプラズマ16からチャンバー癖12の上部の
内側の部分に沿って次に導電性排気マニホルドスクリー
ン29に沿って外側導体320の内部を経て整合ネット
ワークに向かう。排気マニホルドスクリーン29は均一
径方向ガスポンピングシステムとRF電流のリターンパ
スの一部をなすことに注意しなければならない。
Further, instead of this embodiment in which the output of the bias power source 42 is adjusted to maintain a constant DC bias,
A constant bias power supply output can also be used. In addition to the DC bias servo matching technique described above, automatic tuning can be performed by servoing to the peak-to-peak RF voltage. This latter method may be useful, for example, in certain etching processes that require sufficient conductive area on the cathode and anode to provide current for driving the instrument. Using polymer coating technology, these conductive regions are passivated, preventing current from saturating the meter and providing an effective reading. In contrast, the peak-to-peak RF voltage method is unaffected at low frequencies, which are particularly relevant for the preferred frequency range. The measurements can be obtained with a matching network 43 close to the chamber instead of the cathode. Controller 86
Can be a central controller or a distributed system of controllers. The turn-on / turn-off sequence is important for obtaining a sensitive substrate device structure. In general, it is preferable to turn on the plasma source first and turn it off last. This is because this method can minimize the change in sheath voltage. In some applications, it may be better to turn on the bias first. 10. As described in detail in the patent application US Pat. No. 559,947 with reference to the transmission line structure , proper coaxial / transmission line design includes feeding through low characteristic impedance, short transmission from the matching network to the wafer. A return path is required along the line, and along the transmission line. This design condition is to insulate the cathode 32C, the concentric annular conductor 320, and the cathode surrounding the cathode 32C from the concentric annular conductor 320, and replace the non-porous low loss insulator 3 that replaces the process gas that may yield.
2I is satisfied by the integral transmission line structure 32 shown in FIG. For example, materials such as Teflon and quartz are preferred because of their high dielectric strength, low relative dielectric constant, and low loss. The input side of this structure is connected to a matching network in the manner described below. The insulated cathode 32C and outer conductor 320 are matched to the matching network 43 and the plasma 16
Provide a separate current path between them. One reversible current path is from the matching network along the perimeter of cathode 32C to the plasma sheath on the surface of the chamber (electrode). Second
The reversible path from the plasma 16 is along the inner portion of the top of the chamber habit 12 and then along the conductive exhaust manifold screen 29 through the interior of the outer conductor 320 to the matching network. It should be noted that the exhaust manifold screen 29 forms part of the uniform radial gas pumping system and return path for the RF current.

【0042】交流エネルギーの印加中、RF電流路の方
向は交互に図示する方向とその逆の方向になる。伝送線
構造32は同軸ケーブル型の構造であるため、またより
詳細にはカソード32Cの内部インピーダンスが(その
外側に比べて)高いため、RF電流は同軸伝送線の態様
でカソード32Cの外面と外側導体320の内面に流れ
る。表皮効果によってRF電流が伝送線の表面の近くに
集中し、電流路の有効断面積が減少する。たとえば直径
4−8インチといった大きな基板とそれに対応する大径
のカソード32Cおよび大径の外側導体320を用いる
と、有効断面が大きくなり、低インピーダンス電流がこ
の伝送線構造を流れる。また、同軸型伝送線構造32が
その特性インピーダンスZ0 に等しい純抵抗で成端され
る場合、整合ネットワークは伝送線の長さと無関係に一
定のインピーダンスZ0 を有する。しかし、実際にはこ
のようにはならない。それはプラズマはある範囲の圧力
と電力にわたって動作し、さまざまなガスからなり、こ
れらのガスが集合的にプラズマが伝送線32の終端で提
供する負荷インピーダンスZ1 を変化させるためであ
る。負荷Z1 は理想的でない(すなわち無損失でない)
伝送線32に整合していないため、伝送線上にある定在
波が伝送線と整合ネットワークの間の抵抗損失、誘電損
失その他の損失を増大させる。整合ネットワーク43は
定在波や整合ネットワークの入力から増幅器あるいは電
源42までの損失を除去するのに用いることができる
が、整合ネットワーク、伝送線32、およびチャンバー
内のプラズマは伝送線32と整合ネットワーク43の間
の抵抗損失、誘電損失その他の損失を増大させる共振系
を構成している。つまり、負荷インピーダンスZ1 は損
失と整合しないが、Z1 ≒Z0 のとき損失は最小限にな
る。
During the application of the AC energy, the direction of the RF current path alternates between the directions shown and vice versa. Since the transmission line structure 32 is a coaxial cable type structure, and more specifically, the internal impedance of the cathode 32C is high (compared to its outside), the RF current is transmitted to the outside and outside of the cathode 32C in the form of a coaxial transmission line. It flows on the inner surface of the conductor 320. The skin effect concentrates the RF current near the surface of the transmission line, reducing the effective area of the current path. Using a large substrate, e.g., 4-8 inches in diameter, and a corresponding large diameter cathode 32C and large diameter outer conductor 320, the effective cross section is large and low impedance currents flow through this transmission line structure. Also, if the coaxial transmission line structure 32 is terminated with a pure resistance equal to its characteristic impedance Z 0 , the matching network will have a constant impedance Z 0 regardless of the length of the transmission line. But in practice this is not the case. This is because the plasma operates over a range of pressures and powers and consists of various gases, which collectively change the load impedance Z 1 that the plasma provides at the end of the transmission line 32. Load Z 1 is not ideal (i.e. non-lossless)
Because it is not matched to transmission line 32, standing waves on the transmission line increase resistive, dielectric, and other losses between the transmission line and the matching network. The matching network 43 can be used to eliminate the standing wave or losses from the input of the matching network to the amplifier or power supply 42, but the matching network, transmission line 32, and the plasma in the chamber are coupled to the transmission line 32 and the matching network. A resonance system that increases resistance loss, dielectric loss, and other loss between the components 43 is formed. That is, the load impedance Z 1 does not match the loss, but the loss is minimized when Z 1 ≒ Z 0 .

【0043】負荷の不整合に起因する損失をなくすため
に、同軸型伝送線構造32はプラズマ動作に伴う負荷イ
ンピーダンスの範囲に最も適した特性インピーダンスZ
0 を持つように設計される。通常、上述の動作パラメー
タ(例:バイアス周波数範囲は約0.3−3MHz )と考
察している材料に対しては、プラズマから伝送線に与え
られる直列等価RC負荷インピーダンスZ1 は約10オ
ームから100オームの範囲の抵抗と約50ピコファラ
ドから約400ピコファラドの範囲のキャパシタンスか
らなる。したがって、伝送線特性インピーダンスZ0
最適値としては、負荷インピーダンス範囲の中間、すな
わち約30オームから50オームが選択される。整合ネ
ットワークの見るプラズマインピーダンスの変形を避け
るために伝送線32は非常に短いものでなければならな
い。好適には、伝送線は1/4波長(λ/4)よりはる
かに短い。より好適には約(0.05−0.1)λである。
また、電力結合をより効率的に行うためには、帰り導体
320の内径(断面寸法)は中央導体32Cの外径(断
面寸法)より著しく大きいものであってはならない。
In order to eliminate loss due to load mismatch, the coaxial transmission line structure 32 has a characteristic impedance Z most suitable for the range of load impedance associated with plasma operation.
Designed to have 0 . Usually, the operating parameters described above: for the material (eg bias frequency range is from about 0.3-3MHz) are discussed and equivalent series RC load impedance Z 1 given to the transmission line from the plasma of about 10 ohms Consisting of resistance in the range of 100 ohms and capacitance in the range of about 50 picofarads to about 400 picofarads. Therefore, the optimum value of the transmission line characteristic impedance Z 0 is selected in the middle of the load impedance range, that is, about 30 ohm to 50 ohm. The transmission line 32 must be very short to avoid distortion of the plasma impedance seen by the matching network. Preferably, the transmission line is much shorter than a quarter wavelength (λ / 4). More preferably, it is about (0.05-0.1) λ.
In order to perform power coupling more efficiently, the inner diameter (cross-sectional dimension) of the return conductor 320 must not be significantly larger than the outer diameter (cross-sectional dimension) of the central conductor 32C.

【0044】つまり、このチャンバーは整合ネットワー
ク31からの電力をプラズマ33に結合する伝送線構造
を内蔵している。この伝送線構造は(1)プラズマイン
ピーダンスの変形を防止するために対象とする周波数に
おける1/4波長に比べて非常に短いかあるいは半波長
にほぼ等しいのが好適であり、(2)プラズマと整合ネ
ットワークの間の線上の定在波の存在に起因する損失を
抑制するように選択された特性インピーダンスZ0 を有
し、(3)断面寸法が中央導体の断面寸法よりさほど大
きくない外側導体路を用いる。 11. チャンバー温度制御 反応装置チャンバーシステム10に組み入れることので
きる温度制御機能には、吸気マニホルドの内部もしくは
外部温度をある一定の値の上下に、あるいはある一定の
範囲内に維持するための流体伝熱媒体の使用、カソード
32Cの抵抗加熱、カソード32Cの流体伝熱加熱ある
いは冷却、基板15とカソード32Cの間のガス伝熱媒
体の使用、チャンバー壁12−14もしくはドーム17
を加熱あるいは冷却するための流体伝熱媒体の使用、お
よび基板15をカソード32Cに拘束するための機械手
段あるいは静電手段が含まれるが、これらには限定され
ない。かかる機能はここで参照した同時譲渡された19
89年10月10日付け米国特許第4,872,947 号および
同時譲渡された1989年6月27日付け米国特許第4,
842,683 号に開示している。
That is, this chamber contains a transmission line structure for coupling the electric power from the matching network 31 to the plasma 33. The transmission line structure is preferably (1) very short or almost equal to a half wavelength compared to a quarter wavelength at the frequency of interest to prevent deformation of the plasma impedance. An outer conductor path having a characteristic impedance Z 0 selected to suppress losses due to the presence of standing waves on the line between the matching networks, and (3) the cross-sectional dimension is not much greater than the cross-sectional dimension of the central conductor Is used. 11. Chamber Temperature Control The temperature control functions that can be incorporated into the reactor chamber system 10 include fluid transfer to maintain the internal or external temperature of the intake manifold above or below a certain value, or within a certain range. Use of heat medium, resistance heating of cathode 32C, fluid heat transfer heating or cooling of cathode 32C, use of gas heat transfer medium between substrate 15 and cathode 32C, chamber wall 12-14 or dome 17.
Including but not limited to the use of a fluid heat transfer medium to heat or cool the substrate 15 and the mechanical or electrostatic means to restrain the substrate 15 to the cathode 32C. Such a function is referred to herein as the co-assigned 19
U.S. Pat. No. 4,872,947, issued Oct. 10, 1989, and U.S. Pat.
No. 842,683.

【0045】たとえば、再循環閉ループ熱交換器90を
用いて流体、好適には誘電流体を、流路91に概略を示
すように基板支持体/カソード32Cのブロックおよび
受台に流して、基板支持体を冷却(もしくは加熱)する
ことができる。シリコン酸化物エッチングの場合、たと
えば−40℃の誘電流体温度が用いられる。上述したよ
うに、基板5と基板支持体32の間の熱の伝達は基板と
支持体の界面のヘリウム等の不活性ガス伝熱媒体によっ
て増強される。チャンバー壁とドームは空気の対流(吹
き出された空気)及び/又は誘電流体熱交換器によって
加熱もしくは冷却することができる。たとえば、閉回路
熱交換器92は通路93に沿ってチャンバーの側壁に加
熱から冷却までたとえば+120℃から−150℃まで
の範囲の制御された温度で誘電流体を再循環させる。同
様に、ドーム側壁17Wと頂壁17Tは通路95、97
に沿って流体を再循環させる熱交換器94、96によっ
て加熱もしくは冷却することができる。代替の誘電体熱
制御システムにおいては、アンテナコイル30はドーム
の二重壁17Wの間に再循環する誘電流体に浸された状
態で配置される。別の代替のドーム誘電流体熱制御法で
は、アンテナ30のコイルが高温プラスティックあるい
はTeflonTM中に封じられ、伝熱性サーマルグリースがこ
の封入されたアンテナとドームの間に塗布され、中空の
コイルが誘電流体をこのコイルに流すことによって加熱
もしくは冷却される。RFエネルギーもまたコイルに加
えられ、またプラズマに近接していることから、誘電油
は、許容できる流量での効率的熱伝達のための高い固有
比熱および密度に加えて、良好な誘電および絶縁特性さ
らに高い沸点を持っていなければならない。適当な誘電
流体としてはDuPontの販売するSilthermがある。 12. 3電極構成 図1について説明すると、現在の好適な実施例ではこの
チャンバーは新しいプロセス制御と改善を可能とする独
特の3電極構成を内蔵している。この構成はカソード
(好適には基板支持電極32)、アノード(好適にはチ
ャンバー側壁および底壁)および頂部電極からなり、頂
部電極はドームの頂部プレート17T(又はこれを含
む)である頂部電極から成る。図1に示すように、頂部
電極は浮動、接地されたもの、あるいはRF電源40に
接続されたものである。頂部電極はさまざまな構成を含
み、さまざまな材料で構成することができる。すなわ
ち、導電性材料(好適にはアルミニウム)、陽極処理し
たアルミニウム等の誘電体コーティングした材料、アル
ミニウム−シリコン合金等のシリコン或いはシリコン含
有導電材料からなり、あるいはシリコンウエハのような
犠牲シリコン部材17Sを含むがシリコン基板には限定
されない。 1)接地された第3の電極 接地された頂部プレート17Tは(壁12によって与え
られる従来の基準に対して)バイアス電圧の接地基準面
を向上させ、その結果プラズマ源16Aからプロセス領
域16Bへのイオン抽出を増強し、したがってプロセス
速度(エッチング速度等)を増大させる。さらに、接地
された頂部プレートは(プラズマ源で生成された)プラ
ズマと基板の結合を向上させる。 2)バイアスされた第3電極 RFバイアスされた第3の電極を(シリコン含有部材を
含むあるいはシリコン含有部材に覆われた電極を用い
た)自由なシリコンのソースプラズマへの供給と組み合
わせて用いると、エッチング速度や選択度を含むさまざ
まなプロセス特性が向上する。ソースプラズマの強い解
離特性に助けられて、シリコンは気相に入り、自由なフ
ッ素と結合/除去する。(ソースプラズマの解離特性か
らフッ素含有ガス化学作用をたとえば酸化物エッチング
に用いると、高い濃度が得られる。これによって酸化物
のエッチング速度が上がるがポリシリコン等の関連の基
板材料のエッチング速度も上がり、したがってポリシリ
コンに対する酸化物の選択度が下がる。)自由なシリコ
ンによるフッ化物イオンの除去によって、炭素を豊富に
含有するポリマーを形成する。その結果、酸化物エッチ
ング速度が上がり、ポリシリコンに対する酸化物の選択
度が上がり、酸化物エッチングの異方性と垂直プロファ
イルが増強される。さらに、自由なシリコンは重合反応
に影響し、炭素を豊富に含有するポリマーを形成し、そ
してそれは酸素が存在すると、酸素含有層をエッチング
するように容易にエッチングされるが、酸素が存在しな
いと、例えば開口が酸素非含有層或いはポリシリコンの
ような基板に達すると、抑制を増大し、そして酸素非含
有基板に関して酸化物の選択度が上がる。 13. 処理例 本発明のリアクターにおいて有利に達成されるプロセス
の例は以下のとおりである。
For example, a recirculation closed loop heat exchanger 90 is used to flow a fluid, preferably a dielectric fluid, through the block and pedestal of the substrate support / cathode 32C as outlined in channel 91 to support the substrate. The body can be cooled (or heated). For silicon oxide etching, a dielectric fluid temperature of, for example, -40C is used. As described above, heat transfer between the substrate 5 and the substrate support 32 is enhanced by an inert gas heat transfer medium such as helium at the interface between the substrate and the support. The chamber walls and dome can be heated or cooled by convection of air (blown air) and / or a dielectric fluid heat exchanger. For example, the closed circuit heat exchanger 92 recirculates the dielectric fluid from the heating to the cooling along the passage 93 to the sidewall of the chamber at a controlled temperature in the range of, for example, + 120 ° C to -150 ° C. Similarly, the dome side wall 17W and the top wall 17T have passages 95, 97.
It can be heated or cooled by heat exchangers 94, 96 which recirculate the fluid along. In an alternative dielectric thermal control system, the antenna coil 30 is placed submerged in the recirculating dielectric fluid between the dome double walls 17W. In another alternative dome dielectric fluid thermal control method, the coil of antenna 30 is encapsulated in high temperature plastic or Teflon ™ and a thermally conductive thermal grease is applied between the encapsulated antenna and the dome, with a hollow coil being the dielectric. By flowing a fluid through this coil, it is heated or cooled. Since RF energy is also applied to the coil and in close proximity to the plasma, dielectric oils have good dielectric and insulating properties in addition to high intrinsic specific heat and density for efficient heat transfer at acceptable flow rates. It must have a higher boiling point. A suitable dielectric fluid is Siltherm sold by DuPont. 12. Three Electrode Configuration Referring to FIG. 1, in the presently preferred embodiment, the chamber incorporates a unique three electrode configuration that allows for new process control and improvements. This configuration consists of a cathode (preferably a substrate support electrode 32), an anode (preferably the chamber side and bottom walls) and a top electrode, wherein the top electrode is from a top electrode that is (or includes) a dome top plate 17T. Become. As shown in FIG. 1, the top electrode is floating, grounded, or connected to an RF power source 40. The top electrode includes various configurations and can be made of various materials. That is, a sacrificial silicon member 17S made of a conductive material (preferably aluminum), a dielectric-coated material such as anodized aluminum, silicon or a silicon-containing conductive material such as an aluminum-silicon alloy, or a silicon wafer. Including, but not limited to the silicon substrate. 1) Grounded Third Electrode Grounded top plate 17T enhances the ground reference plane of the bias voltage (relative to the conventional reference provided by wall 12) so that the plasma source 16A to the process area 16B Enhance ion extraction and thus increase process rates (such as etch rates). In addition, the grounded top plate improves the coupling between the plasma (produced by the plasma source) and the substrate. 2) Biased third electrode RF using a biased third electrode in combination with the supply of free silicon to the source plasma (using an electrode containing or covered with a silicon-containing member) Various process characteristics, including etch rate and selectivity, are improved. With the help of the strong dissociation properties of the source plasma, silicon enters the gas phase and combines / removes free fluorine. (If the fluorine-containing gas chemistry is used, for example, for oxide etching due to the dissociation properties of the source plasma, a high concentration can be obtained. This will increase the oxide etch rate but also the etch rate of related substrate materials such as polysilicon. Thus, the selectivity of the oxide to polysilicon is reduced.) The removal of fluoride ions by free silicon forms a polymer rich in carbon. As a result, the oxide etch rate is increased, the oxide selectivity over polysilicon is increased, and the oxide etch anisotropy and vertical profile are enhanced. In addition, free silicon affects the polymerization reaction, forming a carbon-rich polymer, which is easily etched in the presence of oxygen to etch the oxygen-containing layer, but in the absence of oxygen. For example, when the opening reaches a substrate such as an oxygen-free layer or polysilicon, the suppression is increased and the selectivity of the oxide with respect to the oxygen-free substrate is increased. 13. Processing Examples Examples of processes that are advantageously achieved in the reactor of the present invention are as follows.

【0046】例1.酸化シリコン上でのポリシリコンの
エッチング シリコンウエハ上の酸化シリコンの上にあるポリシリコ
ンを、本発明の3電極チャンバー内で約2ミリトルから
約20ミリトルの範囲の圧力、50ccの塩素(Cl2)エッ
チャントガス流量(マニホルドG1のみ)、1500ワ
ットの電源電、20ボルトおバイアス電圧、及び接地さ
れた頂部電極(シリコンなし)を用いて行った。その結
果、3500−4000オングストローム/分のポリシ
リコンのエッチング速度、垂直なエッチングプロファイ
ル、及び酸化物に対して100:1以上ののポリシリコ
ンの選択度が得られた。例2.酸化シリコン蒸着 シリコンウエハ上の酸化シリコン層に形成された高いア
スペクト比開口への二酸化シリコンの2ステップバイア
ススパッタ蒸着が3電極チャンバー内で、約2ミリトル
から約10ミリトルの範囲の圧力(両ステップとも)、
アルゴン約200cc/酸素約90cc/シラン約45ccの
ガス流量(両ステップともマニホルドG1のみ)、20
00ワットの電源電力(両ステップとも)、接地された
頂部電極(両ステップとも)、約−20ボルトのバイア
ス電圧(第1ステップ)及び約100−200ボルト
(第2ステップ)を用いて行った。その結果、第1ステ
ップ(スパッタリングなし)において7500オングス
トローム/分以上の蒸着と、第2ステップにおいて、約
4000−5000オングストローム/分の純酸化物蒸
着(プロファイル制御スパッタリング蒸着)が得られ
た。アルゴンスパッタリングで、開口の上部コーナーを
加工し、続いて空隙のない二酸化シリコンで開口を満た
し、酸化シリコン層の平坦化を行った。この充填と平坦
化は本発明の同じ蒸着チャンバーで、連続的に行うこと
ができる。
Example 1. Of polysilicon on silicon oxide
The polysilicon over the silicon oxide on the etched silicon wafer is pressured in the three electrode chamber of the present invention at a pressure in the range of about 2 mTorr to about 20 mTorr and 50 cc of chlorine (Cl 2 ) etchant gas flow rate (manifold G1 only). Performed using a 1500 watt power supply, a 20 volt bias voltage, and a grounded top electrode (no silicon). The result was a polysilicon etch rate of 3500-4000 angstroms / min, a vertical etch profile, and a polysilicon selectivity over oxide of 100: 1 or greater. Example 2. Silicon Oxide Deposition Two step bias sputter deposition of silicon dioxide into high aspect ratio openings formed in a silicon oxide layer on a silicon wafer is performed in a three electrode chamber at a pressure in the range of about 2 mTorr to about 10 mTorr (both steps). ),
A gas flow rate of about 200 cc of argon / about 90 cc of oxygen / about 45 cc of silane (in both steps, only the manifold G1), 20
This was performed using a power supply of 00 watts (both steps), a top electrode grounded (both steps), a bias voltage of about -20 volts (first step) and about 100-200 volts (second step). . As a result, in the first step (without sputtering), a deposition of 7500 Å / min or more was obtained, and in the second step, a pure oxide deposition (profile-controlled sputtering deposition) of about 4000 to 5000 Å / min was obtained. The upper corner of the opening was processed by argon sputtering, followed by filling the opening with silicon dioxide without voids to planarize the silicon oxide layer. This filling and planarization can be performed continuously in the same deposition chamber of the present invention.

【0047】半導体の製造における重大な挑戦は、下部
層がポリシリコンや他の酸素非含有材料である場合に、
二酸化シリコンの選ばれた厚さをエッチングすることで
ある。露出されたシリコンは殆どエッングされないけれ
ど、酸化シリコンが比較的早い速度でエッチングされる
ために、高い選択度が要求される。しかしながら、プラ
ズマに曝されると、2つの材料はおよそ同じ速度でエッ
チングするので、基板上に高分子のコーティングを作る
ために、フッ化物のソースにCHF3等のエッチグガスを加
えることは公知である。これはエッチングされるべき酸
化シリコンが連続しているけれど、ポリシリコン上に不
動態化したコーティングを作る。しかしながら、ポリシ
リコン上のポリマー層の形成は小さなデバイスの幾何学
的構造のエッチングを困難にする。この点における重要
なコンセプトは“マイクロローディング”であり、これ
は1−(エッチング速度比)として定義される。ここ
で、エッチング速度比はウエハの小さな形状におけるエ
ッチング速度と大きな形状におけるエッチング速度との
比である。従って、エッチングプロセスが同じ速度で大
小の形状をエッチングするという望ましい特性を有して
いるなら、このマイクロローディングは1−1/1=0
になる。小さな形状が大きな形状より非常に遅い速度で
エッチングされるプロセスにおいては、マイクロローデ
ィングは1.0に近づく。
A significant challenge in semiconductor fabrication is when the underlying layer is polysilicon or other oxygen-free material,
Etching a selected thickness of silicon dioxide. Although the exposed silicon is hardly etched, high selectivity is required because the silicon oxide is etched at a relatively high rate. However, it is known to add an etching gas such as CHF 3 to a fluoride source to create a polymeric coating on the substrate, as the two materials etch at approximately the same rate when exposed to plasma. . This creates a passivated coating on the polysilicon, although the silicon oxide to be etched is continuous. However, the formation of a polymer layer on polysilicon makes it difficult to etch the geometry of small devices. An important concept in this regard is "microloading", which is defined as 1- (etch rate ratio). Here, the etching rate ratio is a ratio between the etching rate in a small shape of the wafer and the etching rate in a large shape of the wafer. Therefore, if the etching process has the desired property of etching large and small features at the same rate, this microloading is 1-1 / 1 = 0.
become. In processes where small features are etched at a much slower rate than large features, microloading approaches 1.0.

【0048】上述されたエッチングの適用における困難
な点は、高いエッチングの選択度を得るために、プラズ
マ中に比較的多量のポリマー形成ガスを使用しなければ
ならないが、しかしポリマー層は0より非常に大きなマ
イクロローディングを生じることである。通常、約0.
1のマイクロローディングの場合、10:1以上の選択
度比のものを期待することはできない。しかしながら、
0に近いマイクロローディングで、30:1或いは4
0:1程度の大きさの選択度比を要求するアプリケーシ
ョンも多い。2.プラズマ領域でのシリコンの使用 高密度プラズマ源の場合、ポリシリコンをエッチングす
る分離生成物の一つはフッ素である。シリコンを用いて
プラズマ源領域から自由なフッ素基を除去することがで
きる。シリコンは第3の電極17T上或いはチャンバー
の内壁17W上のコーティング形態をとることができ
る。犠牲シリコンが壁面上にある場合、シリコンの厚さ
はRFエネルギーがアンテナ30からプラズマに供給さ
れる周波数と共に問題となる。これらのパラメータは、
十分なエネルギーがチャンバー壁を通して電磁結合され
ることを保証するように選ばれなければならない。シリ
コンが第3の電極17Tに含まれる場合、シリコンの厚
さはさほど重要ではない。いずれにしても、シリコンが
プラズマ源領域から自由なフッ素を除去するために利用
される場合、チャンバーから容易に除去される揮発性の
化合物が形成される。
The difficulty in applying the etching described above is that a relatively large amount of polymer-forming gas must be used in the plasma in order to obtain a high etching selectivity, but the polymer layer is much more than zero. To produce large microloading. Usually about 0.
In the case of microloading of 1, a selectivity ratio of 10: 1 or more cannot be expected. However,
30: 1 or 4 with microloading close to 0
Many applications require a selectivity ratio of about 0: 1. 2. Use of Silicon in the Plasma Region For high density plasma sources, one of the separation products that etches polysilicon is fluorine. Free fluorine groups can be removed from the plasma source region using silicon. Silicon can be in the form of a coating on the third electrode 17T or on the inner wall 17W of the chamber. If the sacrificial silicon is on a wall, the thickness of the silicon becomes an issue with the frequency at which RF energy is delivered from the antenna 30 to the plasma. These parameters are
It must be chosen to ensure that sufficient energy is electromagnetically coupled through the chamber walls. When silicon is included in the third electrode 17T, the thickness of the silicon is not so important. In any case, if silicon is used to remove free fluorine from the plasma source region, volatile compounds are formed that are easily removed from the chamber.

【0049】シリコンスカベンジャ材料はエッチングプ
ロセス中にそれ自身ポリマーによってコーティングされ
る。このポリマーは温度上昇の加熱によって除去される
か、或いはシリコンを電気的にバイアスすることによっ
て、シリコン表面の衝撃を増すことがでできるので、ポ
リマーは表面からスパッタリングされ、それにより、自
由なシリコンを再び露出する。3.ポリシリコン上の酸化シリコンエンチング ポリシリコン上に酸化シリコンを、この発明の3電極チ
ャンバー内で約2ミリトールから約30ミリトールの圧
力、CHF3、30−60sccm/CO或いはCO2 、−18sccm
/Ar、100−200sccm(マニホルドG1のみ)のガ
ス化学作用流量、2000ワットの電源電力、200ボ
ルトのバイアス電圧、頂部電極17Tと、それらに取り
つけられた2MHz 、1000ワットのRFエネルギーで
バイアスされたシリコンディスク17Sを用いて行っ
た。酸化シリコンは8000オングストローム/分の速
度でエッチングされ、ポリマーにたいする酸化物の選択
度は50:1であった。また、シリコン含有体は石英ド
ーム壁17W上のシリカコーティングによって補強する
ことができる。4.酸素非含有基板上の酸素含有層エッチング 一連のエッチングプロセスが種々の酸素非含有基板上の
酸化シリコン等の酸素含有層をエッチングする本発明の
反応装置によって行われた。従来のホトリソグラフ技術
を用いて酸化物層をパターニングした後、C2F6を用いた
エッチングースされた第3のシリコン電極を用いて本発
明の反応装置で行われた。結果は下記の表1に要約され
る。
The silicon scavenger material is itself coated with polymer during the etching process. The polymer can be sputtered from the surface because the polymer can be removed by heating at elevated temperatures or by increasing the impact on the silicon surface by electrically biasing the silicon, thereby freeing the silicon. Exposure again. 3. The silicon oxide silicon oxide ene quenching poly on silicon on polysilicon, a pressure of about 2 mTorr with 3 electrodes in a chamber of about 30 mTorr of the invention, CHF 3, 30-60sccm / CO or CO 2, -18Sccm
/ Ar, 100-200 sccm (manifold G1 only) gas chemistry flow rate, 2000 watts source power, 200 volt bias voltage, top electrode 17T and 2 MHz, attached to them, biased with 1000 watts RF energy It was performed using a silicon disk 17S. The silicon oxide was etched at a rate of 8000 angstroms / min and the selectivity of the oxide to polymer was 50: 1. Also, the silicon-containing body can be reinforced by a silica coating on the quartz dome wall 17W. 4. Oxygen-Containing Layer Etching on Non-Oxygen-Containing Substrates A series of etching processes were performed by the reactor of the present invention for etching oxygen-containing layers such as silicon oxide on various non-oxygen-containing substrates. After patterning the oxide layer using conventional photolithographic techniques, it was performed in the reactor of the present invention using a third silicon electrode etched with C 2 F 6 . The results are summarized in Table 1 below.

【0050】 表1実施例 酸化シリコンの厚さ 基板 選択度 4 5000−10000Å WSi 25:1 6 PECVD Si3N4 15:1 7 5000−10000Å LPCVD Si3N4 15:1 8 5000−20000Å TiN /Al* 15:1 9 5000−20000Å Al 30:1 10 5000Å 単結晶シリコン 30:1 * 2−3000Å CF4 を用いた他の一連のエッチングが、BPSG(Boro
-Phosph-Silicate-Glass) で酸素含有層を置き換えたこ
と以外は同様の条件で行われた。その結果は下記の表2
に要約される。 表2実施例 酸化シリコンの厚さ 基板 選択度 11 5000−10000Å WSi 30:1 12 5000−25000Å p+がドープ された単結晶 30:1 シリコン 13 5000−25000Å p+がドープ された単結晶 30:1 シリコン 選択度はガス流、電源電力及びシリコン板の条件を変え
ることによって、調節でき、特別な基板上の特別な酸化
シリコンやガラスの選択度を適当にすることができる。
フッ素イオンのシリコンスカベンジャを用いて本発明の
反応装置において、100:1までの選択度が達成でき
る。14.他の特徴 1)プラズマ制御 この発明の特徴は“下部”電力を自動的に変化させて一
定のカソード(基板)シース電圧を維持することであ
る。高度に非対照的なシステムにおいては低圧(<50
0ミリトル)ではカソードで測定されるDCバイアスは
カソードシース電圧の近似値となる。下部電力は自動的
に変化させて一定のDCバイアスを維持することができ
る。下部電力はプラズマ密度やイオン流密度にはほとん
ど影響しない。上部あるいはアンテナ電力はプラズマ密
度やイオン流密度には非常に強い影響を持つが、カソー
ドシース電圧に対する影響は非常に小さい。したがっ
て、上部電力をプラズマ密度やイオン流密度を決めるの
に用い、下部電力をカソードシース電圧を決めるのに用
いることが望ましい。 2)差動バイアス 基板5をアースに対してバイアスする代わりに、図1お
よび図2に点線の接続50で示すようにバイアス整合ネ
ットワーク43と頂部プレート17Tをアースから外
し、互いをレファレンスとすることもできる。図2を説
明すると、頂部プレートは頂部プレートと基板の間の電
圧VT-SSが頂部プレートと壁12の間の電圧VT-W の大
きさの約2倍で基板と壁の間の電圧VSS-Wの大きさの約
2倍になるように差動的に駆動されバランスされる。こ
のバランスされた差動駆動がプラズマと壁の相互作用を
少なくし、プラズマ源領域16Aと基板領域16Bの間
の相互作用、イオン抽出を多くする。 3)代替構成 本発明のプラズマ反応装置システムを図1に従来の向き
(垂直)で示す。基板5が電極32(カソード)に上に
あり、アンテナ30が電極の上のドーム17を取り囲ん
でいる。便宜上、アンテナ30に供給される電力を“ア
ンテナ”あるいは“プラズマ源”あるいは“上部”電力
と呼び、電極/カソード32に供給される電力を“バイ
アス”あるいは“下部”電力と呼んできた。これらの表
現および名称は便宜的なものに過ぎず、説明されたシス
テムは反転、すなわち電極32を上にアンテナをこの電
極の下に配置して構成することもでき、あるいは変更を
加えることなく他の方法で配置する(たとえば水平に配
置する)ことができる。つまり、この反応装置システム
は向きに関係なく機能する。反転した構成ではプラズマ
はアンテナ30で生成され、上方に搬送されて本明細書
で説明した方法でアンテナの上に位置する基板5に向か
う。すなわち、活性種の搬送は拡散とバルクフローによ
って発生する。あるいは場合によっては軸勾配を有する
磁界に助けられて発生する。この過程は重力には左右さ
れず、したがって方向には比較的影響されない。反転し
た向きはたとえば気相状態のプラズマ発生領域、あるい
は表面上で形成された粒子が基板に落下する可能性を最
小限にできるという点で有益である。その後重力によっ
てかかる粒子のうち最小のものだけが重力ポテンシャル
勾配に逆らって基板表面に向かって上昇する。 4)高圧および低圧動作と可変間隔 本発明のチャンバーの設計は高圧動作と低圧動作の両方
に有効である。基板支持カソード32Cとアンテナの最
下部のコイルあるいは巻線の面の間の間隔dは高圧動作
と低圧動作の両方に適応させることができる。たとえ
ば、500ミリトル−50ミリトルの高圧動作には好適
には約5cmより小さい間隔dが用いられ、0.1ミリトル
−500ミリトルより小さい範囲での低圧動作には5cm
より大きい間隔dが好適である。チャンバーには図示す
るように固定された間隔dを用いることもでき、また交
換可能なあるいは入れ子式の上チャンバー部のような可
変間隔設計を用いることもできる。反応装置システム1
0は酸化けい素や窒化けい素といった材料の高圧および
低圧蒸着、二酸化シリコン、窒化シリコン、シリコン、
ポリシリコン、ガラスおよびアルミニウム等の材料の低
圧異方性反応イオンエッチング、かかる材料の高圧プラ
ズマエッチング、および基板の微細構成の平面化を含む
かかる材料の同時蒸着およびエッチバックを含むCVD
ファセッティング、等の処理に有効である。反応装置シ
ステム10を用いることのできるこれらの処理およびそ
の他の処理については、同時譲渡された VHF/UHF PLASM
A PROCESS FOR USE IN FORMING INTEGRATED CIRCUIT ST
RUCTURES ON SEMICONDUCTOR WAFARS(半導体ウエハ上に
集積回路を形成する場合に使用する VHF/UHFプラズマプ
ロセス)と題する1990年7月31日付けCollins その他
の米国特許出願07/560,530号(AMATファイ
ル No.151−2)に説明されている。 15. 装置の例 本発明のシステムの実施例には図1に示すプラズマ源構
成とアンテナ構成が含まれている。高さ5インチの石英
のプラズマ源チャンバー17の直径は12インチであ
る。2MHz 、直径13インチ、高さ4インチ、13巻コ
イルアンテナが両端で(接地された可変コンデンサLと
Tで)グラウンドプレーンから(の下)約0.25インチ
間隔をおいて成端し、プラズマ源を取り囲んでいる。反
応負荷整合が可変コンデンサL(10−3000ピコフ
ァラド可変コンデンサ、定格5kV)によって供給されて
いる。またアンテナの共振への容量性同調が同調コンデ
ンサT(5−100ピコファラド、15kV定格) によっ
て提供されている。2キロワット2MHz のソースRFエ
ネルギーを用いた動作を行うと、2インチ下流(プラズ
マ源の下)のウエハに伸長するプラズマが提供される。
これが1−2X 10 12/cm3 のプラズマ密度と基板の
下流で10−15mA/cm2 のイオン飽和電流密度を提供
する。アンテナの約2インチ下(下流)の支持電極に配
置された5インチの基板に印加される2MHz 、600ワ
ットの下部あるいはバイアスは200ボルトのカソード
シース電圧を提供する。
Table 1Example Silicon oxide thickness substrate Selectivity 4 5000-10000Å WSi 25: 1 6 PECVD SiThreeNFour 15: 1 7 5000-10000 Å LPCVD SiThreeNFour 15: 1 8 5000-20000Å TiN / Al * 15: 1 9 5000-20000Å Al 30: 1 10 5000Å single crystal silicon 30: 1 * 2-3000Å CFFourAnother series of etching using BPSG (Boro
-Phosph-Silicate-Glass) to replace the oxygen-containing layer.
The same conditions were used except for and. The results are shown in Table 2 below.
Is summarized in. Table 2Example Silicon oxide thickness substrate Selectivity 11 5000-10000 WSi 30: 1 125000-25000 {p + doped single crystal 30: 1 silicon 13 5000-25000} p + doped single crystal 30: 1 silicon Selectivity depends on gas flow, power supply and silicon plate. Change the conditions
Can be adjusted by special oxidation on special substrate
The selectivity of silicon or glass can be made appropriate.
Using a silicon scavenger of fluorine ion of the present invention
In the reactor, selectivities of up to 100: 1 can be achieved.
You.14. Other features 1)Plasma control A feature of this invention is that it automatically changes the "lower" power.
Maintaining a constant cathode (substrate) sheath voltage.
You. Low pressure (<50 in highly asymmetric systems)
0 millitorr), the DC bias measured at the cathode is
This is an approximate value of the cathode sheath voltage. Lower power is automatic
To maintain a constant DC bias.
You. Bottom power is almost impossible for plasma density and ion flow density
No effect. Top or antenna power is plasma tight
Although it has a very strong effect on the temperature and ion flow density,
The effect on the sheath voltage is very small. Accordingly
The upper power to determine the plasma density and ion flow density.
And use the lower power to determine the cathode sheath voltage.
Is desirable. 2)Differential bias Instead of biasing the substrate 5 against ground, FIG.
And the bias matching network as shown by the dotted connection 50 in FIG.
Network 43 and top plate 17T out of ground
However, each other can be a reference. Figure 2
To illustrate, the top plate is the one between the top plate and the substrate.
Pressure VT-SSIs the voltage V between the top plate and the wall 12TWLarge
The voltage V between the substrate and the wall at about twice the magnitudeSS-WAbout the size of
It is differentially driven and balanced to be doubled. This
Balanced differential drive enhances plasma-wall interaction
Between the plasma source region 16A and the substrate region 16B
Interaction, increase the ion extraction. 3)Alternative configuration Fig. 1 shows a conventional plasma reactor system according to the present invention.
(Vertical). Substrate 5 on electrode 32 (cathode)
Yes, antenna 30 surrounds dome 17 above electrode
In. For convenience, the power supplied to the antenna 30 is “A”.
Antenna "or" plasma source "or" top "power
And the power supplied to the electrode / cathode 32 is
We have called them “ass” or “lower” power.
The names and names are for convenience only and may not be
The system is inverted, i.e. the antenna is
It can be configured below the poles or it can be modified
Place it in another way without adding it (for example, horizontally
Can be placed). In other words, this reactor system
Works regardless of orientation. Plasma in inverted configuration
Is generated by the antenna 30 and transported upward to
To the substrate 5 located above the antenna in the manner described in
U. In other words, the transport of active species is based on diffusion and bulk flow.
It occurs. Or possibly with an axial gradient
Generated with the help of a magnetic field. This process depends on gravity
And is therefore relatively insensitive to direction. Invert
The direction is, for example, a plasma generation region in a gaseous state, or
Minimizes the possibility of particles formed on the surface falling on the substrate.
This is useful in that it can be minimized. Then by gravity
Only the smallest of these particles is gravitational potential
It rises toward the substrate surface against the gradient. 4)High and low pressure operation and variable spacing The design of the chamber of the present invention is both high pressure operation and low pressure operation
It is effective for The substrate support cathode 32C and the antenna
The distance d between the lower coil or winding surface is high pressure operation
And low pressure operation. for example
Suitable for high pressure operation of 500 mtorr-50 mtorr
The distance d is less than about 5 cm and is 0.1 mTorr
5 cm for low pressure operation in the range less than -500 mTorr
Larger intervals d are preferred. Shown in chamber
A fixed distance d can be used as
Interchangeable or nestable upper chamber
Variable spacing designs can also be used. Reactor system 1
0 is the high pressure of materials such as silicon oxide and silicon nitride and
Low pressure deposition, silicon dioxide, silicon nitride, silicon,
Low materials such as polysilicon, glass and aluminum
Pressure anisotropic reactive ion etching, high pressure plastic
Including zuma etching and planarization of substrate topography
CVD including simultaneous deposition and etchback of such materials
It is effective for processing such as faceting. Reactor
These processes that can use the stem 10 and their processing
For other processing, please refer to the VHF / UHF PLASM
A PROCESS FOR USE IN FORMING INTEGRATED CIRCUIT ST
RUCTURES ON SEMICONDUCTOR WAFARS (on semiconductor wafer
VHF / UHF plasma pump used to form integrated circuits
Collins Others, July 31, 1990, entitled "Roses"
U.S. patent application Ser. No. 07 / 560,530 (AMAT file).
No. 151-2). 15.Example of device The embodiment of the system of the present invention includes a plasma source structure shown in FIG.
Configuration and antenna configuration. 5-inch high quartz
The diameter of the plasma source chamber 17 is 12 inches.
You. 2MHz, 13 inches in diameter, 4 inches in height, 13 volumes
At both ends (with the grounded variable capacitor L
About 0.25 inch from (below) ground plane
Terminates at intervals and surrounds the plasma source. Anti
Variable load matching with variable capacitor L (10-3000 picof
Powered by a variable capacitor, rated 5kV)
I have. Capacitive tuning to antenna resonance is also
Sensor T (5-100 picofarads, 15kV rating)
Provided. 2 kW 2 MHz source RF energy
When the operation using energy is performed, 2 inches downstream (plus
An extending plasma is provided to the wafer (below the source).
This is 1-2X10 12/cmThreePlasma density and substrate
10-15 mA / cm downstreamTwoProvides ion saturation current density of
I do. Place it on the support electrode about 2 inches (downstream) below the antenna.
2 MHz, 600 W applied to a 5-inch substrate placed
Bottom of unit or bias is 200 volt cathode
Provides sheath voltage.

【0051】当業者には、本発明がドームの使用に限定
されるものではないことは明白であろう。むしろ、本発
明はプラズマ源領域とプロセス領域を有するほとんどあ
らゆる構成に適用し得るものである。これには、たとえ
ば図示するような“階段状の”ドーム型チャンバー構成
や、プラズマ源領域とプロセス領域あるいはチャンバー
部分がほぼ同じ断面を有する非階段状の構成がある。上
述したように、この発明を実施した上述の反応装置は反
応性イオンエッチング(RIE)、高圧プラズマエッチ
ング、スパッタファセット蒸着および平面化を含む低圧
化学蒸着(CVD)および高圧コンフォーマル等方性C
VD等のさまざまなプラズマ加工に独自の効果を提供す
る。アプリケーションにはスパッタエッチング、イオン
ビームエッチング、あるいは電子としてはイオンあるい
は活性中性プラズマ源があるが、それらに限定されるも
のではない。本発明の装置及びプロセスの好適な実施例
を記載したので、当業者は特許請求の範囲内で装置及び
方法を容易に応用し、変更しそして拡張することができ
るものである。
It will be apparent to those skilled in the art that the present invention is not limited to the use of domes. Rather, the present invention is applicable to almost any configuration having a plasma source region and a process region. This includes, for example, a "stepped" dome-shaped chamber configuration as shown, or a non-stepped configuration in which the plasma source region and the process region or chamber portion have approximately the same cross section. As mentioned above, the above-described reactor embodying the present invention includes a low pressure chemical vapor deposition (CVD) and a high pressure conformal isotropic C including reactive ion etching (RIE), high pressure plasma etching, sputter facet deposition and planarization.
Providing unique effects for various plasma processing such as VD. Applications include, but are not limited to, sputter etching, ion beam etching, or an ion or active neutral plasma source for electrons. Having described the preferred embodiments of the apparatus and process of the present invention, those skilled in the art will be able to easily apply, modify and extend the apparatus and method within the scope of the claims.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明のプラズマ反応装置の断面図FIG. 1 is a sectional view of a plasma reactor of the present invention.

【図2】本発明のプラズマ反応装置の断面図FIG. 2 is a cross-sectional view of the plasma reactor of the present invention.

【図3】本発明のプラズマ反応装置の断面図FIG. 3 is a sectional view of the plasma reactor of the present invention.

【図4】同調回路を示す図FIG. 4 shows a tuning circuit.

【図5】負荷回路を示す図FIG. 5 is a diagram showing a load circuit;

【図6】RF入力を印加した負荷回路を示す図FIG. 6 is a diagram showing a load circuit to which an RF input is applied;

【図7】同調回路と負荷回路の結合を示す図FIG. 7 is a diagram showing coupling between a tuning circuit and a load circuit;

【図8】同調回路と負荷回路の結合を示す他の実施例図FIG. 8 is another embodiment showing the coupling of the tuning circuit and the load circuit.

【図9】同調回路と負荷回路の結合を示す他の実施例図FIG. 9 is another embodiment diagram showing the coupling between the tuning circuit and the load circuit.

【図10】酸化シリコンとシリコンのバイアス電圧に対
するエッチング/蒸着速度
FIG. 10: Etching / deposition rates for silicon oxide and silicon bias voltage

【図11】エッチング中に印加されたDCバイアス電圧
波形図
FIG. 11 is a waveform diagram of a DC bias voltage applied during etching.

【図12】エッチング中に印加されたDCバイアス電圧
波形の他の図
FIG. 12 is another diagram of a DC bias voltage waveform applied during etching.

【図13】本発明の反応室のドームにおける磁石アレイ
の水平面配置図
FIG. 13 is a horizontal layout view of a magnet array in the dome of the reaction chamber of the present invention.

【図14】(A)−(D)は本発明システムの色々な形
状の磁力線を示す図
14A to 14D are diagrams showing magnetic field lines of various shapes of the system of the present invention.

【図15】(A)−(B)は本発明システムに有用なフ
ァラデーシールドの実施例を示す図
FIGS. 15A and 15B are diagrams showing an embodiment of a Faraday shield useful for the system of the present invention.

【図16】本発明システムに様々な構成要素の制御シス
テムのブロックダイアグラム
FIG. 16 is a block diagram of a control system of various components in the system of the present invention.

───────────────────────────────────────────────────── フロントページの続き (72)発明者 チャン ロン ヤン アメリカ合衆国 カリフォルニア州 95032ロス ガトス リロイ アベニュー 16788 (72)発明者 ジェリー ユーエン クイ ウォン アメリカ合衆国 カリフォルニア州 94539フリーモント クーガー サークル 44994 (72)発明者 ジェフリー マークス アメリカ合衆国 カリフォルニア州 95129サン ホセ シエロ ヴィスタ ウ ェイ 4730 (72)発明者 ピーター アール ケズウィック アメリカ合衆国 カリフォルニア州 94560ニューアーク ヨアキン マリエタ アベニュー 6371エイ (72)発明者 ディヴィッド ダブリュー グローチェル アメリカ合衆国 カリフォルニア州 94087サニーヴェイル ロビン ウェイ 927 ─────────────────────────────────────────────────── ─── Continued Front Page (72) Inventor Chan Rong Yang United States California 95032 Los Gatos Reloj Avenue 16788 (72) Inventor Jerry Yuen Qui Won United States California 94539 Fremont Cougar Circle 44994 (72) Inventor Jeffrey Marks United States California 95129 San Jose Cielo Vistaway 4730 (72) Inventor Peter Earl Keswick United States California 94560 Newark Joaquin Marietta Avenue 6371 A (72) Inventor David W. Grochel United States California 94087 Sunnyvale Robin Way 927

Claims (14)

【特許請求の範囲】[Claims] 【請求項1】 プラズマエッチング方法であって、 a)真空チャンバー内にプラズマを維持するための真空
チャンバーを備え、 b)前記チャンバー内の支持装置上に、前記プラズマに
よって処理されるべき物質を支持し、 c)前記チャンバーにフッ素含有エッチングガスを供給
し、 d)前記チャンバー内に前記エッチングガスのプラズマ
を生成するために、前記チャンバーにRFエネルギーを
結合し、且つ e)処理中に、前記エッチングガスに加えて、ガス状の
シリコン或いはカーボン源を通過させるステップを含む
プラズマエッチング方法。
1. A plasma etching method, comprising: a) a vacuum chamber for maintaining plasma in a vacuum chamber; and b) supporting a substance to be treated by the plasma on a supporting device in the chamber. And c) supplying a fluorine-containing etching gas to the chamber, d) coupling RF energy to the chamber to generate a plasma of the etching gas in the chamber, and e) etching during the process. A plasma etching method including a step of passing a gaseous silicon or carbon source in addition to a gas.
【請求項2】 前記エッチングガスはフッ化炭素である
ことを特徴する請求項1に記載の方法。
2. The method of claim 1, wherein the etching gas is fluorocarbon.
【請求項3】 前記エッチングガスはフッ素、カーボン
および水素のみを含有することを特徴とする請求項2に
記載の方法。
3. The method according to claim 2, wherein the etching gas contains only fluorine, carbon and hydrogen.
【請求項4】 前記エッチングガスはフッ素およびカー
ボンのみを含有することを特徴とする請求項2に記載の
方法。
4. The method according to claim 2, wherein the etching gas contains only fluorine and carbon.
【請求項5】処理される物質は、酸素非含有材料とその
表面にある酸素含有材料を含むことを特徴とする請求項
1に記載の方法。
5. The method of claim 1, wherein the material to be treated comprises an oxygen-free material and an oxygen-containing material on its surface.
【請求項6】 前記ガス状のシリコン源はシラン、テト
ラエトキシシラン、ジエチルシランおよび四フッ化ケイ
素からなるグループの1つあるいはそれ以上の材料から
選択されることを特徴とする請求項1に記載の方法。
6. The method of claim 1, wherein the gaseous silicon source is selected from one or more materials in the group consisting of silane, tetraethoxysilane, diethylsilane and silicon tetrafluoride. the method of.
【請求項7】 前記ガス状のシリコン源はシラン、テト
ラエトキシシラン、ジエチルシランおよび四フッ化ケイ
素からなるグループから選択された2以上の材料の混合
物であることを特徴とする請求項1に記載の方法。
7. The method of claim 1, wherein the gaseous silicon source is a mixture of two or more materials selected from the group consisting of silane, tetraethoxysilane, diethylsilane and silicon tetrafluoride. the method of.
【請求項8】 固体のシリコンあるいはカーボン源がチ
ャンバーのプラズマ領域にあり、反応されるべきその表
面を維持するために独立して処理されることを特徴とす
る請求項1に記載の方法。
8. The method of claim 1, wherein the solid silicon or carbon source is in the plasma region of the chamber and is treated independently to maintain its surface to be reacted.
【請求項9】 前記支持装置は電極能力を有し、RFエ
ネルギーがRF電源から前記支持装置を介して前記チャ
ンバーに結合されていることを特徴とする請求項1に記
載の方法。
9. The method of claim 1, wherein the support device has electrode capability and RF energy is coupled from the RF power source through the support device to the chamber.
【請求項10】 プラズマエンチング方法であって、 a)プラズマを発生するための真空チャンバーを備え、 b)前記チャンバー内の支持装置上に処理されるべき物
質を支持し、 c)フッ素含有ガスを前記チャンバーに供給し、 d)シリコン含有ガス前記チャンバーに供給し、且つ e)前記チャンバーにおいて前記物質を処理するための
プラズマを生成するために、RFエネルギーを前記チャ
ンバーに結合するステップを有することを特徴とするプ
ラズマエンチング方法。
10. A plasma enching method comprising: a) a vacuum chamber for generating a plasma; b) supporting a substance to be treated on a supporting device in the chamber; and c) a fluorine-containing gas. To said chamber, d) supplying a silicon-containing gas to said chamber, and e) coupling RF energy to said chamber to generate a plasma for treating said substance in said chamber. A plasma enching method characterized by the above.
【請求項11】 更に、RFエネルギーを前記支持装置
を介してチャンバーに結合することを特徴とする請求項
10に記載の方法。
11. The method of claim 10, further comprising coupling RF energy into the chamber via the support device.
【請求項12】 前記結合するステップは、前記RFエ
ネルギーをコイルアンテナからチャンバーへ誘導的に結
合することを特徴とする請求項10に記載の方法。
12. The method of claim 10, wherein the coupling step inductively couples the RF energy from a coil antenna to a chamber.
【請求項13】 前記シリコン含有ガスはシラン、テト
ラエトキシシラン、ジエチルシランおよび四フッ化ケイ
素からなるグループの1つあるいはそれ以上の材料から
選択されることを特徴とする請求項10に記載の方法。
13. The method of claim 10, wherein the silicon-containing gas is selected from one or more materials in the group consisting of silane, tetraethoxysilane, diethylsilane and silicon tetrafluoride. .
【請求項14】 前記シリコン含有ガスはシラン、テト
ラエトキシシラン、ジエチルシランおよび四フッ化ケイ
素からなるグループから選択された2以上の材料の混合
物であることを特徴とする請求項10に記載の方法。
14. The method of claim 10, wherein the silicon-containing gas is a mixture of two or more materials selected from the group consisting of silane, tetraethoxysilane, diethylsilane and silicon tetrafluoride. .
JP8196055A 1992-09-08 1996-07-25 Plasma etching method Pending JPH0927485A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US94150792A 1992-09-08 1992-09-08
US941507 1992-09-08

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP4340841A Division JP2625072B2 (en) 1992-09-08 1992-12-22 Plasma reactor using electromagnetic RF coupling and method thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2001238291A Division JP2002141341A (en) 1992-09-08 2001-08-06 Plasma etching method

Publications (1)

Publication Number Publication Date
JPH0927485A true JPH0927485A (en) 1997-01-28

Family

ID=25476606

Family Applications (3)

Application Number Title Priority Date Filing Date
JP4340841A Expired - Fee Related JP2625072B2 (en) 1992-09-08 1992-12-22 Plasma reactor using electromagnetic RF coupling and method thereof
JP8196055A Pending JPH0927485A (en) 1992-09-08 1996-07-25 Plasma etching method
JP2001238291A Pending JP2002141341A (en) 1992-09-08 2001-08-06 Plasma etching method

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP4340841A Expired - Fee Related JP2625072B2 (en) 1992-09-08 1992-12-22 Plasma reactor using electromagnetic RF coupling and method thereof

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2001238291A Pending JP2002141341A (en) 1992-09-08 2001-08-06 Plasma etching method

Country Status (2)

Country Link
JP (3) JP2625072B2 (en)
KR (1) KR100265617B1 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002510841A (en) * 1998-03-31 2002-04-09 ラム リサーチ コーポレーション Parallel antenna transformer coupled plasma generation system
JP2003037105A (en) * 2001-07-26 2003-02-07 Tokyo Electron Ltd Plasma treatment apparatus and method
JP2003518734A (en) * 1999-11-15 2003-06-10 ラム リサーチ コーポレーション Plasma processing system with dynamic gas distribution control
KR100498742B1 (en) * 2000-07-24 2005-07-01 캐논 가부시끼가이샤 Plasma processing apparatus having permeable window covered with light shielding film
KR100818068B1 (en) * 1999-07-20 2008-04-01 로베르트 보쉬 게엠베하 Device and method for etching a substrate using an inductively coupled plasma
KR100847630B1 (en) * 2001-01-13 2008-07-21 서페이스 테크놀로지 시스템스 피엘씨 Plasma processing apparatus
JP2009117373A (en) * 1997-01-29 2009-05-28 Foundation For Advancement Of International Science Plasma device
JP2018026494A (en) * 2016-08-12 2018-02-15 株式会社アルバック Film deposition method and film deposition apparatus

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
JP3365067B2 (en) * 1994-02-10 2003-01-08 ソニー株式会社 Plasma apparatus and plasma processing method using the same
US5811022A (en) * 1994-11-15 1998-09-22 Mattson Technology, Inc. Inductive plasma reactor
DE19711267A1 (en) * 1996-03-18 1997-10-30 Hyundai Electronics Ind Device for chemical vapor deposition with inductively coupled plasma
TW473857B (en) 1996-04-26 2002-01-21 Hitachi Ltd Method of manufacturing semiconductor device
TW349234B (en) * 1996-07-15 1999-01-01 Applied Materials Inc RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP4153606B2 (en) * 1998-10-22 2008-09-24 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
JP3935850B2 (en) * 2003-01-31 2007-06-27 株式会社日立ハイテクノロジーズ Plasma processing equipment
US20070074968A1 (en) * 2005-09-30 2007-04-05 Mirko Vukovic ICP source for iPVD for uniform plasma in combination high pressure deposition and low pressure etch process
US7968469B2 (en) * 2007-01-30 2011-06-28 Applied Materials, Inc. Method of processing a workpiece in a plasma reactor with variable height ground return path to control plasma ion density uniformity
KR100978886B1 (en) 2007-02-13 2010-08-31 가부시키가이샤 히다치 하이테크놀로지즈 Plasma processing method and plasma processing apparatus
US8138092B2 (en) * 2009-01-09 2012-03-20 Lam Research Corporation Spacer formation for array double patterning
KR20130093080A (en) * 2010-06-25 2013-08-21 어플라이드 머티어리얼스, 인코포레이티드 Pre-clean chamber with reduced ion current
TWI554630B (en) 2010-07-02 2016-10-21 應用材料股份有限公司 Deposition apparatus and methods to reduce deposition asymmetry
JP2012104382A (en) * 2010-11-10 2012-05-31 Tokyo Electron Ltd Plasma treatment apparatus, plasma treatment method, and plasma treatment bias voltage determination method
JP6099891B2 (en) * 2012-07-03 2017-03-22 キヤノン株式会社 Dry etching method
JP6101031B2 (en) * 2012-09-28 2017-03-22 東京応化工業株式会社 Plasma processing apparatus and laminate manufacturing method
JP6017936B2 (en) * 2012-11-27 2016-11-02 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
TWI826925B (en) * 2018-03-01 2023-12-21 美商應用材料股份有限公司 Plasma source assemblies and gas distribution assemblies
CN110223904A (en) * 2019-07-19 2019-09-10 江苏鲁汶仪器有限公司 A kind of plasma process system with Faraday shield device
WO2024043065A1 (en) * 2022-08-22 2024-02-29 東京エレクトロン株式会社 Plasma treatment device, rf system, and rf control method

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4581100A (en) * 1984-10-29 1986-04-08 International Business Machines Corporation Mixed excitation plasma etching system
JPS639120A (en) * 1986-06-30 1988-01-14 Canon Inc Wafer state for dry etching
JPS63155728A (en) * 1986-12-19 1988-06-28 Canon Inc Plasma processor

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009117373A (en) * 1997-01-29 2009-05-28 Foundation For Advancement Of International Science Plasma device
JP2002510841A (en) * 1998-03-31 2002-04-09 ラム リサーチ コーポレーション Parallel antenna transformer coupled plasma generation system
KR100818068B1 (en) * 1999-07-20 2008-04-01 로베르트 보쉬 게엠베하 Device and method for etching a substrate using an inductively coupled plasma
JP2003518734A (en) * 1999-11-15 2003-06-10 ラム リサーチ コーポレーション Plasma processing system with dynamic gas distribution control
KR100498742B1 (en) * 2000-07-24 2005-07-01 캐논 가부시끼가이샤 Plasma processing apparatus having permeable window covered with light shielding film
KR100847630B1 (en) * 2001-01-13 2008-07-21 서페이스 테크놀로지 시스템스 피엘씨 Plasma processing apparatus
JP2003037105A (en) * 2001-07-26 2003-02-07 Tokyo Electron Ltd Plasma treatment apparatus and method
JP2018026494A (en) * 2016-08-12 2018-02-15 株式会社アルバック Film deposition method and film deposition apparatus

Also Published As

Publication number Publication date
KR100265617B1 (en) 2000-10-02
JP2625072B2 (en) 1997-06-25
JPH06112166A (en) 1994-04-22
KR940008021A (en) 1994-04-28
JP2002141341A (en) 2002-05-17

Similar Documents

Publication Publication Date Title
JP2625072B2 (en) Plasma reactor using electromagnetic RF coupling and method thereof
US5556501A (en) Silicon scavenger in an inductively coupled RF plasma reactor
US6068784A (en) Process used in an RF coupled plasma reactor
US5888414A (en) Plasma reactor and processes using RF inductive coupling and scavenger temperature control
JP2635267B2 (en) RF plasma processing equipment
EP0552491B1 (en) Plasma etch process and plasma processing reactor
US6444137B1 (en) Method for processing substrates using gaseous silicon scavenger
US6545420B1 (en) Plasma reactor using inductive RF coupling, and processes
US6488807B1 (en) Magnetic confinement in a plasma reactor having an RF bias electrode
US6518195B1 (en) Plasma reactor using inductive RF coupling, and processes
US6251792B1 (en) Plasma etch processes
US20020004309A1 (en) Processes used in an inductively coupled plasma reactor
JP2519364B2 (en) Plasma reactor using UHF / VHF resonant antenna source
US5707486A (en) Plasma reactor using UHF/VHF and RF triode source, and process
JP6484665B2 (en) Multi-frequency power modulation for etching high aspect ratio features
US6497783B1 (en) Plasma processing apparatus provided with microwave applicator having annular waveguide and processing method
KR101011580B1 (en) Externally excited torroidal plasma source with magnetic control of ion distribution
US6870123B2 (en) Microwave applicator, plasma processing apparatus having same, and plasma processing method
JP2543642B2 (en) System and method for treating a workpiece having high frequency alternating current electrical energy and relatively low frequency alternating current electrical energy
US6634313B2 (en) High-frequency electrostatically shielded toroidal plasma and radical source
KR20060090602A (en) Inductive plasma system with sidewall magnet
US20070017897A1 (en) Multi-frequency plasma enhanced process chamber having a toroidal plasma source
US10381238B2 (en) Process for performing self-limited etching of organic materials
JP4478352B2 (en) Plasma processing apparatus, plasma processing method, and structure manufacturing method
EP0469597B1 (en) Plasma processing reactor

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 19990405