JPH0763821A - Test circuit - Google Patents

Test circuit

Info

Publication number
JPH0763821A
JPH0763821A JP5162316A JP16231693A JPH0763821A JP H0763821 A JPH0763821 A JP H0763821A JP 5162316 A JP5162316 A JP 5162316A JP 16231693 A JP16231693 A JP 16231693A JP H0763821 A JPH0763821 A JP H0763821A
Authority
JP
Japan
Prior art keywords
scan
test
output
input
register
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP5162316A
Other languages
Japanese (ja)
Inventor
Yuji Kawamura
村 裕 二 川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
JFE Steel Corp
Original Assignee
Kawasaki Steel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kawasaki Steel Corp filed Critical Kawasaki Steel Corp
Priority to JP5162316A priority Critical patent/JPH0763821A/en
Publication of JPH0763821A publication Critical patent/JPH0763821A/en
Withdrawn legal-status Critical Current

Links

Abstract

PURPOSE:To make it possible to reduce the number of test pins by using a part or more of boundary registers as P/S(parallel/serial) converters at the rime of scan tests, and performing the input and output of scan data through the converters. CONSTITUTION:Four registers 16 at the upper right among the boundary scan registers 16 are used as P/S converters 22, and the registers at the lower right can be used as S/P converters 24. The converters 22 shift the test data by one bit per clock pulse at the scan path test and outputs the serial data into an internal scan register 20 of a system logic circuit 12. Meanwhile, the converters 24 shift the output data, which are inputted in serial form from the registers 20, in accordance with the clock output the data in parallel. In this way, the input and the output of the scan data can be performed in parallel from the ordinary input/output pins. It is not necessary to provide scanning-type input/output pins additionally.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、スキャンパス等のスキ
ャン方式とバウンダリスキャン方式のテスト容易化設計
手法を併用するテスト回路に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a test circuit using both a scan method such as a scan path and a boundary scan method for facilitating test design.

【0002】[0002]

【従来の技術】テスト容易化設計方式は、体系的に一定
のルールに従って設計を行うことにより、テストが容易
になるよう設計する方式である。このようなテスト容易
化設計方式としては、スキャンパス等のスキャン設計が
あり、これは、回路内の記憶素子をスキャンレジスタと
してシフトレジスタで接続し、外部入出力より内部に任
意の値をセットしたり、内部の状態を観測し、かつコン
トロールできるようにした設計方式である。これによ
り、テストの対象とする回路を組み合わせ回路単位に分
割することができるので、テストが容易になり、組み合
わせ回路に対するテストパターン生成アルゴリズムを用
いて、検査入力パターンの自動生成が可能となる。
2. Description of the Related Art The testability design method is a method for designing a test systematically according to a certain rule so that a test is facilitated. As such a testability design method, there is a scan design such as a scan path, in which a storage element in the circuit is connected as a scan register by a shift register, and an arbitrary value is set inside from external input / output. It is a design method that allows you to observe and control the internal state. As a result, the circuit to be tested can be divided for each combinational circuit, so that the test becomes easy and the test input pattern can be automatically generated by using the test pattern generation algorithm for the combinational circuit.

【0003】最近では、単に、設計ルールの自動チェッ
クとテストパターンの自動生成だけでなく、スキャン設
計のためのテスト回路の自動変換/挿入生成、さらに、
非同期回路からの同期回路への変換も含めての自動化が
すすんでおり、そのためのCADツールも市販されてい
る。このスキャン方式としては、レジスタへのクロック
の供給、データのアクセス方式により、スキャンパス設
計方式、ランダムアクセススキャン設計方式、レベルセ
ンシティブスキャン設計方式、エッジトリガスキャン設
計方式等などの各種の方式が挙げられる。
Recently, not only automatic checking of design rules and automatic generation of test patterns, but also automatic conversion / insertion generation of test circuits for scan design, and
Automation including the conversion from an asynchronous circuit to a synchronous circuit is advancing, and CAD tools therefor are commercially available. Examples of this scan method include various methods such as a scan path design method, a random access scan design method, a level sensitive scan design method, an edge trigger scan design method, etc. depending on a clock supply to a register and a data access method. .

【0004】一方、最近、デバイスの高集積化や大規模
化が進み、狭ピンピッチ化によるLSI等の高密度実装
化(面実装)が図られている。このため、ボードレベル
でのテストがますます困難になってきており、今後は従
来のテスト手法では、ボードレベルのテストは不可能に
なってくると予想される。このような背景の下でボード
レベルのテスト方式としてJTAG( Joint Test Actio
n Group ) により提案され、IEEEの国際標準として
標準化されたバウンダリスキャン方式がある。
On the other hand, recently, as devices are highly integrated and large-scaled, high density mounting (surface mounting) of LSIs and the like is attempted by narrowing the pin pitch. As a result, board-level testing is becoming increasingly difficult, and it is expected that board-level testing will no longer be possible using conventional test methods. Against this background, JTAG (Joint Test Actio) is used as a board-level test method.
There is a boundary scan method standardized as an international standard of IEEE.

【0005】このバウンダリスキャン方式は、ボード上
に搭載されている各LSIの入出力ピンと内部回路との
間にスキャンレジスタ回路(バウンダリスキャンレジス
タ)を挿入し、さらにコントロール回路と専用のピンを
設ける。ボード上では、各LSIのバウンダリスキャン
レジスタを接続して、ボードの入出力よりアクセス/コ
ントロール可能にしたテスト容易化設計手法である。こ
のバウンダリスキャン方式を採用することにより、ボー
ドレベルのテストはすべてのボードの入出力から入力を
印加、出力を観測することでテストが可能になる。テス
ト方法としては、バウンダリスキャンを用いることによ
り、ボード上にテスト用のブローブの接触を必要としな
いで、ボード上のLSI間の接続テスト、LSI内部の
テストを行うことが可能となる。
In this boundary scan method, a scan register circuit (boundary scan register) is inserted between the input / output pin of each LSI mounted on the board and the internal circuit, and further a control circuit and a dedicated pin are provided. This is a testability design method in which the boundary scan register of each LSI is connected on the board to enable access / control from the input / output of the board. By adopting this boundary scan method, the board level test can be performed by applying the input from the input / output of all the boards and observing the output. By using the boundary scan as a test method, it is possible to perform a connection test between LSIs on the board and a test inside the LSI without requiring contact of a probe for testing on the board.

【0006】このようなLSIの回路内部の状態のテス
トおよびこれらのLSIを組み込んだボード上でのテス
トを行うことを可能とするためにスキャンパス等のスキ
ャン方式とバウンダリスキャン方式とを併用するテスト
回路が提案されている。このようなテスト回路を図5に
示す。
A test that uses both a scan method such as a scan path and a boundary scan method in order to make it possible to perform a test of the state inside the circuit of such an LSI and a test on a board incorporating these LSIs. A circuit has been proposed. Such a test circuit is shown in FIG.

【0007】図5に示すテスト回路60は、LSI61
のシステムロジック(コアロジック)回路62と、バウ
ンダリスキャンロジック回路64と、これらの内部回路
の周囲にLSI61のすべての入出力(I/O)ピンに
対して設けられるバウンダリスキャンレジスタ66とを
有する。そして、このテスト回路60には、システムロ
ジック回路62の通常の入出力ピンの他に、スキャンパ
ス方式のスキャンテストを行うためのスキャンテスト専
用ピンとしてスキャンデータ入力端子(SCAN I
N)、スキャンデータ出力端子(SCAN OUT)、
スキャンクロック端子(CLK)、およびスキャンイネ
ーブル端子(SCAN EN)と、バウンダリスキャン
方式のテストを行うためのテストアクセスポート(TA
P: TestAccess Port ) 68と呼ばれるテスト専用ピ
ンとしてテストデータ入力端子(TDI)、テストデー
タ出力端子(TDO)、テストクロック(TCK)およ
びテストモード選択(TMS)などが設けられている。
また、システムロジック回路62には内部スキャンレジ
スタ(インターナルスキャンレジスタ)70を有してお
り、バウンダリスキャンロジック回路64にはTAPコ
ントローラ72と、命令レジスタ74および命令デコー
ダ76と、マルチプレクサ78とを有している。
The test circuit 60 shown in FIG.
System logic (core logic) circuit 62, boundary scan logic circuit 64, and boundary scan register 66 provided for all input / output (I / O) pins of LSI 61 around these internal circuits. In addition to the normal input / output pins of the system logic circuit 62, the test circuit 60 has a scan data input terminal (SCAN I) as a scan test-dedicated pin for performing a scan test of a scan path system.
N), scan data output terminal (SCAN OUT),
A scan clock terminal (CLK), a scan enable terminal (SCAN EN), and a test access port (TA) for performing a boundary scan method test.
A test data input terminal (TDI), a test data output terminal (TDO), a test clock (TCK), a test mode selection (TMS), and the like are provided as test dedicated pins called P: Test Access Port) 68.
Further, the system logic circuit 62 has an internal scan register (internal scan register) 70, and the boundary scan logic circuit 64 has a TAP controller 72, an instruction register 74, an instruction decoder 76, and a multiplexer 78. is doing.

【0008】図5に示すテスト回路60においては、ス
キャンパス方式およびバウンダリスキャン方式の各々の
テスト方式は、全く独立したものであり、各々の手法に
基づいて、別々にテストを行っている。すなわち、バウ
ンダリスキャンテスト時には、TDI、TDO、TC
K、TMSの4つのTAP専用テストピンと、バウンダ
リスキャンレジスタとTAPコントローラ72、命令レ
ジスタ74、命令デコーダ76、マルチプレクサ78な
どのバウンダリスキャンロジック回路64を用いてテス
トを行っているし、スキャンパステスト時には、SCA
N IN、SCAN OUT、CLK、SCAN EN
の専用テストピンと、内部スキャンレジスタ70とを用
いてテストを行っている。
In the test circuit 60 shown in FIG. 5, the test methods of the scan path method and the boundary scan method are completely independent, and the tests are performed separately based on the respective methods. That is, during the boundary scan test, TDI, TDO, TC
The test is performed using the four TAP dedicated test pins of K and TMS, the boundary scan register and the boundary scan logic circuit 64 such as the TAP controller 72, the instruction register 74, the instruction decoder 76, and the multiplexer 78. , SCA
N IN, SCAN OUT, CLK, SCAN EN
The test is performed by using the dedicated test pin and the internal scan register 70.

【0009】[0009]

【発明が解決しようとする課題】ところで、図5に示す
テスト回路60においては、バウンダリスキャン方式と
スキャンパス方式の両テスト方式を行うことができる
が、これらのテスト方式は全く独立に別々に行われるも
のであるので、テストのための専用ピンがそれぞれの方
式について必要になるためテストピンの数が増加すると
いう問題があった。一方、テストピンの数を増加させな
いように、マルチプレクサ等を用いてテスト用ピンと、
通常の入出力ピンとを併用することも考えられるが、テ
スト用ピンと通常の入出力ピンとを併用すると、ディレ
イが生じ、性能が低下する場合があるなどの問題が生じ
る。
By the way, in the test circuit 60 shown in FIG. 5, both the boundary scan method and the scan path method can be performed. However, these test methods are performed independently independently. However, there is a problem in that the number of test pins increases because dedicated pins for testing are required for each method. On the other hand, in order not to increase the number of test pins, use a multiplexer etc. for test pins,
Although it is possible to use a normal input / output pin together, if a test pin and a normal input / output pin are used together, there arises a problem that a delay occurs and performance may be deteriorated.

【0010】本発明の目的は、上記従来技術の問題点を
解消し、スキャンパス等のスキャン方式とバウンダリス
キャン方式とを併用するテスト回路であっても、テスト
ピンの数を削減し、記述するテストパターンの数を減少
させることのできるテスト回路を提供するにある。
An object of the present invention is to solve the above problems of the prior art and to describe by reducing the number of test pins even in a test circuit that uses both a scan method such as a scan path and a boundary scan method. An object is to provide a test circuit that can reduce the number of test patterns.

【0011】[0011]

【課題を解決するための手段】上記目的を達成するため
に、本発明は、バウンダリスキャン方式とスキャン方式
とを併用するテスト回路であって、スキャンテスト時に
バウンダリスキャンレジスタの少なくとも1部をパラレ
ル/シリアル変換器として用い、スキャンデータの入出
力をこの変換器を通して行うことを特徴とするテスト回
路を提供するにある。
In order to achieve the above object, the present invention is a test circuit that uses both the boundary scan method and the scan method, wherein at least a part of the boundary scan register is parallel / scanned during the scan test. It is to provide a test circuit characterized by being used as a serial converter and inputting / outputting scan data through this converter.

【0012】[0012]

【発明の作用】本発明のテスト回路は、スキャンパス等
のスキャン方式とバウンダリスキャン方式とを併用する
回路であって、スキャン方式でのテストの際に、バウン
ダリスキャン方式のテストに用いるバウンダリスキャン
レジスタの一部をパラレル/シリアル変換器として使用
するものである。このようにすることにより、本発明の
テスト回路においては、スキャン方式でのスキャンイン
およびスキャンアウトに使用するテストピンを設ける必
要がなく、テストピンの数を減らすことができる。ま
た、本発明のテスト回路においては、スキャン方式での
データイン/データアウトをパラレルに実行することが
できるため、記述するテストパターン数が減少する。
The test circuit of the present invention is a circuit that uses both a scan method such as a scan path and a boundary scan method, and is used for a boundary scan method test in the scan method. Is used as a parallel / serial converter. By doing so, in the test circuit of the present invention, it is not necessary to provide test pins used for scan-in and scan-out in the scan method, and the number of test pins can be reduced. Further, in the test circuit of the present invention, since the data in / data out in the scan method can be executed in parallel, the number of test patterns to be described is reduced.

【0013】[0013]

【実施例】本発明に係るテスト回路を添付の図面に示す
好適実施例に基づいて以下に詳細に説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENT A test circuit according to the present invention will be described in detail below based on a preferred embodiment shown in the accompanying drawings.

【0014】図1は、本発明のテスト回路の一実施例の
概念図である。同図に示すようにLSI11内に内蔵さ
れるテスト回路10は、LSI11の論理回路を構成す
るシステムロジック回路12と、バウンダリスキャンロ
ジック回路14と、システムロジック回路12の通常の
すべての入出力(I/O)ピンに対して、この入出力ピ
ンとシステムロジック回路12との間に設けられるバウ
ンダリスキャンレジスタ16と、バウンダリスキャンロ
ジック回路14に接続されるテストアドレスポート(T
AP)18とを有する。
FIG. 1 is a conceptual diagram of an embodiment of the test circuit of the present invention. As shown in the figure, the test circuit 10 built in the LSI 11 includes a system logic circuit 12 that constitutes a logic circuit of the LSI 11, a boundary scan logic circuit 14, and all the normal input / output (I) of the system logic circuit 12. / O) pin, a test address port (T) connected to the boundary scan register 16 provided between the input / output pin and the system logic circuit 12 and the boundary scan logic circuit 14.
AP) 18 and.

【0015】システムロジック回路12内には図示しな
い組み合わせ論理回路と、内部スキャンレジスタ20と
を有している。本発明においては、バウンダリスキャン
レジスタ16の一部、図中では右上側の4つのバウンダ
リスキャンレジスタ16をパラレルデータイン−シリア
ルデータアウトのためのパラレル/シリアル変換器22
として用いるとともに、右下側の4つのバウンダリスキ
ャンレジスタ16をシリアルデータイン−パラレルデー
タアウトのためのシリアル/パラレル変換器24として
用いることができるように構成される。
The system logic circuit 12 has a combinational logic circuit (not shown) and an internal scan register 20. In the present invention, a part of the boundary scan register 16, that is, the four boundary scan registers 16 on the upper right side in the drawing are connected to the parallel / serial converter 22 for parallel data in-serial data out.
And the lower right four boundary scan registers 16 can be used as a serial / parallel converter 24 for serial data in-parallel data out.

【0016】図中、パラレル/シリアル変換器22を構
成する左端のバウンダリスキャンレジスタ16の出力
(TDO)は分岐して、システムロジック回路12の内
部スキャンレジスタ20に入力され、内部スキャンレジ
スタ20の出力はシリアル/パラレル変換器24を構成
する左端のバウンダリスキャンレジスタ16の入力(T
DI)に接続される。また、内部スキャンレジスタ20
にはスキャンイネーブル信号を入力するためのスキャン
イネーブル信号線がバウンダリスキャンレジスタ16を
介して対応するI/Oピンから接続される。
In the figure, the output (TDO) of the boundary scan register 16 at the left end which constitutes the parallel / serial converter 22 is branched and input to the internal scan register 20 of the system logic circuit 12, and the output of the internal scan register 20. Is an input (T of the boundary scan register 16 at the left end of the serial / parallel converter 24).
DI). In addition, the internal scan register 20
A scan enable signal line for inputting a scan enable signal is connected to the corresponding I / O pin via the boundary scan register 16.

【0017】ここで、パラレル/シリアル変換器22は
スキャンパス方式のスキャンテスト時にテストデータを
パラレルに入力(パラレルデータイン)するためのテス
トデータ入力(SCAN IN)として機能し、クロッ
クに従ってテストデータを1ビットずつシフトし、シス
テムロジック回路12の内部スキャンレジスタ20にシ
リアル出力する。一方、シリアル/パラレル変換器24
は、スキャンパステスト時、内部スキャンレジスタ20
からシリアル入力されたスキャンパス出力データをクロ
ックに従ってシフトし、パラレル出力(パラレルデータ
アウト)するためのテストデータ出力(SCAN OU
T)として機能する。
Here, the parallel / serial converter 22 functions as a test data input (SCAN IN) for inputting the test data in parallel (parallel data in) during the scan test of the scan path system, and outputs the test data according to the clock. The data is shifted bit by bit and serially output to the internal scan register 20 of the system logic circuit 12. On the other hand, the serial / parallel converter 24
Is the internal scan register 20 during the scan path test.
Test path output (SCAN OU) for shifting the scan path output data serially input from
Function as T).

【0018】従って、バウンダリスキャンレジスタ16
は、システムロジック回路12の通常の入出力ピンに対
応して設けられるもので、通常動作時には入出力ピンか
ら入出力される入出力信号を単に通過し、バウンダリス
キャンテスト時にはバウンダリスキャンロジック回路1
4によって発生される制御信号によってテストデータ入
出力から入出力されるテストデータを保持し、システム
ロジック回路12に入出力する機能を有する。しかし、
本発明のパラレル/シリアル変換器22を構成するバウ
ンダリスキャンレジスタ16は、上述の2つの機能の他
に、スキャンパステスト時にSCAN INとして機能
する通常の入力ピンからパラレル入力されたスキャンパ
ステストデータをバウンダリスキャン用テストデータ出
力から出力する機能をも有し、本発明のシリアル/パラ
レル変換器24を構成するバウンダリスキャンレジスタ
16は、同様に、上述の2つの機能の他に、スキャンパ
ステスト時に、バウンダリスキャン用テストデータ入力
から入力されるデータをSCAN OUTとして機能す
る通常の出力ピンからパラレル出力する機能をも有す
る。
Therefore, the boundary scan register 16
Are provided corresponding to the normal input / output pins of the system logic circuit 12, and simply pass the input / output signals input / output from the input / output pins during the normal operation, and the boundary scan logic circuit 1 during the boundary scan test.
It has a function of holding the test data input / output from the test data input / output by the control signal generated by 4 and inputting / outputting it to / from the system logic circuit 12. But,
The boundary scan register 16 which constitutes the parallel / serial converter 22 of the present invention, in addition to the above-mentioned two functions, scan path test data parallel input from a normal input pin functioning as SCAN IN at the time of a scan path test. The boundary scan register 16 which also has a function of outputting from the test data output for boundary scan, and which constitutes the serial / parallel converter 24 of the present invention, in addition to the above-mentioned two functions, also has a function of It also has a function of parallelly outputting the data input from the boundary scan test data input from the normal output pin functioning as SCAN OUT.

【0019】このようなバウンダリスキャンレジスタ1
6の一実施例を図2(a)および(b)に示す。図2
(a)に示すレジスタ16は、2つのマルチプレクサ
(MUX)26,27と2つのフリップフロップ回路
(F/F)28,29とを有する。MUX26の一方の
入力には通常のI/Oピンからの通常のデータ信号また
はスキャンパステストデータもしくは、システムロジッ
ク回路12からの出力データを入力するためのIN端
子、他方の入力にはバウンダリスキャン用テストデータ
を入力するためのTDI( Test Data Input ) 端子が接
続され、その制御端子にはシフト/ロード(S/L)端
子が接続される。MUX26の出力はF/F28の入力
端子に接続され、そのクロック端子には取り込みクロッ
ク(CLKA Capture )が入力される。F/F28の出
力はバウンダリスキャン用テストデータを出力するため
にTDO( Test Data Output )端子に接続されるととも
にF/F29の入力に接続される。F/F29のクロッ
ク端子にはクロック(CLKB Update ) が入力され、
F/F29の出力はMUX27の一方の端子に入力さ
れ、他方の端子にはIN端子から接続線が接続され、制
御端子にはモード切換信号を入力するためのMode端
子が接続される。MUX27の出力は通常のI/Oピン
への出力データ信号もしくはシステムロジック回路12
への出力信号の出力するためのOUT端子に接続され
る。
Such a boundary scan register 1
6 is shown in FIGS. 2 (a) and 2 (b). Figure 2
The register 16 shown in (a) has two multiplexers (MUX) 26, 27 and two flip-flop circuits (F / F) 28, 29. One input of the MUX 26 is an IN terminal for inputting a normal data signal or scan path test data from a normal I / O pin or output data from the system logic circuit 12, and the other input is for boundary scan. A TDI (Test Data Input) terminal for inputting test data is connected, and a shift / load (S / L) terminal is connected to its control terminal. The output of the MUX 26 is connected to the input terminal of the F / F 28, and the capture clock (CLKA Capture) is input to its clock terminal. The output of the F / F 28 is connected to the TDO (Test Data Output) terminal for outputting the boundary scan test data, and is also connected to the input of the F / F 29. The clock (CLKB Update) is input to the clock terminal of the F / F 29,
The output of the F / F 29 is input to one terminal of the MUX 27, the connection terminal is connected to the other terminal from the IN terminal, and the Mode terminal for inputting the mode switching signal is connected to the control terminal. The output of the MUX 27 is an output data signal to a normal I / O pin or the system logic circuit 12
Is connected to the OUT terminal for outputting an output signal to.

【0020】図2(a)に示すバウンダリスキャンレジ
スタ16は、通常動作時には、Mode信号によってM
UX27を制御してIN端子から入力された通常データ
をそのままOUT端子から出力する機能を有する。ま
た、レジスタ16は、バウンダリスキャンテスト時には
S/L信号の制御によってMUX26の入力として選択
された、TDI端子から入力されたバウンダリスキャン
用テストデータをCLKAから入力されたクロックに従
ってF/F28に保持して、TDO端子から出力する機
能をもつ。さらに、レジスタ16はスキャンパステスト
時には、入力側で、MUX26によって選択された、I
N端子から入力されたスキャンパステストデータをF/
F28に保持し、TDO端子からシリアル出力する機
能、あるいは出力側で、MUX26によって選択され
た、TDI端子から入力されたスキャンパステストデー
タをF/F28およびF/F29に保持し、クロックC
LKAおよびCLKBに従ってシフトし、MUX27に
よってOUT端子からパラレル出力する機能を有する。
The boundary scan register 16 shown in FIG. 2A is operated by the Mode signal during the normal operation.
It has a function of controlling the UX 27 and directly outputting the normal data input from the IN terminal from the OUT terminal. Also, the register 16 holds the boundary scan test data input from the TDI terminal, which is selected as an input of the MUX 26 by the control of the S / L signal during the boundary scan test, in the F / F 28 according to the clock input from CLKA. And has a function of outputting from the TDO terminal. Further, the register 16 is selected by the MUX 26 on the input side during the scan path test.
The scan path test data input from the N terminal is F /
A function of holding in the F28 and serially outputting from the TDO terminal, or holding the scan path test data input from the TDI terminal selected by the MUX 26 on the output side in the F / F28 and F / F29, and using the clock C
It has a function of shifting according to LKA and CLKB and outputting in parallel from the OUT terminal by the MUX 27.

【0021】図2(b)に示すバウンダリスキャンレジ
スタ17は、図2(a)に示すバウンダリスキャンレジ
スタ16と、IN端子がインバータ30を介してMUX
27の一方の入力端子に接続され、MUX27の反転出
力がOUT端子に接続されるとともにMUX26の一方
の入力端子に接続され、F/F29の反転出力(Qバ
ー)がMUX27の他方の入力端子に接続される点を除
いて、全く同様であるので、詳細な説明は省略する。こ
こで、例えば、バウンダリスキャンレジスタ16を入力
用および出力用の両方に用いてもよいが、例えば、バウ
ンダリスキャンレジスタ17を入力用、バウンダリスキ
ャンレジスタ16を出力用とすることもあるいはバウン
ダリスキャンレジスタ17を入出力用として用いてもよ
いことはもちろんである。
The boundary scan register 17 shown in FIG. 2B is the same as the boundary scan register 16 shown in FIG.
27 is connected to one input terminal of MUX 27, the inverted output of MUX 27 is connected to the OUT terminal and is also connected to one input terminal of MUX 26, and the inverted output (Q bar) of F / F 29 is to the other input terminal of MUX 27. It is exactly the same except that it is connected, and detailed description thereof will be omitted. Here, for example, the boundary scan register 16 may be used for both input and output, but, for example, the boundary scan register 17 may be used for input and the boundary scan register 16 may be used for output, or the boundary scan register 17 may be used. Of course, may be used for input / output.

【0022】本発明のテスト回路10においては、この
ようなバウンダリスキャンレジスタ16および/または
17を用いて、入力用および出力用がそれぞれ互いにと
なり合うように接続し、スキャンテスト時に入力側およ
び出力側共に同数だけ(図示例では4個の場合を示
す)、パラレル/シリアル変換器(シリアル/パラレル
変換器も含む)22および24として使用することがで
きる。従って、本発明のテスト回路10においては、ス
キャンパステスト時、スキャンテストデータの入力(ス
キャンイン)およびスキャンテストデータの出力(スキ
ャンアウト)は、通常の入出力ピンからパラレルに行う
ことができる。従って、本発明においては、スキャン方
式の入出力用テストピン(スキャンインおよびスキャン
アウト)を設ける必要がなく、また、記述するテストパ
ターン数を減らすことができる。
In the test circuit 10 of the present invention, such boundary scan registers 16 and / or 17 are used to make connections for input and output so as to be mutually adjacent, and for input side and output side during the scan test. Both can be used as parallel / serial converters (including serial / parallel converters) 22 and 24 in the same number (four in the illustrated example). Therefore, in the test circuit 10 of the present invention, during the scan path test, scan test data input (scan in) and scan test data output (scan out) can be performed in parallel from normal input / output pins. Therefore, in the present invention, it is not necessary to provide scan type input / output test pins (scan in and scan out), and the number of test patterns to be described can be reduced.

【0023】すなわち、従来のスキャンパスにおいて
は、スキャンインにおいて、1本のテストピンから例え
ば、1010という4パターンのスキャンテストデータ
を1ビットずつシステムロジック回路12(内部スキャ
ンレジスタ20)に入力して行く。これに対し、本発明
においては、図1に示すパラレル/シリアル変換器22
を構成する4つのバウンダリスキャンレジスタ16に、
例えば1010という1つのテストデータパターンをパ
ラレルに入力し、その後4クロックシフトさせてこれら
のテストデータを順次内部スキャンレジスタ20にロー
ドさせていく。従って、本発明ではテストパターンとし
て記述するものは1パターンのみで、後はクロックによ
ってシフトさせるだけで4個、すなわち4パターンの1
ビットスキャンテストデータを内部スキャンレジスタ2
0にロードし、スキャンテストを行うことができるの
で、システムロジック回路12内でスキャンテストを行
うパターン数は変わらないが、記述するテストパターン
数を従来に4パターンから1パターンに減らすことがで
きる。従って、本発明では従来に比べテスト設計が容易
となり、開発負荷が軽減される。
That is, in the conventional scan path, in scan-in, four patterns of scan test data, for example, 1010 are input bit by bit to the system logic circuit 12 (internal scan register 20) from one test pin. go. On the other hand, in the present invention, the parallel / serial converter 22 shown in FIG.
To the four boundary scan registers 16 that make up
For example, one test data pattern of 1010 is input in parallel, and after that, four clocks are shifted and these test data are sequentially loaded into the internal scan register 20. Therefore, in the present invention, only one pattern is described as the test pattern, and thereafter, only four patterns are shifted by the clock, that is, one of the four patterns.
Internal scan register 2 for bit scan test data
Since the scan test can be performed by loading 0 into the 0, the number of patterns to be scan-tested in the system logic circuit 12 does not change, but the number of test patterns to be described can be reduced from 4 patterns to 1 pattern conventionally. Therefore, in the present invention, the test design becomes easier and the development load is reduced as compared with the conventional case.

【0024】特に、大規模な論理回路、例えば内部スキ
ャンレジスタのフリップフロップ(F/F)の段数が3
00段程度のものでは、従来のものでは、テストデータ
を内部スキャンレジスタ20にロードするのに300ク
ロック分(300シフトレジスタ分)だけのテストパタ
ーンを用意し、300周期のパターンを記述してシリア
ルに入力する必要があるのに対し、本発明では、バウン
ダリスキャンレジスタ16の10個分をパラレル/シリ
アル変換器22として用いることにより、単純に30パ
ターンを記述した後、1つのパターン内はテストクロッ
クによりシフトさせ、内部スキャンレジスタ20にロー
ドできるので、テストパターン作成作業の効率が上が
り、テスト設計が極めて軽減される。また入力側(パラ
レル/シリアル変換器22)および出力側(シリアル/
パラレル変換器24)のバウンダリスキャンレジスタ1
6の数を同数とすることにより、テストクロックに従っ
てデータインとデータアウトを平行に行うことができる
ので、自動パターン生成(ATPG: Automatically T
est Patern Program Generator )を適用することが容易
である。
In particular, a large-scale logic circuit, for example, the number of flip-flops (F / F) of the internal scan register is three.
In the case of about 00 stages, in the conventional one, a test pattern of only 300 clocks (300 shift registers) is prepared to load the test data into the internal scan register 20, and a pattern of 300 cycles is described and serialized. On the other hand, in the present invention, by using ten boundary scan registers 16 as the parallel / serial converter 22, 30 patterns are simply described, and then a test clock is written in one pattern. Since it can be shifted and loaded into the internal scan register 20, the efficiency of test pattern creation work is improved and the test design is significantly reduced. The input side (parallel / serial converter 22) and the output side (serial / serial converter)
Boundary scan register 1 of parallel converter 24)
By setting the number of 6 to the same number, data in and data out can be performed in parallel in accordance with the test clock, so automatic pattern generation (ATPG: Automatically T
est Patern Program Generator) is easy to apply.

【0025】次に、バウンダリスキャンロジック回路1
4の一実施例を図3に示す。同図に示す回路14は、バ
ウンダリスキャンへのデータの入出力およびコントロー
ルを行うためのテストアクセスポート(TAP)18
と、TAPコントローラ34と、命令レジスタ36と、
命令デコーダ38と、バイパスレジスタ40と、MUX
42および43と、トランスファーゲート(EN)44
とを有する。ここで、バウンダリスキャンは、上述のT
AP32、TAPコントローラ34、命令レジスタ36
と、命令デコーダ38およびバイパスレジスタ40の
他、レジスタとしてバウンダリスキャンレジスタ16、
および図示しないが部品の製造番号等をセットし、ボー
ド上で識別できるようにするためのデバイス識別レジス
タとその他、システムで使用するための内部レジスタ
(内部スキャンレジスタ20を含む)などによって構成
される。
Next, the boundary scan logic circuit 1
4 is shown in FIG. The circuit 14 shown in the figure includes a test access port (TAP) 18 for inputting / outputting data to / from the boundary scan and controlling the data.
, TAP controller 34, instruction register 36,
Instruction decoder 38, bypass register 40, MUX
42 and 43 and transfer gate (EN) 44
Have and. Here, the boundary scan is the above-mentioned T
AP32, TAP controller 34, instruction register 36
In addition to the instruction decoder 38 and the bypass register 40, the boundary scan register 16 as a register,
Although not shown, the device identification register is provided to set the serial number of the component and the like so that it can be identified on the board, and other internal registers (including the internal scan register 20) for use in the system. .

【0026】TAPは、バウンダリスキャンテストデー
タを入力するテストデータ入力ポート(TDI: Test
Data In ) 、バウンダリスキャンテストデータを出力す
るテストデータ出力ポート(TDO: Test Data Out
)、バウンダリスキャンテスト時のTAPコントローラ
34のためのテストクロックポート(TCK: Test Cl
ock ) およびテストモード/ノーマル動作モードの選択
をコントロールするためのテストモード選択ポート(T
MS: Test Mode Select ) の4つの必須の信号ポート
と、TAPコントローラ34をリセットするためのテス
トリセット(TRST: Test Reset ) の1つのオプシ
ョンポートとからなる。TAPコントローラ34は、T
MS信号およびTCK信号を受けて、命令レジスタ3
6、命令デコーダ38、バイパスレジスタ40、MUX
43、EN44などのクロック信号および制御信号を制
御し、バウンダリスキャンの制御を行うものである。命
令レジスタ36および命令デコーダ38は、TAPコン
トローラ34から命令を読み込み、テスト時の選択、デ
ータレジスタ(バウンダリスキャンレジスタ16、バイ
パスレジスタ40など)へのアクセスを行うものであ
る。バイパスレジスタ40は1ビットのレジスタでバイ
パス経路として使用するものである。
TAP is a test data input port (TDI: Test) for inputting boundary scan test data.
Data In), a test data output port (TDO: Test Data Out) that outputs boundary scan test data
), A test clock port (TCK: Test Cl) for the TAP controller 34 during the boundary scan test.
ock) and a test mode select port (T) for controlling the selection of test mode / normal operation mode.
It consists of four essential signal ports of MS: Test Mode Select) and one optional port of Test Reset (TRST: Test Reset) for resetting the TAP controller 34. The TAP controller 34 is
The instruction register 3 receives the MS signal and the TCK signal.
6, instruction decoder 38, bypass register 40, MUX
A clock signal and a control signal such as 43 and EN44 are controlled to control the boundary scan. The instruction register 36 and the instruction decoder 38 read an instruction from the TAP controller 34, select at the time of test, and access the data register (the boundary scan register 16, the bypass register 40, etc.). The bypass register 40 is a 1-bit register and is used as a bypass path.

【0027】なお、命令レジスタ36は、少なくとも2
ビットが必要で、バイパスレジスタ40を選択し、通常
動作の内部論理回路のテストを行うノーマルモード(N
ORMAL)と、サンプルテストのためにバウンダリス
キャンレジスタ16を選択し、チップ(LSI11)を
通常動作させながら特定のタイミングで入出力信号を取
り込み故障診断を行うサンプルモード(SAMPLE)
と、外部テストのためにバウンダリスキャンレジスタ1
6を選択し、チップ間の配線のテストを行うイクステス
トモード(EXTEST)とを選択するための3つの命
令が読み込まれる。この他、オプションとして、インテ
ストモード(INTEST)、アイディーコード(ID
CODE)、ユーザコード(USERCODE)などの
命令を設けることもできる。
The instruction register 36 has at least 2
A bit is required, the bypass register 40 is selected, and the internal logic circuit for normal operation is tested.
(ORMAL) and a sample mode (SAMPLE) in which the boundary scan register 16 is selected for the sample test and the input / output signals are fetched at a specific timing while the chip (LSI 11) is normally operated.
Boundary scan register 1 for external test
Three instructions for selecting 6 and an EXTEST mode for testing the wiring between chips are read. In addition, intest mode (INTEST), ID code (ID
It is also possible to provide instructions such as CODE) and user code (USERCODE).

【0028】LSI11内のスキャン方式およびバウン
ダリスキャン方式を併用する本発明のテスト回路10は
基本的に以上のように構成されるが、これらのテスト回
路10をボード上に配列した場合の一実施例を図4に示
す。図4に示すテスト回路50は4つのLSI11a、
11b、11cおよび11dをボード51上に配列した
もので、バウンダリスキャンでは前段のTDOが後段の
TDIに接続され、ボード51へのTDIからボード5
1からのTDOまで1パスを構成する。一方、テスト回
路50におけるスキャンパスも、前段のパラレルSCA
N OUTが後段のパラレルSCAN INに接続さ
れ、各々パラレルに1パスを構成する。こうしてボード
51上でのバウンダリスキャン方式およびスキャン方式
のテストを行うことができる。
The test circuit 10 of the present invention, which uses both the scan method and the boundary scan method in the LSI 11, is basically constructed as described above. One embodiment in which these test circuits 10 are arranged on a board Is shown in FIG. The test circuit 50 shown in FIG. 4 includes four LSIs 11a,
11b, 11c, and 11d are arranged on the board 51. In the boundary scan, the TDO in the front stage is connected to the TDI in the rear stage, and the TDI from the board 51 to the board 5 is connected.
Construct one path from 1 to TDO. On the other hand, the scan path in the test circuit 50 is also the parallel SCA of the preceding stage.
N OUT is connected to the parallel SCAN IN in the subsequent stage, and each constitutes one path in parallel. In this way, the boundary scan method and the scan method test can be performed on the board 51.

【0029】本発明に係るテスト回路は基本的に以上の
ように構成されるものであるが、本発明はこれに限定さ
れず、本発明の要旨を逸脱しない範囲において種々の改
良や設計の変更が可能なことはもちろんである。
The test circuit according to the present invention is basically constructed as described above, but the present invention is not limited to this, and various improvements and design changes are made without departing from the gist of the present invention. Of course, it is possible.

【0030】[0030]

【発明の効果】以上、詳述したように、本発明によれ
ば、スキャンパス等のスキャン方式のテストを行う際
に、スキャンテストデータの入出力(スキャンインおよ
びスキャンアウト)を通常の入出力ピンからパラレル/
シリアル変換器を構成するように設けられた複数のバウ
ンダリスキャンレジスタにパラレルに行うことができる
ので、従来用いる必要があったスキャンデータ入出力
(スキャンイン、スキャンアウト)用のテストピンを設
ける必要がなくなり、テストピンの数を減らすことがで
き、回路設計を容易にすることができる。
As described above in detail, according to the present invention, the input / output of the scan test data (scan-in and scan-out) is performed when the scan method such as the scan path is tested. Pin to parallel /
Since it is possible to perform parallel processing on a plurality of boundary scan registers provided so as to configure a serial converter, it is necessary to provide a test pin for scan data input / output (scan in, scan out) which has conventionally been required. No more, the number of test pins can be reduced, and circuit design can be facilitated.

【0031】また、本発明によれば、スキャンパス等の
スキャンテスト時において、内部スキャンレジスタへの
スキャンテストデータのロードおよびアンロードはシリ
アルに行われるのに対し、スキャンテストデータをパラ
レル/シリアル変換器を構成する複数のバウンダリスキ
ャンレジスタにパラレルに入出力することができるの
で、記述するテストパターン数を減らすという効果も得
ることができ、その結果、テスト設計が容易となり、開
発負荷を軽減できる。このため、本発明においては、ス
キャン方式とバウンダリスキャン方式との両方式を併用
するテスト回路であるにもかかわらず、スキャンテスト
パターンを自動パターン生成(ATPG)によって行う
ことも可能となる。
Further, according to the present invention, during the scan test of the scan path or the like, the loading and unloading of the scan test data to the internal scan register is performed serially, whereas the scan test data is converted from parallel / serial. Since it is possible to input and output in parallel to a plurality of boundary scan registers that configure the device, it is possible to obtain the effect of reducing the number of test patterns to be described, and as a result, the test design becomes easy and the development load can be reduced. Therefore, in the present invention, although the test circuit uses both the scan method and the boundary scan method, it is possible to perform the scan test pattern by automatic pattern generation (ATPG).

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明に係るテスト回路を持つ半導体集積回路
(LSI)の一実施例の概念構成図である。
FIG. 1 is a conceptual configuration diagram of an embodiment of a semiconductor integrated circuit (LSI) having a test circuit according to the present invention.

【図2】(a)および(b)は、それぞれ本発明のテス
ト回路に用いられるバウンダリスキャンレジスタの構成
を示すブロック図である。
FIGS. 2A and 2B are block diagrams showing a configuration of a boundary scan register used in the test circuit of the present invention.

【図3】図1に示すテスト回路のバウンダリスキャンロ
ジック回路の一実施例の構成を示すブロック図である。
3 is a block diagram showing a configuration of an embodiment of a boundary scan logic circuit of the test circuit shown in FIG.

【図4】本発明に係るテスト回路のボード上における構
成の一実施例を示す概念図である。
FIG. 4 is a conceptual diagram showing an example of a configuration on a board of a test circuit according to the present invention.

【図5】従来のテスト回路の概念構成図である。FIG. 5 is a conceptual configuration diagram of a conventional test circuit.

【符号の説明】[Explanation of symbols]

10、50 テスト回路 11、11a、11b、11c、11d LSI(半導
体集積回路) 12 システムロジック回路 14 バウンダリスキャンロジック回路 16、17 バウンダリスキャンレジスタ 18 テストアクセスポート(TAP) 20 内部スキャンレジスタ 22、24 パラレル/シリアル変換器(シリアル/パ
ラレル変換器) 26、27、42、43 マルチプレクサ(MUX) 28、29 フリップフロップ(F/F) 30 インバータ 34 TAPコントローラ 36 命令レジスタ 38 命令デコーダ 40 バイパスレジスタ 51 ボード(半導体基板)
10, 50 Test circuit 11, 11a, 11b, 11c, 11d LSI (semiconductor integrated circuit) 12 System logic circuit 14 Boundary scan logic circuit 16, 17 Boundary scan register 18 Test access port (TAP) 20 Internal scan register 22, 24 Parallel / Serial converter (serial / parallel converter) 26, 27, 42, 43 multiplexer (MUX) 28, 29 flip-flop (F / F) 30 inverter 34 TAP controller 36 instruction register 38 instruction decoder 40 bypass register 51 board (semiconductor substrate)

Claims (1)

【特許請求の範囲】[Claims] 【請求項1】バウンダリスキャン方式とスキャン方式と
を併用するテスト回路であって、スキャンテスト時にバ
ウンダリスキャンレジスタの少なくとも1部をパラレル
/シリアル変換器として用い、スキャンデータの入出力
をこの変換器を通して行うことを特徴とするテスト回
路。
1. A test circuit using both the boundary scan method and the scan method, wherein at least a part of the boundary scan register is used as a parallel / serial converter during a scan test, and input / output of scan data is performed through this converter. A test circuit characterized by being performed.
JP5162316A 1993-06-30 1993-06-30 Test circuit Withdrawn JPH0763821A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP5162316A JPH0763821A (en) 1993-06-30 1993-06-30 Test circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5162316A JPH0763821A (en) 1993-06-30 1993-06-30 Test circuit

Publications (1)

Publication Number Publication Date
JPH0763821A true JPH0763821A (en) 1995-03-10

Family

ID=15752212

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5162316A Withdrawn JPH0763821A (en) 1993-06-30 1993-06-30 Test circuit

Country Status (1)

Country Link
JP (1) JPH0763821A (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10185999A (en) * 1996-12-25 1998-07-14 Nec Corp Test circuit and test method
JP2001208800A (en) * 2000-01-28 2001-08-03 Samsung Electronics Co Ltd Semiconductor integrated circuit device provied with scan signal conversion circuit
US6556037B2 (en) 2001-04-09 2003-04-29 Mitsubishi Denki Kabushiki Kaisha Semiconductor integrated circuit and test board
JP2004510989A (en) * 2000-10-02 2004-04-08 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Test access port (TAP) controller system and method for debugging internal intermediate scan test failures
DE102004043063A1 (en) * 2004-09-06 2006-03-23 Infineon Technologies Ag Semiconductor device e.g. ROM, operating method, involves operating pin of device in normal operation mode as application-function-pin, where pin is operated in two test operating modes as test pin and application-function pin, respectively
JP2008164470A (en) * 2006-12-28 2008-07-17 Fujitsu Ltd Method and apparatus for scanning internal latch in integrated circuit, and the integrated circuit
JP2009236879A (en) * 2008-03-28 2009-10-15 Fujitsu Ltd Scan control method, scan control circuit and device
WO2012042586A1 (en) * 2010-09-27 2012-04-05 富士通株式会社 Integrated circuit

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10185999A (en) * 1996-12-25 1998-07-14 Nec Corp Test circuit and test method
US6079039A (en) * 1996-12-25 2000-06-20 Nec Corporation Test circuit and test method for testing semiconductor chip
JP2001208800A (en) * 2000-01-28 2001-08-03 Samsung Electronics Co Ltd Semiconductor integrated circuit device provied with scan signal conversion circuit
JP2004510989A (en) * 2000-10-02 2004-04-08 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Test access port (TAP) controller system and method for debugging internal intermediate scan test failures
US6556037B2 (en) 2001-04-09 2003-04-29 Mitsubishi Denki Kabushiki Kaisha Semiconductor integrated circuit and test board
DE102004043063A1 (en) * 2004-09-06 2006-03-23 Infineon Technologies Ag Semiconductor device e.g. ROM, operating method, involves operating pin of device in normal operation mode as application-function-pin, where pin is operated in two test operating modes as test pin and application-function pin, respectively
DE102004043063B4 (en) * 2004-09-06 2008-10-23 Infineon Technologies Ag Method for operating a semiconductor device with a test module
JP2008164470A (en) * 2006-12-28 2008-07-17 Fujitsu Ltd Method and apparatus for scanning internal latch in integrated circuit, and the integrated circuit
JP2009236879A (en) * 2008-03-28 2009-10-15 Fujitsu Ltd Scan control method, scan control circuit and device
WO2012042586A1 (en) * 2010-09-27 2012-04-05 富士通株式会社 Integrated circuit
JPWO2012042586A1 (en) * 2010-09-27 2014-02-03 富士通株式会社 Integrated circuit

Similar Documents

Publication Publication Date Title
US10928445B2 (en) Boundary scan and wrapper circuitry with state machine and multiplexers
US7624321B2 (en) IEEE 1149.1 and P1500 test interfaces combined circuits and processes
US6000051A (en) Method and apparatus for high-speed interconnect testing
US6631504B2 (en) Hierarchical test circuit structure for chips with multiple circuit blocks
US7203913B2 (en) Semiconductor integrated circuit device, method of testing the same, database for design of the same and method of designing the same
TW508445B (en) Hierarchical test circuit structure for chips with multiple circuit blocks
US7181705B2 (en) Hierarchical test circuit structure for chips with multiple circuit blocks
EP1275183A1 (en) Method and apparatus for providing optimized access to circuits for debug, programming, and test
JPH0763821A (en) Test circuit
JP3043871B2 (en) Semiconductor integrated circuit
KR20030027989A (en) Chip testing apparatus
JP2001203322A (en) Semiconductor integrated device
KR100408083B1 (en) Advanced tap linking module apparatus for testing system on chip comprising ip cores
JP2001194422A (en) Integrated circuit
Spruth et al. Design for Testability
DESIGN Chapter Three: Design for Test (DFT)
JP2002323542A (en) Boundary scan register

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20000905