JP3043871B2 - Semiconductor integrated circuit - Google Patents

Semiconductor integrated circuit

Info

Publication number
JP3043871B2
JP3043871B2 JP3306385A JP30638591A JP3043871B2 JP 3043871 B2 JP3043871 B2 JP 3043871B2 JP 3306385 A JP3306385 A JP 3306385A JP 30638591 A JP30638591 A JP 30638591A JP 3043871 B2 JP3043871 B2 JP 3043871B2
Authority
JP
Japan
Prior art keywords
test
instruction
boundary scan
input
circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP3306385A
Other languages
Japanese (ja)
Other versions
JPH05142307A (en
Inventor
エドワード ビッセル ブレレトン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP3306385A priority Critical patent/JP3043871B2/en
Publication of JPH05142307A publication Critical patent/JPH05142307A/en
Application granted granted Critical
Publication of JP3043871B2 publication Critical patent/JP3043871B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は半導体集積回路のテスト
容易化設計に係り、特にスキャン設計との整合性に留意
し、高速にスキャンテストを実現する半導体集積回路に
関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a design for facilitating test of a semiconductor integrated circuit, and more particularly to a semiconductor integrated circuit which realizes a high-speed scan test while keeping consistency with a scan design.

【0002】[0002]

【従来の技術】近年、半導体集積回路の高密度化に伴
い、回路のテスト容易化の手法が益々重要になって来て
いる。順序回路の故障テストを組み合わせ回路の故障テ
ストとして行なうために、スキャンパスを持つ順序回路
に拡大するテスト容易設計手法(スキャンパス設計また
は単にスキャン設計と呼ばれる)が広く用いられてい
る。また最近では、半導体集積回路の実装基板及び半導
体集積回路自体を容易にテストできる手法として、バウ
ンダリスキャンと一般に呼ばれるテスト手法が存在する
が、本手法に関して、国際的な標準化案(I.E.E.E. 114
9.1 )がJTAG(the Joint Test Action Group )と
呼ばれる組織から提案されている。
2. Description of the Related Art In recent years, as the density of semiconductor integrated circuits has increased, techniques for facilitating circuit tests have become increasingly important. In order to perform a failure test of a sequential circuit as a failure test of a combinational circuit, an easy-to-test design method (referred to as scan path design or simply scan design) that extends to a sequential circuit having a scan path is widely used. Recently, as a method for easily testing a mounting substrate of a semiconductor integrated circuit and the semiconductor integrated circuit itself, there is a test method generally called a boundary scan. However, regarding this method, an international standardization proposal (IEEE 114) has been proposed.
9.1) has been proposed by an organization called JTAG (the Joint Test Action Group).

【0003】図6に示すように、このバウンダリスキャ
ンを採用したボードでは、予め、チップの入出力セルに
テスト用回路を内蔵させておき、テスト時に、これらの
チップを直列につなげると、テストデータの通り道(ス
キャンパス)が構成され、エッジコネクタ(スキャンイ
ン、スキャンアウト)からチップをアクセスできる。こ
のため、ボード上の個別のLSIのテストが、シフト動
作により可能で、ボード上の配線のテストが、シフト動
作により可能となり、LSI製造のウェハテストにおい
て、多端子固定カードが不要である等の利点を持つ。
As shown in FIG. 6, in a board adopting the boundary scan, a test circuit is previously built in the input / output cells of the chip, and when these chips are connected in series at the time of testing, the test data is reduced. (Scan path) is configured, and the chip can be accessed from the edge connector (scan in, scan out). For this reason, a test of an individual LSI on a board can be performed by a shift operation, a test of wiring on a board can be performed by a shift operation, and a multi-terminal fixed card is unnecessary in a wafer test of LSI manufacture. With benefits.

【0004】JTAGから提案された標準化案のテスト
回路の基本構造は、図7に示すような構成をとってい
る。このテスト回路は簡単なCPUのような構造となっ
ており、命令レジスタIRにロードする命令によってテ
スト機能が変化するようになっている。本案に含まれる
テスト用レジスタは、命令レジスタIRと、データレジ
スタDRの2種類に大別される。尚、データレジスタD
Rは、同図に示すように、バウンダリスキャン用レジス
タを始めとする複数のテスト用データレジスタの総称で
ある。テスト回路の全体の制御は、命令レジスタIRと
TAP(Test Access Port)コントローラTAPCによ
って行なわれる。TAPコントローラTAPCは全部で
16個の状態を持つコントローラであって、基本的には
TMSと呼ばれるテストモード設定入力値とテストクロ
ックTCKによって制御される。つまり、テスト回路全
体は、命令レジスタIRの命令及びTAPコントローラ
TAPCの状態によってテスト機能が決定される。
[0004] The basic structure of the test circuit of the standardization proposal proposed by JTAG has a configuration as shown in FIG. This test circuit has a structure similar to a simple CPU, and the test function changes according to an instruction to be loaded into the instruction register IR. The test registers included in the present invention are roughly classified into two types: an instruction register IR and a data register DR. The data register D
R is a generic name of a plurality of test data registers including a boundary scan register as shown in FIG. The entire control of the test circuit is performed by an instruction register IR and a TAP (Test Access Port) controller TAPC. The TAP controller TAPC is a controller having a total of 16 states, and is basically controlled by a test mode setting input value called TMS and a test clock TCK. That is, the test function of the entire test circuit is determined by the instruction in the instruction register IR and the state of the TAP controller TAPC.

【0005】図8にTAPコントローラTAPCの状態
遷移図を示す。それぞれの状態の詳細については省略す
るが、簡単に重要な部分のみ以下に説明する。
FIG. 8 shows a state transition diagram of the TAP controller TAPC. The details of each state are omitted, but only important parts will be described briefly below.

【0006】先ず TEST-LOGIC-RESET 状態は、TAPコ
ントローラTAPCの基底状態で、この状態においては
回路全体の動作にテスト論理は関与せず、通常動作を行
なう。
First, the TEST-LOGIC-RESET state is the base state of the TAP controller TAPC. In this state, the test logic does not participate in the operation of the entire circuit and normal operation is performed.

【0007】TAPコントローラTAPCは、次の RUN
-TEST/IDLE 状態を経由して、2つのレジスタ群である
命令レジスタIRか、データレジスタDRのどちらかを
動作させる状態に入ることができる。命令レジスタIR
及びデータレジスタDRの動作のそれぞれに相当するT
APコントローラTAPCの状態遷移は基本的に同仕様
となっている。
The TAP controller TAPC executes the following RUN
Via the -TEST / IDLE state, a state can be entered in which either one of the two register groups, the instruction register IR or the data register DR, is operated. Instruction register IR
And T corresponding to each operation of the data register DR.
The state transition of the AP controller TAPC basically has the same specification.

【0008】SELECT-IR-SCAN 或いは SELECT-DR-SCAN
状態は、それぞれ命令レジスタIRまたはデータレジス
タDRのスキャンシーケンスを初期化する。
[0008] SELECT-IR-SCAN or SELECT-DR-SCAN
The state initializes the scan sequence of the instruction register IR or the data register DR, respectively.

【0009】次に CAPTURE-IR 及び CAPTURE-DR状態
は、データをロードするモードであり、 CAPTURE-DR 状
態の場合、現在命令レジスタIRによって選ばれている
データレジスタDRに何等かのデータがロードされる。
また CAPTURE-IR 状態の場合には、命令レジスタIR中
のシフトレジスタに固定パターンを取り込む。尚、命令
レジスタセルの下位2ビットは”01”固定である。
Next, the CAPTURE-IR and CAPTURE-DR states are modes for loading data. In the CAPTURE-DR state, some data is loaded into the data register DR currently selected by the instruction register IR. You.
In the case of the CAPTURE-IR state, a fixed pattern is taken into the shift register in the instruction register IR. The lower two bits of the instruction register cell are fixed to "01".

【0010】SHIFT-IR 或いは SHIFT-DR 状態は、それ
ぞれ命令レジスタIRまたはデータレジスタDRのデー
タをシフトできる状態である。即ち、 SHIFT-DR 状態の
場合、データレジスタDRをテスト専用共通入力端子T
DIとテスト専用共通出力端子TDOの間に接続し、テ
ストクロックTCKが立ち上がる毎にデータを1個ずつ
テスト専用共通出力TDOの方にシフトする。また SHI
FT-IR 状態の場合、命令レジスタIR中のシフトレジス
タをテスト専用共通入力端子TDIとテスト専用共通出
力端子TDOの間に接続し、テストクロックTCKが立
ち上がる毎にデータをテスト専用共通出力TDOの方に
シフトする。
The SHIFT-IR or SHIFT-DR state is a state in which data in the instruction register IR or the data register DR can be shifted, respectively. That is, in the SHIFT-DR state, the data register DR is connected to the test common input terminal T.
It is connected between DI and the test-dedicated common output terminal TDO, and shifts data one by one toward the test-dedicated common output TDO each time the test clock TCK rises. Also SHI
In the case of the FT-IR state, the shift register in the instruction register IR is connected between the test-dedicated common input terminal TDI and the test-dedicated common output terminal TDO, and the data is transferred to the test-dedicated common output TDO every time the test clock TCK rises. Shift to

【0011】EXIT-1-IR, EXIT-2-IR, EXIT-1-DR, 及び
EXIT-2-DR 状態では、スキャンを終了する。
EXIT-1-IR, EXIT-2-IR, EXIT-1-DR, and
In the EXIT-2-DR state, the scan ends.

【0012】PAUSE-IR 或いは PAUSE-DR 状態では、テ
スト専用共通入力端子TDIとテスト専用共通出力端子
TDO間のシリアルパスにおける命令レジスタIR、或
いはデータレジスタDRのシフト動作を休止する。外部
記憶装置からテスト機構内のメモリに新しいパターンを
ロードする場合等に使う。
In the PAUSE-IR or PAUSE-DR state, the shift operation of the instruction register IR or the data register DR in the serial path between the test dedicated common input terminal TDI and the test dedicated common output terminal TDO is suspended. Used to load a new pattern from the external storage device to the memory in the test mechanism.

【0013】また UPDATE-IR 或いは UPDATE-DR状態
は、それぞれ命令レジスタIRまたはデータレジスタD
Rを更新するモードである。命令レジスタIRを始めと
して数種類のレジスタ群は、データをシフトした時点で
はその効果を発揮せず、 UPDATE-IR 或いは UPDATE-DR
モードで始めて機能するようになっている。
[0013] The UPDATE-IR or UPDATE-DR state indicates the status of the instruction register IR or data register D, respectively.
In this mode, R is updated. Several types of registers, such as the instruction register IR, do not exhibit their effect when data is shifted, and UPDATE-IR or UPDATE-DR
It works in mode only.

【0014】次に、データレジスタDRについて説明す
る。
Next, the data register DR will be described.

【0015】バウンダリスキャンレジスタBSRは、バ
ウンダリスキャンテストの基本概念であるところの外部
端子に対応したシリアルシフト機能を実現させるための
シフトレジスタであり、周辺セル(外部端子)の種類
(入力、出力、トライステート、双方向)によって異な
る回路構造のものが用意されるが、回路全体としては1
本のシリアルシフトレジスタとして形成される。
The boundary scan register BSR is a shift register for realizing a serial shift function corresponding to an external terminal, which is a basic concept of the boundary scan test, and includes types (input, output, Although a circuit having a different circuit structure is prepared depending on the tristate or bidirectional), the circuit as a whole has 1
It is formed as a serial shift register of a book.

【0016】バイパスレジスタBRは、回路のテスト用
の他のデータレジスタDRをバイパスさせるために設け
られるレジスタであり、回路的には1ビットのレジスタ
である。このバイパスレジスタBRは、実装ボード上に
おいて複数のJTAG仕様のLSIが更にシリアルチェ
ーンによって接続された場合を想定して、テスト対象以
外のLSIをバイパスモードにすることにより、シフト
クロックの数を減らすことを目的としている。
The bypass register BR is a register provided for bypassing another data register DR for testing a circuit, and is a 1-bit register in terms of a circuit. This bypass register BR reduces the number of shift clocks by setting the LSIs other than the test target in bypass mode, assuming that a plurality of JTAG-specific LSIs are further connected by a serial chain on the mounting board. It is an object.

【0017】デバイス識別レジスタDIRは、オプショ
ン扱いであるが、機能としてはLSIの識別番号を保持
し、テスト機器がLSIの種別を自動判別するためのも
のである。
The device identification register DIR is an option, but has a function of holding the identification number of the LSI, and is used for the test equipment to automatically determine the type of the LSI.

【0018】また、固有テストデータレジスタDSTD
Rは、テストを目的に、様々な用途に付加されるオプシ
ョン扱いのレジスタで、例えば内部スキャンレジスタ等
をこの部分に割り当てることができる。
Also, the unique test data register DSTD
R is an optional register added for various purposes for testing purposes, and an internal scan register, for example, can be assigned to this part.

【0019】次に、テスト専用端子について説明する。Next, the test-only terminal will be described.

【0020】テスト専用共通入力端子TDIは、命令レ
ジスタIR及びデータレジスタDRに共通のシリアル入
力端子として機能する。テスト専用共通出力端子TDO
は、命令レジスタIR及びデータレジスタDRに共通の
シリアル出力端子として機能する。TAPコントローラ
制御用入力端子TMSは、TAPコントローラTAPC
に接続されて、この信号値とテストクロックTCKによ
り、TAPコントローラTAPCの状態遷移が決定され
る。テスト専用共通クロック端子TCKは、データレジ
スタDR、命令レジスタIR、及びTAPコントローラ
TAPC全てに共通の同期信号として使用される。ま
た、テストリセット信号端子TRSTは、テスト構造の
初期化に使用されるが、オプション扱いとなっている。
The test-only common input terminal TDI functions as a serial input terminal common to the instruction register IR and the data register DR. Test-only common output terminal TDO
Functions as a serial output terminal common to the instruction register IR and the data register DR. The TAP controller control input terminal TMS is connected to the TAP controller TAPC.
The state transition of the TAP controller TAPC is determined by the signal value and the test clock TCK. The test-only common clock terminal TCK is used as a synchronization signal common to all of the data register DR, the instruction register IR, and the TAP controller TAPC. The test reset signal terminal TRST is used for initializing a test structure, but is treated as an option.

【0021】また、JTAG仕様では、次の7種の共通
命令を用意している。
In the JTAG specification, the following seven types of common instructions are prepared.

【0022】BYPASS 命令は、テスト専用共通入力端子
TDIとテスト専用共通出力端子TDOを接続するため
にバイパスレジスタBRだけを選択する。 EXTEST 命令
は、「外部のテストしたいチップorLSIから回路を切
り離す」。 IDCODE 命令は、デバイス識別用レジスタD
IRを選択する。 INTEST 命令は、部品内部のシステム
論理にテストデータを送り、結果を得る。 RUNBIST 命
令は、部品のBIST(自己テスト)を実行する。 SAM
PLE 命令は、システム論理を通常動作させながら、回路
動作に影響を与えることなく入出力信号を取り込む。ま
た、 USERCODE命令は、デバイス識別用レジスタにユー
ザによって書き換え可能な識別コードを試験的にロード
したり、シフトしたりする。
The BYPASS instruction selects only the bypass register BR to connect the test dedicated common input terminal TDI and the test dedicated common output terminal TDO. The EXTEST instruction “disconnects the circuit from the external chip or LSI to be tested”. The IDCODE instruction uses the device identification register D
Select IR. The INTEST instruction sends test data to the system logic inside the part and obtains the result. The RUNBIST instruction executes a BIST (self test) of the component. SAM
The PLE instruction fetches input / output signals without affecting the circuit operation while operating the system logic normally. The USERCODE instruction loads or shifts an identification code rewritable by the user into the device identification register on a trial basis.

【0023】JTAG仕様では、バウンダリスキャンレ
ジスタBSRは、入力、出力、トライステート、入出力
端子のそれぞれについて回路構成例が示されている。こ
れらに基づいて設計した入力用、出力用、イネーブル制
御用の3種類の回路構成図をそれぞれ図9、並びに図1
0(a),(b)に示す。トライステート端子及び入出
力端子に対しては、これら3種類のバウンダリスキャン
セルBSC(実際には、バウンダリスキャンレジスタB
SRは1つのセルとして扱うので、以降この語句を使用
する)を組み合わせて対応する。ここで、それぞれのセ
ルBSCがスキャンフリップフロップSFFとアップデ
ートフリップフロップUFFの2個の記憶素子を含んで
いるのは、データシフト時の外部への影響を防ぐためで
ある。つまり、 UPDATE の状態において、シフト段の記
憶素子から最終的な機能を果たす記憶素子へと初めてデ
ータが転送されるように考えられている。
According to the JTAG specifications, the boundary scan register BSR shows an example of a circuit configuration for each of the input, output, tristate, and input / output terminals. FIGS. 9 and 1 show three types of circuit configuration diagrams for input, output and enable control designed based on these.
0 (a) and (b). These three types of boundary scan cells BSC (actually, boundary scan register B)
Since the SR is treated as one cell, this term will be used hereinafter). Here, each cell BSC includes two storage elements, the scan flip-flop SFF and the update flip-flop UFF, in order to prevent an external influence upon data shift. In other words, it is considered that data is transferred for the first time from the storage element in the shift stage to the storage element performing the final function in the UPDATE state.

【0024】このようなJTAG仕様に基づくバウンダ
リスキャンテストでは、LSI上の各々の入出力端子に
対して1つのバウンダリスキャンセルBSCが存在す
る。それ故、バウンダリスキャンセルBSCを仮想的な
入出力端子として使用することができ、テストモードの
間に、論理的にLSI外部から独立とすることができ
る。
In the boundary scan test based on the JTAG specification, one boundary scan cell BSC exists for each input / output terminal on the LSI. Therefore, the boundary scan cell BSC can be used as a virtual input / output terminal, and can be logically independent from the outside of the LSI during the test mode.

【0025】通常のシステムモードでは、バウンダリス
キャンセルBSCは通過モードに置かれる。この通過モ
ードは、データをアップデートセレクタUMUXを経て
入力端子INからLSIの内部論理(端子OUT)に、
妨げられることなく渡すことが可能なモードである。し
かしながら、LSIを INTEST モード(内部テストモー
ド)とした時、テストデータはLSI内でバウンダリス
キャンセルBSCを連続的に接続して構成されるバウン
ダリスキャンチェーンを通してシフトされ、テストデー
タは、前のセルからのデータ入力をテスト専用共通入力
端子TDIから供給されてバウンダリスキャンセルBS
Cを通過し、そしてテスト専用共通出力端子TDOを経
て次のバウンダリスキャンセルに渡される。それ故、J
TAG仕様の UPDATE-DR モード(更新モード)におい
ては、テストデータを、信号UDDR(TAPコントロ
ーラTAPCからの制御信号で、 UPDATE-DR 状態であ
ることを示す)によってスキャンフリップフロップSF
FからアップデートフリップフロップUFFへ渡すこと
が可能となる。また、INTEST モードでは、アップデー
トセレクタUMUXの選択信号IMCは、アップデート
セレクタUMUXを入力端子INからのデータではな
く、アップデートフリップフロップUFFの出力を選択
するようにする。これにより、テストデータがLSIに
渡されることが可能となる。
In the normal system mode, the boundary scan cell BSC is placed in the pass mode. In this passing mode, data is transferred from the input terminal IN to the internal logic (terminal OUT) of the LSI via the update selector UMUX.
It is a mode that can be passed without interruption. However, when the LSI is in the INTEST mode (internal test mode), the test data is shifted through a boundary scan chain formed by continuously connecting boundary scan cells BSC in the LSI, and the test data is transferred from the previous cell. Is supplied from the test common input terminal TDI and the boundary scan cell BS
C, and is passed to the next boundary scan cell via the test-only common output terminal TDO. Therefore, J
In the UPDATE-DR mode (update mode) of the TAG specification, the test data is supplied to the scan flip-flop SF by a signal UDDR (which is a control signal from the TAP controller TAPC and indicates the UPDATE-DR state).
F to the update flip-flop UFF. In the INTEST mode, the selection signal IMC of the update selector UMUX causes the update selector UMUX to select not the data from the input terminal IN but the output of the update flip-flop UFF. As a result, the test data can be passed to the LSI.

【0026】次に、このようなJTAG仕様のバウンダ
リスキャンによって、内部スキャンセルを備えるスキャ
ン設計のLSIをテストする場合の問題点を指摘する。
Next, a problem will be pointed out when testing an LSI of a scan design having an internal scan cell by such a boundary scan of the JTAG specification.

【0027】バウンダリスキャンを用いてLSIをテス
トする時、適用するテストベクトルを変えることなく、
テスト中にコントロール信号を変化させる必要がある。
例えば、内部フリップフロップは、ある値をセットする
ためにそのクロック入力として立ち上がりエッジを必要
とする。この場合、フリップフロップのD入力に供給さ
れるデータはクロックの立ち上がりエッジの前後で一定
でなければならない。従って、この回路に対するテスト
値がバウンダリスキャンチェーンを通して連続的に入力
されるとすると、2つの独立したテストベクトルが必要
となる。
When testing an LSI using boundary scan, without changing the test vector to be applied,
The control signal needs to be changed during the test.
For example, an internal flip-flop requires a rising edge as its clock input to set a value. In this case, the data supplied to the D input of the flip-flop must be constant before and after the rising edge of the clock. Therefore, if test values for this circuit are continuously input through the boundary scan chain, two independent test vectors are required.

【0028】第1のテストベクトルは、システムクロッ
クの入力端子に相当するバウンダリスキャンセルから”
L”レベル(0値)を供給している間、適当なバウンダ
リスキャンセルからの適切なデータ値を供給する。第2
のテストベクトルは、再びデータ入力に正確に同じデー
タ値を供給するが、結果としてクロックの立ち上がりエ
ッジになる時、システムクロック入力に”H”レベル
(1値)を供給する。この手法を使用して、LSIは、
効果的にTAPコントローラTAPCによりテストされ
得る。
The first test vector is obtained from the boundary scan cell corresponding to the input terminal of the system clock.
While supplying the L "level (0 value), supply the appropriate data value from the appropriate boundary scan cell.
Again provides the exact same data value at the data input, but at the rising edge of the clock, providing an "H" level (one value) at the system clock input. Using this technique, LSI
It can be effectively tested by the TAP controller TAPC.

【0029】このように、JTAG仕様のTAPコント
ローラTAPCとその4つの端子(TMS、TCK、T
DI、及びTDO)のみを使用して、LSIをテストす
ることは可能である。しかしながら、この方法は、それ
がLSIをテストするために必要なテストパターンの数
を倍にするため、非常に時間のかかる手法となる。加え
て、システムクロックの立ち上がりエッジを必要とする
場合には、1つのテストサイクル全体に対して同じ値
(即ち、最初のサイクルに対して0、2番目のサイクル
に対して1)を持つので、1つのテストサイクルの間に
細かい制御ができない。
As described above, the TAP controller TAPC of the JTAG specification and its four terminals (TMS, TCK,
It is possible to test the LSI using only DI and TDO). However, this method is a very time-consuming method because it doubles the number of test patterns required to test the LSI. In addition, if a rising edge of the system clock is required, it has the same value for one entire test cycle (ie, 0 for the first cycle and 1 for the second cycle), Fine control cannot be performed during one test cycle.

【0030】説明のための具体例として、図11に示す
様な、4ビット加算器ADDERに対し、その出力側に
内部スキャンチェーンISC1〜ISC4を有するLS
Iを考える。
As a specific example for explanation, an LS having internal scan chains ISC1 to ISC4 on the output side of a 4-bit adder ADDER as shown in FIG.
Consider I.

【0031】本例のLSIは、TAPコントローラTA
PC、命令レジスタIR、命令デコーダDEC、バウン
ダリスキャンセルBSC1〜20、及び内部スキャンセ
ルISC1〜ISC4から構成されている。
The LSI of this example is a TAP controller TA
It comprises a PC, an instruction register IR, an instruction decoder DEC, boundary scan cells BSC1 to BSC20, and internal scan cells ISC1 to ISC4.

【0032】バウンダリスキャンセルBSC1〜20の
内、BSC1〜BSC7は入力端子に、BSC8〜BS
C12は出力端子に、BSC13及びBSC14〜BS
C19及びBSC20の各ペアは入出力端子にそれぞれ
相当している。即ち、BSC1〜BSC7、BSC1
4、BSC16、BSC18、及びBSC20は入力用
バウンダリスキャンセル(図9参照)であり、BSC8
〜BSC13、BSC15、BSC17、及びBSC1
9は出力用バウンダリスキャンセル(図10(a)参
照)である。
Of the boundary scan cells BSC1 to BSC20, BSC1 to BSC7 are connected to input terminals and BSC8 to BSC8.
C12 is an output terminal, BSC13 and BSC14 to BS
Each pair of C19 and BSC20 corresponds to an input / output terminal. That is, BSC1 to BSC7, BSC1
4, BSC16, BSC18, and BSC20 are input boundary scan cells (see FIG. 9).
~ BSC13, BSC15, BSC17, and BSC1
Reference numeral 9 denotes an output boundary scan cell (see FIG. 10A).

【0033】JTAG案のバウンダリスキャンの標準規
格に従って、バウンダリスキャンテストを行なう場合、
回路を構成する各要素へのアクセスは、TAPコントロ
ーラTAPCの制御により行われる。また、全てのテス
トデータはTDI及びTDI端子を通して、各回路要素
にそれぞれ連続的に入出力される。更に、スキャンモー
ドの設定と制御信号はTAPコントローラTAPC及び
命令レジスタIRにより生成される。
When performing a boundary scan test in accordance with the boundary scan standard of the JTAG proposal,
Access to each element constituting the circuit is performed under the control of the TAP controller TAPC. In addition, all test data is continuously input / output to / from each circuit element through the TDI and TDI terminals. Further, the scan mode setting and control signals are generated by the TAP controller TAPC and the instruction register IR.

【0034】内部スキャンセルISC1〜ISC4は単
純なフリップフロップであり、システムクロックSYS
CLKの立ち上がりエッジで4ビット加算器ADDER
の出力をこのフリップフロップにラッチする必要があ
る。しかしながら、JTAG仕様のテストモード(INTE
ST 命令)の間に、テストベクトルは、バウンダリスキ
ャンセルBSC1〜BSC20を直列接続してなるバウ
ンダリスキャンチェーンを通してシフトされるので、立
ち上がりエッジを生成することは出来ない。即ち、フリ
ップフロップがダイナミックタイプであることから、ス
キャンシフトモードの間はシステムクロックSYSCL
Kが”H”レベルであることが要求される。
The internal scan cells ISC1 to ISC4 are simple flip-flops and have a system clock SYS.
4-bit adder ADDER at rising edge of CLK
Must be latched in this flip-flop. However, the test mode (INTE
During the (ST instruction), the test vector is shifted through the boundary scan chain formed by connecting the boundary scan cells BSC1 to BSC20 in series, so that a rising edge cannot be generated. That is, since the flip-flop is of a dynamic type, the system clock SYSCL is used during the scan shift mode.
K is required to be at the “H” level.

【0035】従来のバウンダリスキャンテスト手法で
は、この問題に対処するため前述のような方法を採って
いる。即ち、1つのテストパターンに対して、システム
クロックSYSCLKに接続されるバウンダリスキャン
セル(図11ではBSC7)に相当するビットを、最初
は”L”レベルとなるよう0値とし、次に同じビットが
1値で他のビットは同一である2つのパターンを用意
し、テストデータの入力を2回繰り返すことによって、
実現する方法である。
In the conventional boundary scan test method, the above-described method is employed to address this problem. That is, for one test pattern, the bit corresponding to the boundary scan cell (BSC7 in FIG. 11) connected to the system clock SYSCLK is initially set to the 0 value so as to be at the “L” level, and then the same bit is set to the “L” level. By preparing two patterns with one value and other bits being the same, repeating the input of the test data twice,
It is a method to realize.

【0036】以下、本手法を図12及び図13のフロー
チャートに従って説明する。
Hereinafter, this method will be described with reference to the flowcharts of FIGS.

【0037】先ず、ステップS101で TEST-LOGIC-RE
SET状態に入る。これはテスト論理をリセットして、命
令レジスタIRに入力されるべき INCODE 命令を自動的
に生成する。次に、ステップS102で RUN-TEST/IDLE
状態に、ステップS103で SELECT-DR-SCAN 状態に
入る。
First, in step S101, TEST-LOGIC-RE
Enter the SET state. This resets the test logic and automatically generates an INCODE instruction to be entered into the instruction register IR. Next, in step S102, RUN-TEST / IDLE
The state enters the SELECT-DR-SCAN state in step S103.

【0038】次に、ステップS104で INTEST 命令を
入力するが、これは図13(a)に示すサブルーチンで
実行される。即ち、ステップSI1で SELSCT-IR-SCAN
状態に入り、ステップSI2で CAPTURE-IR 状態に入
り、ステップSI3で SHIFT-IR 状態に入る。ここで、
実際に INTEST の命令コードが入力される。例えば、命
令長を4ビットであるとすると、命令をシフトインする
には4サイクルを要する。更に、ステップSI4で EXI
T-1-IR 状態に入り、ステップSI5で UPDATE-IR 状
態に入る。ここで、命令レジスタIRの並列出力を命令
デコーダDECに入力し、要求される動作に適合するテ
スト論理を生成する。即ち、 INTEST のための命令が
入力されると、 UPDARE-IR の後に INTEST モードに成
る。
Next, an INTEST instruction is input in step S104, which is executed by a subroutine shown in FIG. That is, in step SI1, SELSCT-IR-SCAN
The system enters the state, enters the CAPTURE-IR state in step SI2, and enters the SHIFT-IR state in step SI3. here,
The instruction code of INTEST is actually input. For example, if the instruction length is 4 bits, it takes four cycles to shift in the instruction. Further, in step SI4, EXI
Enter the T-1-IR state and enter the UPDATE-IR state in step SI5. Here, the parallel output of the instruction register IR is input to the instruction decoder DEC, and test logic suitable for the required operation is generated. In other words, when an instruction for INTEST is input, the device enters INTEST mode after UPDARE-IR.

【0039】次に、ステップS105でシステムクロッ
クSYSCLK用のバウンダリスキャンセルBSC7に
相当するビットが”0”であるテストデータを入力する
が、これは図13(2)に示すサブルーチンで実行され
る。即ち、ステップSD1でSELECT-DR 状態に入り、
ステップSD2で CAPTURE-DR 状態に入り、ステップS
D3で SHIFT-DR 状態に入る。ここで、実際にテストデ
ータが入力される。本例では、BSC1〜BSC20の
バウンダリスキャンセルを備えているので、データ長は
20ビットであり、下位から7番目のビットは”0”で
ある。更に、ステップSD4で EXIT-1-DR 状態に入
り、ステップSD5で UPDATE-DR 状態に入る。ここ
で、各バウンダリスキャンセルBSC1〜BSC20の
並列出力が、それぞれの入力端子から直接に入って来た
かの如く、内部回路に供給される。
Next, in step S105, test data in which the bit corresponding to the boundary scan cell BSC7 for the system clock SYSCLK is "0" is input. This is executed by a subroutine shown in FIG. That is, in step SD1, the state enters the SELECT-DR state,
Enter the CAPTURE-DR state in step SD2, and
Enter the SHIFT-DR state at D3. Here, test data is actually input. In this example, since the boundary scan cells of BSC1 to BSC20 are provided, the data length is 20 bits, and the seventh bit from the bottom is “0”. Further, the state enters the EXIT-1-DR state at step SD4, and enters the UPDATE-DR state at step SD5. Here, the parallel outputs of the boundary scan cells BSC1 to BSC20 are supplied to the internal circuits as if they came directly from the respective input terminals.

【0040】次にステップS106で、システムクロッ
クSYSCLK用のバウンダリスキャンセルBSC7に
相当するビットが”1”で、他のビットはステップS1
05のテストデータと同一であるテストデータを入力す
る。その処理もステップS105と同様に図13(2)
のサブルーチンで実行される。この時、システムクロッ
クSYSCLKが立ち上がりエッジとなり、内部スキャ
ンセルISC1〜ISC4に新しい値がラッチされる。
Next, at step S106, the bit corresponding to the boundary scan cell BSC7 for the system clock SYSCLK is "1", and the other bits are set at step S1.
Test data that is the same as the test data 05 is input. The processing is performed in the same manner as in step S105 in FIG.
Is executed in the subroutine. At this time, the system clock SYSCLK becomes a rising edge, and a new value is latched in the internal scan cells ISC1 to ISC4.

【0041】次にステップS107で、次のテストデー
タが有る場合にはステップS105に戻って上記処理を
繰り返し、無い場合には終了する。尚、上記処理による
内部テストの結果は、次の繰り返しにおけるステップS
105中のステップSD2である CAPTURE-DR 状態で、
出力用バウンダリスキャンセルBSC8〜BSC13、
BSC15、BSC17、及びBSC19に保持され
る。そして、ステップSD3の SHIFT-DR 状態で次の新
しいテストデータがシフトインされると同時に、これら
の結果は端子TDOからシフトアウトされる。
Next, at step S107, if there is the next test data, the process returns to step S105 to repeat the above-mentioned processing, and if not, the process ends. It should be noted that the result of the internal test by the above processing is determined in step S
In the state of CAPTURE-DR which is step SD2 in 105,
Boundary scan cells BSC8 to BSC13 for output,
It is held in BSC15, BSC17, and BSC19. Then, in the SHIFT-DR state in step SD3, the next new test data is shifted in, and at the same time, these results are shifted out from the terminal TDO.

【0042】本例では、説明を簡単にするために4ビッ
ト加算器を例にしたが、実際にバウンダリスキャン設計
を行なうようなLSIは、非常に大きな回路と成るのが
一般的であり、テストデータのデータ長は数百ビット以
上である。従って、本手法のような同一目的のテストデ
ータを2回繰り返す方法は、テスト時間を多大なものに
する。
In this example, a 4-bit adder is taken as an example for the sake of simplicity. However, an LSI which actually performs a boundary scan design generally becomes a very large circuit. The data length of the data is several hundred bits or more. Therefore, the method of repeating the test data for the same purpose twice as in the present method increases the test time.

【0043】また、テストデータを生成するために、設
計者は一連のバウンダリスキャンチェーンで正しい位置
で終わるよう、また必要に応じて任意の制御データもバ
ウンダリスキャンチェーンの正しい位置に設定されるよ
う注意しなければならない。即ち、本手法のように所定
のビットを、最初は”0”、次に”1”となるようにす
る作業は、テスト設計者にとって制約となり、ミスを起
こす原因ともなる。
In order to generate test data, the designer must take care that a series of boundary scan chains ends at the correct position, and that any control data is set at the correct position in the boundary scan chain as necessary. Must. That is, the work of setting a predetermined bit to "0" at first and then to "1" as in the present method is a constraint for a test designer and causes a mistake.

【0044】[0044]

【発明が解決しようとする課題】以上のように、従来の
JTAG仕様のバウンダリスキャン設計による半導体集
積回路では、テスト時に任意の制御信号端子から内部の
組み合わせ論理回路の制御を行ないたい場合、例えば内
部スキャンを備える半導体集積回路をテストする場合
等、内部スキャンセルのフリップフロップをアクセスす
るために、システムクロックのH/Lレベルに対応して
2つのテストパターンを用意してテストを行なうので、
テストに時間を要すると共に、それぞれのテストデータ
によるテストサイクルの間、システムクロックはH/L
何れかに一定となるので、細かい制御が行なえないとい
う欠点があった。
As described above, in the conventional semiconductor integrated circuit by the boundary scan design of the JTAG specification, when it is desired to control an internal combinational logic circuit from an arbitrary control signal terminal during a test, for example, For example, when testing a semiconductor integrated circuit having a scan, two test patterns are prepared corresponding to the H / L level of the system clock in order to access the flip-flop of the internal scan cell.
The test takes time, and the system clock is H / L during the test cycle based on each test data.
There is a drawback in that fine control cannot be performed because it becomes constant at any one of them.

【0045】本発明は、上記問題点を解決するもので、
その目的は、テスト時に任意の制御信号端子から内部の
組み合わせ論理回路の制御を行ないたい場合に、テスト
サイクル中の制御信号による制御を可能とし、且つより
少ないテストパターンでテストを行なうことにより高速
なテストを行ない得る半導体集積回路を提供することで
ある。
The present invention solves the above problems,
The purpose is to control the internal combinational logic circuit from an arbitrary control signal terminal at the time of a test, thereby enabling control by a control signal during a test cycle, and performing a test with a smaller number of test patterns to achieve a higher speed. An object of the present invention is to provide a semiconductor integrated circuit capable of performing a test.

【0046】[0046]

【課題を解決するための手段】前記課題を解決するため
に、本発明の第1の特徴は、図1に示す如く、内部の組
み合わせ論理回路1と、バウンダリスキャンテストを行
なうテスト回路3とを具備し、前記テスト回路3は、該
テスト回路1を制御するTAPコントローラTAPC
と、テスト専用入力端子TDIからテスト命令を入力し
て保持する命令レジスタIRと、前記命令レジスタIR
の命令語を解読して制御信号群を出力する命令デコーダ
DECと、前記組み合わせ論理回路1の各入出力端子に
対応して個々に接続され、テスト時にはバウンダリスキ
ャンの経路として、その他通常時には前記組み合わせ論
理回路1のデータの経路として機能するバウンダリスキ
ャンセルBSC1〜BSCm及びBSSCKを、直列接
続してなるバウンダリスキャンチェーンとを備え、前記
バウンダリスキャンセルBSC1〜BSCm及びBSS
CKの内、当該半導体集積回路を制御する制御信号端子
SYSCLKに接続されるバウンダリスキャンセルBS
SCKは、テスト時においても所定の命令実行時には、
前記組み合わせ論理回路1への制御信号供給経路として
機能することである。
In order to solve the above-mentioned problems, a first feature of the present invention is that, as shown in FIG. 1, an internal combinational logic circuit 1 and a test circuit 3 for performing a boundary scan test. The test circuit 3 includes a TAP controller TAPC for controlling the test circuit 1.
An instruction register IR for inputting and holding a test instruction from a test dedicated input terminal TDI;
And an instruction decoder DEC that decodes the instruction word and outputs a control signal group. The instruction decoder DEC is individually connected to each of the input / output terminals of the combinational logic circuit 1. A boundary scan chain in which boundary scan cells BSC1 to BSCm and BSSCK functioning as data paths of the logic circuit 1 are connected in series, and the boundary scan cells BSC1 to BSCm and BSS are connected.
Among the CKs, a boundary scan cell BS connected to a control signal terminal SYSCLK for controlling the semiconductor integrated circuit.
SCK is used for executing a predetermined instruction even during a test.
It functions as a control signal supply path to the combinational logic circuit 1.

【0047】また本発明の第2の特徴は、例えば図2に
示す如く、内部のn個(図2ではn=2)の組み合わせ
論理回路A及びBと、バウンダリスキャンテストを行な
うテスト回路13とを具備し、前記テスト回路13は、
該テスト回路13を制御するTAPコントローラTAP
Cと、テスト専用入力端子TDIからテスト命令を入力
して保持する命令レジスタIRと、前記命令レジスタI
Rの命令語を解読して制御信号群を出力する命令デコー
ダDECと、前記組み合わせ論理回路A及びBの任意の
入出力端子に対応して個々に接続され、テスト時にはス
キャンの経路として、その他通常時には前記組み合わせ
論理回路A及びBのデータの経路として機能する内部ス
キャンセルISC1〜ISC4を、直列接続してなる内
部スキャンチェーンと、前記組み合わせ論理回路A及び
Bの所定の入出力端子及び前記内部スキャンセルISC
1〜ISC4の所定の出力端子に対応して個々に接続さ
れ、テスト時にはバウンダリスキャンの経路として、そ
の他通常時には前記組み合わせ論理回路A及びBのデー
タの経路として機能するバウンダリスキャンセルBCS
1〜BCS8及びBSSCKを、直列接続してなるバウ
ンダリスキャンチェーンとを備え、前記バウンダリスキ
ャンセルBCS1〜BCS8及びBSSCKの内、当該
半導体集積回路を制御する制御信号端子SYSCLKに
接続されるバウンダリスキャンセルBSSCKは、テス
ト時においても所定の命令実行時には、前記組み合わせ
論理回路A及びBへの制御信号供給経路として機能する
ことである。
A second feature of the present invention is that, as shown in FIG. 2, for example, n (in FIG. 2, n = 2) combinational logic circuits A and B and a test circuit 13 for performing a boundary scan test are provided. And the test circuit 13 comprises:
TAP controller TAP for controlling the test circuit 13
C, an instruction register IR for inputting and holding a test instruction from a test-only input terminal TDI;
An instruction decoder DEC that decodes an R instruction word and outputs a control signal group is individually connected to an arbitrary input / output terminal of the combinational logic circuits A and B, and is used as a scan path during a test. Sometimes, internal scan chains ISC1 to ISC4 functioning as data paths of the combinational logic circuits A and B are connected in series with an internal scan chain, and predetermined input / output terminals of the combinational logic circuits A and B and the internal scan circuit. Cancel ISC
1 to ISC4, which are individually connected to correspond to predetermined output terminals, and function as a boundary scan path during a test and as a data path of the combinational logic circuits A and B during a normal operation.
1 to BCS8 and a BSSCK connected in series, and a boundary scan chain connected to a control signal terminal SYSCLK for controlling the semiconductor integrated circuit among the boundary scan cells BCS1 to BCS8 and the BSSCK. Is to function as a control signal supply path to the combinational logic circuits A and B at the time of executing a predetermined instruction even during a test.

【0048】更に本発明の第3の特徴は、請求項1また
は2に記載の半導体集積回路において、図3に示す如
く、前記制御信号端子SYSCLKに接続されるバウン
ダリスキャンセルBSSCKは、テストデータ入力時に
はテストデータTDIを、その他通常時には前記組み合
わせ論理回路1またはA及びBへの制御信号入力を選択
して出力する入力マルチプレクサINMUXと、前記入
力マルチプレクサINMUXの出力を保持しテスト専用
出力端子TDOに出力するスキャンフリップフロップS
FFと、テストデータ更新時に前記スキャンフリップフ
ロップSFFの出力を保持するアップデートフリップフ
ロップUFFと、前記所定の命令実行時以外のテスト時
には前記アップデートフリップフロップUFFの出力
を、テスト時で且つ前記所定の命令実行時、或いはテス
ト以外の時には前記組み合わせ論理回路1またはA及び
Bへの制御信号入力INを選択して出力する更新マルチ
プレクサUMUXとを具備することである。
According to a third feature of the present invention, in the semiconductor integrated circuit according to claim 1 or 2, as shown in FIG. 3, a boundary scan cell BSSCK connected to the control signal terminal SYSCLK receives test data input. An input multiplexer INMUX for selecting and outputting the control data input to the combinational logic circuit 1 or A and B at other times, and holding the output of the input multiplexer INMUX and outputting the test data TDI to the test dedicated output terminal TDO. Scan flip-flop S
FF, an update flip-flop UFF that holds the output of the scan flip-flop SFF when the test data is updated, and an output of the update flip-flop UFF during a test other than when the predetermined instruction is executed. An update multiplexer UMUX for selecting and outputting the control signal input IN to the combinational logic circuit 1 or A and B at the time of execution or other than the test.

【0049】[0049]

【作用】本発明の第1及び第3の特徴の半導体集積回路
では、図1及び図3に示す如く、先ず、テスト専用入力
端子TDIからテスト命令(INTEST 命令)を命令レジ
スタIRにシフトインし、次に、テスト専用入力端子T
DIからバウンダリスキャンセルBSC1〜BSCm及
びBSSCKを直列接続してなるバウンダリスキャンチ
ェーンにテストデータをシフトインする。そして、テス
ト専用入力端子TDIから所定の命令(ISCAN 命令;内
部スキャン命令)を命令レジスタIRにシフトインし、
これにより、内部スキャンモードであることを示す信号
ISC0が”0”となり、アップデートマルチプレクサ
UMUXで制御信号入力INが選択されて、組み合わせ
論理回路1に供給される。
In the semiconductor integrated circuit according to the first and third aspects of the present invention, first, as shown in FIGS. 1 and 3, a test instruction (INTEST instruction) is shifted into an instruction register IR from a test dedicated input terminal TDI. Next, the test-only input terminal T
The test data is shifted from DI into a boundary scan chain in which the boundary scan cells BSC1 to BSCm and BSSCK are connected in series. Then, a predetermined command (ISCAN command; internal scan command) is shifted into the command register IR from the test dedicated input terminal TDI,
As a result, the signal ISC0 indicating the internal scan mode becomes "0", the control signal input IN is selected by the update multiplexer UMUX, and is supplied to the combinational logic circuit 1.

【0050】つまり、テスト時においてもバウンダリス
キャンセルBSSCKは、制御信号端子INからの制御
信号を内部の組み合わせ論理回路1に対して供給するこ
とができ、組み合わせ論理回路1に対する制御を行ない
ながらテストを行なうことができ、しかも1つのテスト
データに対して1回のテストサイクルで実行できるの
で、結果として、制御信号による制御を可能とし、且つ
高速なテストを実現できる。
That is, even during the test, the boundary scan cell BSSCK can supply the control signal from the control signal terminal IN to the internal combinational logic circuit 1, and perform the test while controlling the combinational logic circuit 1. Since the test can be performed and one test cycle can be executed for one test data, as a result, control by a control signal can be performed and a high-speed test can be realized.

【0051】また、本発明の第2及び第3の特徴の半導
体集積回路では、図2及び図3に示す如く、テスト時に
任意の制御信号端子から内部の組み合わせ論理回路の制
御を行ないたい場合として、例えば、組み合わせ論理回
路A及びBの間に内部スキャンセルISC1〜ISC4
を備え、システムクロックSYSCLKに接続されるバ
ウンダリスキャンセルBSSCKを図3に示す構成とし
ている。
In the semiconductor integrated circuit according to the second and third aspects of the present invention, as shown in FIGS. 2 and 3, it is assumed that the control of the internal combinational logic circuit is performed from an arbitrary control signal terminal during a test. , For example, the internal scan cells ISC1 to ISC4 between the combinational logic circuits A and B.
And the boundary scan cell BSSCK connected to the system clock SYSCLK is configured as shown in FIG.

【0052】この場合、先ず、テスト専用入力端子TD
Iからテスト命令(INTEST 命令)を命令レジスタIR
にシフトインし、次に、テスト専用入力端子TDIから
バウンダリスキャンセルBSC1〜BSC8及びBSS
CKを直列接続してなるバウンダリスキャンチェーンに
第1のテストデータをシフトインする。そして、テスト
専用入力端子TDIから所定の命令(ISCAN 命令;内部
スキャン命令)を命令レジスタIRにシフトインし、こ
れにより、バウンダリスキャンセルBSSCKでは、内
部スキャンモードであることを示す信号ISC0が”
0”となり、アップデートマルチプレクサUMUXで制
御信号入力IN(即ち、システムクロックSYSCL
K)が選択されて、内部スキャンセルISC1〜ISC
4に負のパルスを供給できる。この時、内部スキャンセ
ルISC1〜ISC4にはバウンダリスキャンセルBS
C1〜BSC4にシフトインされたテストデータを入力
とする組み合わせ論理回路Aの出力結果が保持される。
更に、次の第2のテストデータをテスト専用入力端子T
DIから前記バウンダリスキャンチェーンにシフトイン
する。また、再び INTEST 命令を入力して、第1のテス
トデータ入力の処理に戻って、次の第3のテストデータ
をバウンダリスキャンチェーンにシフトインするという
具合に繰り返す。
In this case, first, the test dedicated input terminal TD
Test instruction (INTEST instruction) from I to instruction register IR
, And then the boundary scan cells BSC1 to BSC8 and BSS from the test dedicated input terminal TDI.
The first test data is shifted into a boundary scan chain in which CKs are connected in series. Then, a predetermined command (ISCAN command; internal scan command) is shifted into the command register IR from the test-dedicated input terminal TDI. As a result, in the boundary scan cell BSSCK, the signal ISC0 indicating the internal scan mode is set to "
0 ", and the control signal input IN (that is, the system clock SYSCL) is input to the update multiplexer UMUX.
K) is selected and internal scan cells ISC1 to ISC
4 can be supplied with a negative pulse. At this time, internal scan cells ISC1 to ISC4 have boundary scan cells BS.
The output result of the combinational logic circuit A which receives the test data shifted into C1 to BSC4 is held.
Further, the next second test data is transferred to the test dedicated input terminal T.
DI shifts into the boundary scan chain. Further, an INTEST instruction is input again, the process returns to the first test data input process, and the next third test data is shifted into the boundary scan chain, and so on.

【0053】つまり、テスト時においてもバウンダリス
キャンセルBSSCKは、制御信号端子IN(図2では
システムクロックSYSCLK)からの制御信号を内部
スキャンセルISC1〜ISC4に対して供給すること
ができ、n個の組み合わせ論理回路間に内部スキャンセ
ルを有する構成の半導体集積回路においても、連続的に
効率良くテストを行なうことができる。
That is, even in the test, the boundary scan cell BSSCK can supply the control signal from the control signal terminal IN (the system clock SYSCLK in FIG. 2) to the internal scan cells ISC1 to ISC4, Even in a semiconductor integrated circuit having a configuration in which an internal scan cell is provided between combinational logic circuits, a test can be continuously and efficiently performed.

【0054】また、任意の制御信号端子から内部の組み
合わせ論理回路の制御を行なう場合にも、組み合わせ論
理回路A及びまたはBに対する制御を行ないながらテス
トを行なうことができる。
Also, when the internal combinational logic circuit is controlled from an arbitrary control signal terminal, the test can be performed while controlling the combinational logic circuits A and / or B.

【0055】[0055]

【実施例】以下、本発明に係る実施例を図面に基づいて
説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0056】本発明の第1の実施例として、従来技術の
説明で使用した例に本発明を適用する。図11の内部ス
キャンチェーンISC1〜ISC4を有するテスト回路
と4ビット加算器ADDERのLSIにおいて、システ
ムクロックSYSCLKに接続されるバウンダリスキャ
ンセルBSC7を、図3に示される構成のバウンダリス
キャンセルBSSCKで実現する。尚、その他の構成要
素は、従来例と全く同一であり、その説明は省略する。
As a first embodiment of the present invention, the present invention is applied to the example used in the description of the prior art. In the test circuit having the internal scan chains ISC1 to ISC4 and the LSI of the 4-bit adder ADDER shown in FIG. 11, the boundary scan cell BSC7 connected to the system clock SYSCLK is realized by the boundary scan cell BSSCK having the configuration shown in FIG. . The other components are exactly the same as those of the conventional example, and the description thereof is omitted.

【0057】図3に示すバウンダリスキャンセルの構成
は、入力マルチプレクサINMUX、スキャンフリップ
フロップSFF、アップデートフリップフロップUF
F、及び更新マルチプレクサUMUXから成る構成であ
る。
The configuration of the boundary scan cell shown in FIG. 3 includes an input multiplexer INMUX, a scan flip-flop SFF, and an update flip-flop UF.
F and an update multiplexer UMUX.

【0058】入力マルチプレクサINMUXは、テスト
データ入力時にはテストデータTDIを、その他通常時
には内部の組み合わせ論理回路(本実施例では図11の
内部スキャンセルISC1〜ISC4)への制御信号入
力IN(図11ではシステムクロックSYSCLK)
を、信号SFDRにより選択して出力する。選択信号S
FDRは SHIFT-DR 状態か否かを示す信号で、TAPコ
ントローラTAPCから供給される。
The input multiplexer INMUX supplies the test data TDI at the time of inputting test data, and the control signal input IN (in FIG. 11, the internal scan cells ISC1 to ISC4 in FIG. 11) to the internal combinational logic circuit (in this embodiment, the internal scan cells ISC1 to ISC4). System clock SYSCLK)
Is selected and output by the signal SFDR. Selection signal S
FDR is a signal indicating whether the state is the SHIFT-DR state, and is supplied from the TAP controller TAPC.

【0059】スキャンフリップフロップSFFは、入力
マルチプレクサINMUXの出力を保持しテスト専用出
力端子TDOに出力する。クロック信号CKDRはテス
トクロックTCKからTAPコントローラTAPCで生
成される信号である。尚、スキャンフリップフロップS
FFはバウンダリスキャンチェーンの記憶要素となって
いる。
The scan flip-flop SFF holds the output of the input multiplexer INMUX and outputs it to the test dedicated output terminal TDO. The clock signal CKDR is a signal generated by the TAP controller TAPC from the test clock TCK. The scan flip-flop S
The FF is a storage element of the boundary scan chain.

【0060】アップデートフリップフロップUFFは、
テストデータ更新時(UPDATE-DR 状態)にスキャンフリ
ップフロップSFFの出力を保持する。つまり、SHIFT-
DR状態でバウンダリスキャンチェーンを通してシフトイ
ンされ終わった時点のデータをUPDATE-DR 状態で保持
し、内部の組み合わせ論理回路に供給する。クロック信
号UDDRはTAPコントローラTAPCで生成される
信号である。
The update flip-flop UFF is
When the test data is updated (UPDATE-DR state), the output of the scan flip-flop SFF is held. In other words, SHIFT-
The data at the time when the data is shifted in through the boundary scan chain in the DR state is held in the UPDATE-DR state and supplied to the internal combinational logic circuit. The clock signal UDDR is a signal generated by the TAP controller TAPC.

【0061】更新マルチプレクサUMUXは、内部スキ
ャン命令(ISCAN命令)実行時以外のテスト時にはアッ
プデートフリップフロップUFFの出力を、テスト時で
且つ内部スキャン命令実行時、或いはテスト以外の時に
は制御信号入力INを、信号IMCと信号ISC0との
論理積をとった信号で選択して出力する。内部スキャン
命令(ISCAN 命令)は、新たに追加した命令でありJT
AG仕様にはない。モード設定信号IMCは、バウンダ
リスキャンセルの動作モードを設定する信号であり、命
令の種類により定まるもので、命令デコーダDECによ
り生成される。尚、INTEST 命令及び ISCAN 命令の場
合には、共に”1”となる。また、内部スキャン信号I
SC0は、ISCAN 命令実行時に”0”とし、それ以外の
時には”1”とする信号であり、命令デコーダDECに
より生成される。
The update multiplexer UMUX receives the output of the update flip-flop UFF during a test other than when the internal scan instruction (ISCAN instruction) is executed, and inputs the control signal input IN during the test and when the internal scan instruction is executed or other than the test. A signal obtained by calculating the logical product of the signal IMC and the signal ISC0 is selected and output. The internal scan instruction (ISCAN instruction) is a newly added instruction and
Not in the AG specification. The mode setting signal IMC is a signal for setting the operation mode of the boundary scan cell, is determined by the type of instruction, and is generated by the instruction decoder DEC. In the case of the INTEST instruction and ISCAN instruction, both are "1". Also, the internal scan signal I
SC0 is a signal which is set to "0" when the ISCAN instruction is executed, and is set to "1" at other times, and is generated by the instruction decoder DEC.

【0062】このような構成のバウンダリスキャンセル
BSSCKでは、内部スキャンテスト時においても ISC
AN 命令実行時には、内部の組み合わせ論理回路への制
御信号供給経路として機能し、本実施例のようにクロッ
クパルスを供給して内部のスキャンチェーンを制御した
り、特定の制御信号をイネーブル或いはディスイネーブ
ルとして内部の組み合わせ論理回路を制御することがで
きる。
In the boundary scan cell BSSCK having such a configuration, even in the internal scan test, the ISC
When the AN instruction is executed, it functions as a control signal supply path to the internal combinational logic circuit, and supplies a clock pulse to control the internal scan chain and enables or disables a specific control signal as in this embodiment. Can control an internal combinational logic circuit.

【0063】次に、本実施例のような(図11のBSC
7を制御信号用バウンダリスキャンとした場合の)内部
スキャンチェーンISC1〜ISC4を備えるスキャン
設計のLSIをテストする場合の動作を、図4及び図1
3のフローチャートに従って説明する。
Next, as shown in this embodiment (the BSC in FIG. 11)
FIG. 4 and FIG. 1 show the operation when testing a scan-designed LSI having internal scan chains ISC1 to ISC4 (where 7 is a control signal boundary scan).
This will be described according to the flowchart of FIG.

【0064】先ず、従来例(図12)と同様に、ステッ
プS1で TEST-LOGIC-RESET 状態に入り、命令レジスタ
IRに入力されるべきINCODE 命令を自動的に生成す
る。次に、ステップS2で RUN-TEST/IDLE 状態に、ス
テップS3で SELECT-DR-SCAN状態に入る。
First, as in the conventional example (FIG. 12), in step S1, a TEST-LOGIC-RESET state is entered, and an INCODE instruction to be input to the instruction register IR is automatically generated. Next, the process enters the RUN-TEST / IDLE state in step S2, and enters the SELECT-DR-SCAN state in step S3.

【0065】次に、ステップS4で INTEST 命令を入力
するが、これは図13(1)に示すサブルーチンで実行
される。即ち、ステップSI1でSELSCT-IR-SCAN 状態
に入り、ステップSI2で CAPTURE-IR 状態に入り、ス
テップSI3で SHIFT-IR 状態に入る。ここで、実際に
INTEST の命令コードが入力される。更に、ステップS
I4で EXIT-1-IR 状態に入り、ステップSI5で UPD
ATE-IR 状態に入る。ここで、命令レジスタIRの並列
出力を命令デコーダDECに入力し、要求される動作に
適合するテスト論理を生成する。即ち、 INTEST のた
めの命令が入力されると、 UPDARE-IR の後に INTEST
モードに成る。
Next, an INTEST instruction is input in step S4, which is executed by a subroutine shown in FIG. That is, the system enters the SELSCT-IR-SCAN state in step SI1, enters the CAPTURE-IR state in step SI2, and enters the SHIFT-IR state in step SI3. Where actually
The instruction code of INTEST is input. Further, step S
Enter EXIT-1-IR state at I4, UPD at step SI5
Enter ATE-IR state. Here, the parallel output of the instruction register IR is input to the instruction decoder DEC, and test logic suitable for the required operation is generated. That is, when the instruction for INTEST is input, INTEST is added after UPDARE-IR.
Mode.

【0066】次に、ステップS5でテストデータを入力
するが、これは図13(b)に示すサブルーチンで実行
される。即ち、ステップSD1で SELECT-DR 状態に入
り、ステップSD2で CAPTURE-DR 状態に入り、ステッ
プSD3で SHIFT-DR 状態に入る。ここで、実際にテス
トデータが入力される。本例では、BSC1〜BSC2
0のバウンダリスキャンセルを備えているので、データ
長は20ビットである。更に、ステップSD4で EXIT-
1-DR 状態に入り、ステップSD5で UPDATE-DR 状態
に入る。ここで、各バウンダリスキャンセルBSC1〜
BSC20の並列出力が、内部回路で有る4ビット加算
器ADDERに供給される。
Next, test data is input in step S5, which is executed by a subroutine shown in FIG. That is, the operation enters the SELECT-DR state in step SD1, enters the CAPTURE-DR state in step SD2, and enters the SHIFT-DR state in step SD3. Here, test data is actually input. In this example, BSC1 to BSC2
Since it has a boundary scan cell of 0, the data length is 20 bits. In step SD4, EXIT-
Enter the 1-DR state and enter the UPDATE-DR state in step SD5. Here, each boundary scan cell BSC1
The parallel output of the BSC 20 is supplied to a 4-bit adder ADDER which is an internal circuit.

【0067】次にステップS6で、ISCAN 命令を入力す
るが、ステップS4と同様に図13(1)のサブルーチ
ンで実行される。
Next, in step S6, an ISCAN instruction is input, and is executed in the subroutine of FIG. 13A as in step S4.

【0068】次にステップS7で、次のテストデータが
有る場合にはステップS2に戻りステップS2〜S6の
処理を繰り返すが、無い場合にはステップS2に戻り、
ステップS5でダミーテストデータをシフトインするこ
とにより、前のテストデータに対する結果をシフトアウ
トして終了する。
Next, in step S7, if there is the next test data, the flow returns to step S2 to repeat the processing of steps S2 to S6. If not, the flow returns to step S2.
By shifting in the dummy test data in step S5, the result for the previous test data is shifted out, and the process ends.

【0069】ステップS2に戻って RUN-TEST/IDLE 状
態に入った時、バウンダリスキャンセルBSSCK(B
SC7)よりシステムクロックSYSCLK(負のクロ
ックパルス)を内部スキャンセルISC1〜ISC4に
供給する。この時、システムクロックSYSCLKの立
ち上がりエッジで、内部スキャンセルISC1〜ISC
4に新しい値がラッチされる。
Returning to step S2, when entering the RUN-TEST / IDLE state, the boundary scan cell BSSCK (B
SC7) supplies the system clock SYSCLK (negative clock pulse) to the internal scan cells ISC1 to ISC4. At this time, at the rising edge of the system clock SYSCLK, the internal scan cells ISC1 to ISC1
4 is latched with the new value.

【0070】また、ある繰り返し処理で入力されたテス
トデータに対するテスト結果は、次の繰り返しにおける
ステップS5中のステップSD2である CAPTURE-DR 状
態で、出力用バウンダリスキャンセルBSC8〜BSC
13、BSC15、BSC17、及びBSC19に保持
される。そして、ステップSD3の SHIFT-DR 状態で次
の新しいテストデータがシフトインされると同時に、こ
れらの結果は端子TDOからシフトアウトされる。
The test result for the test data input in a certain repetition process is output to the boundary scan cells BSC8 to BSC8 in the CAPTURE-DR state in step SD2 of step S5 in the next repetition.
13, BSC15, BSC17, and BSC19. Then, in the SHIFT-DR state in step SD3, the next new test data is shifted in, and at the same time, these results are shifted out from the terminal TDO.

【0071】このように本実施例の半導体集積回路のテ
ストでは、1つのテストデータに対して1つのテストサ
イクルで行なうことが可能となる。また、本実施例で
は、説明を簡単にするために4ビット加算器を例にした
が、実際にバウンダリスキャン設計を行なうようなLS
Iは、非常に大きな回路と成るのが一般的であり、テス
トデータのデータ長は数百ビット以上である。従って、
命令の入力の処理時間はテストデータの入力のより時間
に比べて無視できる量であり、本実施例によるテストの
処理時間は、従来に比べて約半分にすることができる。
As described above, in the test of the semiconductor integrated circuit of this embodiment, it is possible to perform one test cycle for one test data. Further, in the present embodiment, a 4-bit adder is taken as an example for the sake of simplicity.
Generally, I is a very large circuit, and the test data has a data length of several hundred bits or more. Therefore,
The processing time for inputting an instruction is negligible compared to the time required for inputting test data, and the processing time for a test according to the present embodiment can be reduced to about half as compared with the related art.

【0072】また、テストデータを生成作業では、従来
のように特定のビットを、最初は”0”、次に”1”と
設定する制約が無くなり、テストデータの生成が容易と
なる。
In the test data generation operation, there is no restriction that a specific bit is set to "0" at first and then to "1" as in the prior art, thereby facilitating the generation of test data.

【0073】次に、図2に本発明の第2の実施例に係る
半導体集積回路の構成図を示す。
Next, FIG. 2 shows a configuration diagram of a semiconductor integrated circuit according to a second embodiment of the present invention.

【0074】本実施例の半導体集積回路の構成は、内部
の2個の組み合わせ論理回路A及びBと、バウンダリス
キャンテストを行なうテスト回路13とから成る。
The configuration of the semiconductor integrated circuit of this embodiment includes two internal combinational logic circuits A and B and a test circuit 13 for performing a boundary scan test.

【0075】テスト回路13は、該テスト回路13を制
御するTAPコントローラTAPCと、テスト専用入力
端子TDIからテスト命令を入力して保持する命令レジ
スタIRと、命令レジスタIRの命令語を解読して制御
信号群を出力する命令デコーダDECと、組み合わせ論
理回路A及びBの任意の入出力端子に対応して個々に接
続され、テスト時にはスキャンの経路として、その他通
常時には組み合わせ論理回路A及びBのデータの経路と
して機能する内部スキャンセルISC1〜ISC4を、
直列接続してなる内部スキャンチェーンと、組み合わせ
論理回路A及びBの所定の入出力端子及び内部スキャン
セルISC1〜ISC4の所定の出力端子に対応して個
々に接続され、テスト時にはバウンダリスキャンの経路
として、その他通常時には組み合わせ論理回路A及びB
のデータの経路として機能するバウンダリスキャンセル
BCS1〜BCS8及びBSSCKを、直列接続してな
るバウンダリスキャンチェーンとから構成されている。
The test circuit 13 includes a TAP controller TAPC for controlling the test circuit 13, an instruction register IR for inputting and holding a test instruction from a test-dedicated input terminal TDI, and an instruction register IR for decoding and controlling the instruction. An instruction decoder DEC for outputting a group of signals is individually connected to an arbitrary input / output terminal of the combinational logic circuits A and B, and serves as a scan path at the time of a test. Internal scan cells ISC1 to ISC4 functioning as paths
Internal scan chains connected in series are individually connected to predetermined input / output terminals of the combinational logic circuits A and B and predetermined output terminals of the internal scan cells ISC1 to ISC4. , And the combinational logic circuits A and B
And a boundary scan chain in which boundary scan cells BCS1 to BCS8 and BSSCK functioning as a data path are connected in series.

【0076】尚、バウンダリスキャンセルの内、内部ス
キャンセルISC1〜ISC4へのシステムクロックS
YSCLKに接続されるバウンダリスキャンセルBSS
CKは、図3に示す構成を有しており、テスト時におい
ても ISCAN 命令実行時には、組み合わせ論理回路A及
びBへの制御信号供給経路として機能する。
The system clock S to the internal scan cells ISC1 to ISC4 in the boundary scan cell is used.
Boundary scan cell BSS connected to YSCLK
The CK has the configuration shown in FIG. 3 and functions as a control signal supply path to the combinational logic circuits A and B during the ISCAN instruction even during the test.

【0077】次に、本実施例の半導体集積回路をテスト
する場合の動作を、図5及び図13のフローチャートに
従って説明する。
Next, the operation for testing the semiconductor integrated circuit of this embodiment will be described with reference to the flowcharts of FIGS.

【0078】先ず、第1の実施例(図4)と同様に、ス
テップS11で TEST-LOGIC-RESET状態に、ステップS
12で RUN-TEST/IDLE 状態に、ステップS13で SEL
ECT-DR-SCAN 状態に入る。
First, similarly to the first embodiment (FIG. 4), the state is changed to the TEST-LOGIC-RESET state in step S11, and step S11 is executed.
RUN-TEST / IDLE status at 12 and SEL at step S13
Enter ECT-DR-SCAN state.

【0079】次に、ステップS14で INTEST 命令を入
力するが、これは図13(1)に示すサブルーチンで実
行される。
Next, in step S14, an INTEST instruction is input, which is executed by a subroutine shown in FIG.

【0080】次に、ステップS15でテストデータを入
力するが、これは図13(2)に示すサブルーチンで実
行される。
Next, test data is input in step S15, which is executed by a subroutine shown in FIG. 13 (2).

【0081】次にステップS16で、ISCAN 命令を入力
するが、ステップS14と同様に図13(1)のサブル
ーチンで実行される。
Next, in step S16, an ISCAN instruction is input, and is executed in the subroutine of FIG. 13A as in step S14.

【0082】次にステップS17で、 RUN-TEST/IDLE
状態に入り、バウンダリスキャンセルBSSCKよりシ
ステムクロックSYSCLK(負のクロックパルス)を
内部スキャンセルISC1〜ISC4に供給する。この
時、システムクロックSYSCLKの立ち上がりエッジ
で、内部スキャンセルISC1〜ISC4にステップS
15で入力されたテストデータに対する組み合わせ論理
回路Aの結果がラッチされる。
Next, in step S17, RUN-TEST / IDLE
The state is entered, and the system clock SYSCLK (negative clock pulse) is supplied from the boundary scan cell BSSCK to the internal scan cells ISC1 to ISC4. At this time, the rising edge of the system clock SYSCLK causes the internal scan cells ISC1 to ISC4 to perform step S
The result of the combinational logic circuit A for the test data input at 15 is latched.

【0083】次にステップS18で、次のテストデータ
を入力するが、ステップS15と同様に図13(2)の
サブルーチンで実行される。
Next, in step S18, the next test data is input, and is executed in the subroutine of FIG. 13B as in step S15.

【0084】次にステップS19で、再び INTEST 命令
を入力する。
Next, in step S19, an INTEST instruction is input again.

【0085】次にステップS20で、次のテストデータ
が有る場合にはステップS15に戻りステップS15〜
S19の処理を繰り返すが、無い場合にはステップS1
5に戻り、ステップS15でダミーテストデータをシフ
トインすることにより、前のテストデータに対する結果
をシフトアウトして終了する。
Next, at step S20, if there is the next test data, the process returns to step S15 and returns to steps S15 to S15.
The process of S19 is repeated.
Returning to step S15, the result for the previous test data is shifted out by shifting in the dummy test data in step S15, and the process ends.

【0086】ある繰り返し処理中のステップS15で入
力されたテストデータに対する組み合わせ論理回路Aの
テスト結果は、その繰り返し中のステップS17で内部
スキャンセルISC1〜ISC4に保持され、この内部
スキャンセルISC1〜ISC4の値は組み合わせ論理
回路Bに対するテストデータとなり、その結果は、次の
繰り返しにおけるステップS15中のステップSD2で
ある CAPTURE-DR 状態で、出力用バウンダリスキャンセ
ルBSC4〜BSC8に保持される。そして、ステップ
SD3の SHIFT-DR 状態で次の新しいテストデータがシ
フトインされると同時に、これらの結果は端子TDOか
らシフトアウトされる。
The test result of the combinational logic circuit A with respect to the test data input in step S15 during a certain repetition processing is held in the internal scan cells ISC1 to ISC4 in the step S17 during the repetition processing. Is test data for the combinational logic circuit B, and the result is held in the output boundary scan cells BSC4 to BSC8 in the CAPTURE-DR state, which is step SD2 in step S15 in the next repetition. Then, in the SHIFT-DR state in step SD3, the next new test data is shifted in, and at the same time, these results are shifted out from the terminal TDO.

【0087】このように本実施例では、2個の組み合わ
せ論理回路間に内部スキャンを有する構成の半導体集積
回路においても、連続的に効率良くテストを行なうこと
ができる。1つのテストデータに対して1つのテストサ
イクルで行なうことが可能となる。また、本実施例で
は、説明を簡単にするために2個の組み合わせ論理回路
で構成される半導体集積回路を例にしたが、連続的に複
数個の組み合わせ論理回路が接続される構成においても
同様にテストを実施できる。
As described above, in this embodiment, a test can be continuously and efficiently performed even in a semiconductor integrated circuit having a configuration having an internal scan between two combinational logic circuits. It is possible to perform one test cycle for one test data. Further, in this embodiment, a semiconductor integrated circuit composed of two combinational logic circuits has been described as an example for the sake of simplicity, but the same applies to a configuration in which a plurality of combinational logic circuits are continuously connected. Test can be performed.

【0088】[0088]

【発明の効果】以上の様に本発明によれば、テスト時に
おいても所定の命令実行時には、内部の組み合わせ論理
回路への制御信号供給経路として機能する制御信号用の
バウンダリスキャンセルを構成し、テスト時に内部の組
み合わせ論理回路の制御を行なおうとする制御信号端子
に対してこの制御信号用バウンダリスキャンセルを接続
することとしたので、内部の組み合わせ論理回路に対す
る制御を行ないながらテストを行なうことができ、しか
も1つのテストデータに対して1回のテストサイクルで
実行できるので、結果として、制御信号による制御を可
能とし、且つ高速なテストを実現し得る半導体集積回路
を提供することができる。
As described above, according to the present invention, a boundary scan cell for a control signal which functions as a control signal supply path to an internal combinational logic circuit when a predetermined instruction is executed even during a test is constituted. Since the control signal boundary scan cell is connected to the control signal terminal for controlling the internal combinational logic circuit during the test, the test can be performed while controlling the internal combinational logic circuit. Since the test can be performed in one test cycle for one test data, as a result, a semiconductor integrated circuit that can be controlled by a control signal and can realize a high-speed test can be provided.

【0089】また、n個の組み合わせ論理回路間に内部
スキャンセルを有する構成の半導体集積回路において
も、テスト時に内部の組み合わせ論理回路の制御を行な
おうとする制御信号端子に対して前記制御信号用バウン
ダリスキャンセルを接続することとしたので、例えば、
組み合わせ論理回路間の内部スキャンセルにシステムク
ロックをテスト時に供給することで、連続的で高効率の
テストを実現し得る半導体集積回路を提供することがで
きる。
Also, in a semiconductor integrated circuit having an internal scan cell between n combinational logic circuits, a control signal terminal for controlling the internal combinational logic circuit during a test is connected to the control signal terminal. Because we decided to connect the boundary scan cell, for example,
By supplying the system clock to the internal scan cells between the combinational logic circuits during the test, a semiconductor integrated circuit capable of realizing a continuous and highly efficient test can be provided.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の原理説明図である。FIG. 1 is a diagram illustrating the principle of the present invention.

【図2】本発明の第2の実施例に係る半導体集積回路の
構成図である。
FIG. 2 is a configuration diagram of a semiconductor integrated circuit according to a second embodiment of the present invention.

【図3】本発明の半導体集積回路の制御信号用バウンダ
リスキャンセルの構成図である。
FIG. 3 is a configuration diagram of a control signal boundary scan cell of the semiconductor integrated circuit of the present invention.

【図4】本発明の第1の実施例に係る半導体集積回路の
テストのフローチャートである。
FIG. 4 is a flowchart of a test of the semiconductor integrated circuit according to the first embodiment of the present invention.

【図5】本発明の第2の実施例に係る半導体集積回路の
テストのフローチャートである。
FIG. 5 is a flowchart of a test of a semiconductor integrated circuit according to a second embodiment of the present invention.

【図6】バウンダリスキャンテストの説明図である。FIG. 6 is an explanatory diagram of a boundary scan test.

【図7】JTAGから提案された標準化案のバウンダリ
スキャンテスト回路の基本構成図である。
FIG. 7 is a basic configuration diagram of a boundary scan test circuit of a standardization proposal proposed by JTAG.

【図8】TAPコントローラTAPCの状態遷移図であ
る。
FIG. 8 is a state transition diagram of the TAP controller TAPC.

【図9】従来の入力用バウンダリスキャンレジスタの回
路構成図である。
FIG. 9 is a circuit configuration diagram of a conventional input boundary scan register.

【図10】図10(1)は従来の出力用バウンダリスキ
ャンレジスタ、図10(2)は従来のイネーブル制御用
バウンダリスキャンレジスタの回路構成図である。
10A is a circuit configuration diagram of a conventional output boundary scan register, and FIG. 10B is a circuit configuration diagram of a conventional enable control boundary scan register.

【図11】4ビット加算器及びテスト回路を備える従来
の半導体集積回路の構成図、または本発明の第1の実施
例に係る半導体集積回路の構成図である。
FIG. 11 is a configuration diagram of a conventional semiconductor integrated circuit including a 4-bit adder and a test circuit, or a configuration diagram of a semiconductor integrated circuit according to the first embodiment of the present invention.

【図12】従来の半導体集積回路のテストのフローチャ
ートである。
FIG. 12 is a flowchart of a test of a conventional semiconductor integrated circuit.

【図13】半導体集積回路のテストのフローチャートで
あり、図13(1)は命令入力のサブルーチン、図13
(2)はテストデータ入力のサブルーチンである。
FIG. 13 is a flowchart of a test of the semiconductor integrated circuit. FIG. 13A shows a subroutine for inputting an instruction, and FIG.
(2) is a test data input subroutine.

【符号の説明】[Explanation of symbols]

1,A,B 組み合わせ論理回路 3,13 テスト回路 TAPC TAPコントローラ IR 命令レジスタ DEC 命令デコーダDEC BSC1〜BSCm,BSSCK バウンダリスキャン
セル ISC1〜ISC4 内部スキャンセル INMUX 入力マルチプレクサ SFF スキャンフリップフロップ UFF アップデートフリップフロップ UMUX 更新マルチプレクサ CKGEN クロック発生回路 TDI テスト専用入力端子(テストデータ) TDO テスト専用出力端子 SYSCLK システムクロック(制御信号端子) ISC0 内部スキャン信号 IMC,OMC モード信号 TMS テストモード信号(端子) TCK テスト専用共通クロック(端子) TRST テストリセット信号(端子) SFDR SHIFT-DR 状態信号 CKDR CLOCK-DR 状態信号 UDDR UPDATE-DR 状態信号 IN,INA,IN1〜IN4 入力データ(端子) CIN キャリー入力(端子) OUT,OT1〜OT4 出力データ(端子) COT キャリー出力(端子) BI1〜BI4 入出力端子 DR データレジスタ BSR バウンダリスキャンレジスタ BR バイパスレジスタ DIR デバイス識別レジスタ DSTDR 固有テストデータレジスタ
1, A, B Combinational logic circuit 3, 13 Test circuit TAPC TAP controller IR Instruction register DEC Instruction decoder DEC BSC1 to BSCm, BSSCK Boundary scan cell ISC1 to ISC4 Internal scan cell INMUX Input multiplexer SFF Scan flip-flop UFF Update flip-flop UMUX Update Multiplexer CKGEN Clock generation circuit TDI Test dedicated input terminal (test data) TDO test dedicated output terminal SYSCLK System clock (control signal terminal) ISC0 Internal scan signal IMC, OMC mode signal TMS test mode signal (terminal) TCK Test dedicated common clock (terminal ) TRST Test reset signal (pin) SFDR SHIFT-DR status signal CKDR CLOCK-DR status Signal UDDR UPDATE-DR Status signal IN, INA, IN1 to IN4 Input data (terminal) CIN Carry input (terminal) OUT, OT1 to OT4 Output data (terminal) COT Carry output (terminal) BI1 to BI4 Input / output terminal DR Data register BSR Boundary Scan Register BR Bypass Register DIR Device Identification Register DSTDR Unique Test Data Register

Claims (3)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 内部の組み合わせ論理回路と、バウンダ
リスキャンテストを行なうテスト回路とを有し、 前記テスト回路は、該テスト回路を制御するTAPコン
トローラと、テスト専用入力端子からテスト命令を入力
して保持する命令レジスタと、前記命令レジスタの命令
語を解読して制御信号群を出力する命令デコーダと、前
記組み合わせ論理回路の各入出力端子に対応して個々に
接続され、テスト時にはバウンダリスキャンの経路とし
て、その他通常時には前記組み合わせ論理回路のデータ
の経路として機能するバウンダリスキャンセルを、直列
接続してなるバウンダリスキャンチェーンとを備え、 前記バウンダリスキャンセルの内、当該半導体集積回路
を制御する任意の制御信号端子に接続されるバウンダリ
スキャンセルは、テスト時においても所定の命令実行時
には、前記組み合わせ論理回路への制御信号供給経路と
して機能することを特徴とする半導体集積回路。
An internal combinational logic circuit and a test circuit for performing a boundary scan test, wherein the test circuit inputs a test instruction from a TAP controller for controlling the test circuit and a test dedicated input terminal An instruction register to be held, an instruction decoder to decode a command word of the instruction register and output a control signal group, and are individually connected to respective input / output terminals of the combinational logic circuit. And a boundary scan chain formed by serially connecting a boundary scan cell functioning as a data path of the combinational logic circuit, and any control for controlling the semiconductor integrated circuit among the boundary scan cells. The boundary scan cell connected to the signal terminal is The semiconductor integrated circuit even when a predetermined instruction is executed Oite, characterized in that it functions as a control signal supply path to the combinational logic circuit.
【請求項2】 内部のn個(n:任意の正整数)の組み
合わせ論理回路と、バウンダリスキャンテストを行なう
テスト回路とを有し、 前記テスト回路は、該テスト回路を制御するTAPコン
トローラと、テスト専用入力端子からテスト命令を入力
して保持する命令レジスタと、前記命令レジスタの命令
語を解読して制御信号群を出力する命令デコーダと、前
記n個の組み合わせ論理回路の任意の入出力端子に対応
して個々に接続され、テスト時にはスキャンの経路とし
て、その他通常時には前記n個の組み合わせ論理回路の
データの経路として機能する内部スキャンセルを、直列
接続してなる内部スキャンチェーンと、前記n個の組み
合わせ論理回路の所定の入出力端子及び前記内部スキャ
ンセルの所定の出力端子に対応して個々に接続され、テ
スト時にはバウンダリスキャンの経路として、その他通
常時には前記n個の組み合わせ論理回路のデータの経路
として機能するバウンダリスキャンセルを、直列接続し
てなるバウンダリスキャンチェーンとを備え、 前記バウンダリスキャンセルの内、当該半導体集積回路
を制御する任意の制御信号端子に接続されるバウンダリ
スキャンセルは、テスト時においても所定の命令実行時
には、前記n個の組み合わせ論理回路への制御信号供給
経路として機能することを特徴とする半導体集積回路。
2. An internal logic circuit comprising n (n: an arbitrary positive integer) combinational logic circuits and a test circuit for performing a boundary scan test, wherein the test circuit controls a TAP controller for controlling the test circuit; An instruction register for inputting and holding a test instruction from a dedicated test input terminal, an instruction decoder for decoding a command word of the instruction register and outputting a control signal group, and an arbitrary input / output terminal of the n combinational logic circuits And an internal scan chain formed by serially connecting internal scan cells, which are individually connected in correspondence with each other and function as a scan path during a test and as a data path of the n combinational logic circuits during a normal operation, and Individually connected to predetermined input / output terminals of the combinational logic circuits and predetermined output terminals of the internal scan cell. A boundary scan chain that is connected in series with a boundary scan path functioning as a path of a boundary scan during a test and as a data path of the n combinational logic circuits during a normal operation; and The boundary scan cell connected to an arbitrary control signal terminal for controlling the semiconductor integrated circuit functions as a control signal supply path to the n combinational logic circuits at the time of executing a predetermined instruction even during a test. Semiconductor integrated circuit.
【請求項3】 前記任意の制御信号端子に接続されるバ
ウンダリスキャンセルは、テストデータ入力時にはテス
トデータを、その他通常時には前記組み合わせ論理回路
への制御信号入力を選択して出力する入力マルチプレク
サと、前記入力マルチプレクサの出力を保持しテスト専
用出力端子に出力するスキャンフリップフロップと、テ
ストデータ更新時に前記スキャンフリップフロップの出
力を保持するアップデートフリップフロップと、前記所
定の命令実行時以外のテスト時には前記アップデートフ
リップフロップの出力を、テスト時で且つ前記所定の命
令実行時、或いはテスト以外の時には前記組み合わせ論
理回路への制御信号入力を選択して出力する更新マルチ
プレクサとを有することを特徴とする請求項1または2
に記載の半導体集積回路。
3. A boundary scan cell connected to an arbitrary control signal terminal, comprising: an input multiplexer for selecting and outputting test data at the time of test data input and a control signal input to the combinational logic circuit at other times. A scan flip-flop that holds the output of the input multiplexer and outputs the output to a dedicated test output terminal; an update flip-flop that holds the output of the scan flip-flop when test data is updated; and an update flip-flop that holds the output during a test other than when the predetermined instruction is executed. 2. An update multiplexer for selecting and outputting a control signal input to the combinational logic circuit when outputting the output of the flip-flop at the time of testing and executing the predetermined instruction or other than testing. Or 2
3. The semiconductor integrated circuit according to claim 1.
JP3306385A 1991-11-21 1991-11-21 Semiconductor integrated circuit Expired - Fee Related JP3043871B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP3306385A JP3043871B2 (en) 1991-11-21 1991-11-21 Semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3306385A JP3043871B2 (en) 1991-11-21 1991-11-21 Semiconductor integrated circuit

Publications (2)

Publication Number Publication Date
JPH05142307A JPH05142307A (en) 1993-06-08
JP3043871B2 true JP3043871B2 (en) 2000-05-22

Family

ID=17956387

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3306385A Expired - Fee Related JP3043871B2 (en) 1991-11-21 1991-11-21 Semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP3043871B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0862297A (en) * 1994-08-26 1996-03-08 Nec Corp Digital-signal processing lsi test circuit
JPH0915299A (en) * 1995-06-27 1997-01-17 Nec Eng Ltd Boundary scan circuit and integrated circuit using it
JP3257425B2 (en) * 1996-12-25 2002-02-18 日本電気株式会社 Test circuit and test method
US9810739B2 (en) * 2015-10-27 2017-11-07 Andes Technology Corporation Electronic system, system diagnostic circuit and operation method thereof
CN113702798A (en) * 2020-05-22 2021-11-26 Oppo广东移动通信有限公司 Boundary scan test method, device, equipment, chip and storage medium

Also Published As

Publication number Publication date
JPH05142307A (en) 1993-06-08

Similar Documents

Publication Publication Date Title
US10928445B2 (en) Boundary scan and wrapper circuitry with state machine and multiplexers
US9547042B2 (en) IR enabled gating of TAP and WSP shift, capture, transfer
EP0834081A4 (en) Method and apparatus for testing a megacell in an asic using jtag
JP3043871B2 (en) Semiconductor integrated circuit
US7404129B2 (en) TAP IR control with TAP/WSP or WSP DR control
Li et al. A hierarchical test methodology for systems on chip
JPH0763821A (en) Test circuit
KR20030027989A (en) Chip testing apparatus
Pliva et al. Test Access Circuit for Education
Pliva et al. TEST_ACCESS BLOCK SERIAL SCAN VS. RANDOM ACCESS SCAN

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees