JPH07113934B2 - Logic circuit delay time optimization method - Google Patents

Logic circuit delay time optimization method

Info

Publication number
JPH07113934B2
JPH07113934B2 JP5015997A JP1599793A JPH07113934B2 JP H07113934 B2 JPH07113934 B2 JP H07113934B2 JP 5015997 A JP5015997 A JP 5015997A JP 1599793 A JP1599793 A JP 1599793A JP H07113934 B2 JPH07113934 B2 JP H07113934B2
Authority
JP
Japan
Prior art keywords
logic
delay
tree
input
logic circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP5015997A
Other languages
Japanese (ja)
Other versions
JPH06231204A (en
Inventor
浩 吉川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP5015997A priority Critical patent/JPH07113934B2/en
Publication of JPH06231204A publication Critical patent/JPH06231204A/en
Publication of JPH07113934B2 publication Critical patent/JPH07113934B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、論理回路遅延時間最適
化方法に関し、特に、多相回路および多周期のパスを含
む論理回路の遅延時間を最適化する論理回路遅延時間最
適化方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a logic circuit delay time optimizing method, and more particularly to a logic circuit delay time optimizing method for optimizing a delay time of a logic circuit including a multi-phase circuit and a multi-cycle path.

【0002】[0002]

【従来の技術】代表的な従来の論理回路遅延時間最適化
方法としては、次に示す2種類の方法がある。
2. Description of the Related Art There are the following two types of typical conventional logic circuit delay time optimization methods.

【0003】その第1は、論理回路中の各論理素子に対
して必要時間および到着時間の1組(または、回路の立
上がり/立下がりを考慮すれば2組)を計算し、それら
の値を基にして、論理回路の遅延時間の最適化を行う方
法である。この方法の参考文献としては、“Timing Opt
imization on Mapped Circuits”,Proceedings of 28t
h ACM/IEEE Design Automation Conference, 112〜117
頁,1991年,K.Yosikawa etc. がある。
First, one set of required time and arrival time (or two sets if the rise / fall of the circuit is taken into consideration) is calculated for each logic element in the logic circuit, and those values are calculated. Based on this, it is a method of optimizing the delay time of the logic circuit. For a reference to this method, see “Timing Opt
imization on Mapped Circuits ”, Proceedings of 28t
h ACM / IEEE Design Automation Conference, 112 ~ 117
Page, 1991, K. Yosikawa etc.

【0004】また、その第2は、論理回路の入力部また
は順序回路素子の出力ピンと論理回路の出力部または順
序回路素子の入力ピンとの全ての組み合わせについて、
最悪の遅延時間を計算して最適化する方法である。
Secondly, for all combinations of the input part of the logic circuit or the output pin of the sequential circuit element and the output part of the logic circuit or the input pin of the sequential circuit element,
This is a method of calculating and optimizing the worst delay time.

【0005】この方法の参考文献としては、“特開昭6
3−280301,遅延時間最適化方法”清水嗣雄,影
山直洋がある。
As a reference for this method, see Japanese Patent Laid-Open No.
3-280301, delay time optimization method "Tsushio Shimizu, Naohiro Kageyama.

【0006】さらに、上記の他の参考文献としては、
“Performance-oriented technologymapping ”,In Co
nf. on Advanced Research in VLSI ,79〜97頁,1990
年および“A heuristic for the fanout problem”,DA
C prcceedings ,357 〜360 頁,1990年,K.J.Singh et
c.等がある。
[0006] Further, as other references mentioned above,
"Performance-oriented technology mapping", In Co
nf. on Advanced Research in VLSI, pp. 79-97, 1990
Year and “A heuristic for the fanout problem”, DA
C prcceedings, pp. 357-360, 1990, KJSingh et
c. etc.

【0007】[0007]

【発明が解決しようとする課題】上述した従来の論理回
路遅延時間最適化方法では、第1の方法は、論理回路中
の各論理素子について、1組の遅延値を計算するので、
始点や終点で遅延制約の異なる多相の回路や多周期の回
路については、正しい計算を行うことができないので、
正しく遅延時間の最適化を行うことができないという欠
点を有している。
In the above-mentioned conventional logic circuit delay time optimizing method, the first method calculates a set of delay values for each logic element in the logic circuit.
Correct calculations cannot be performed for multi-phase circuits or multi-cycle circuits that have different delay constraints at the start and end points.
It has a drawback that the delay time cannot be properly optimized.

【0008】また、第2の方法は、全ての入力側と出力
側との組み合わせについての論理回路の遅延時間の計算
を行っているので、計算時間が非常に長くかかるという
欠点を有している。
The second method has a drawback that the calculation time is very long because the delay time of the logic circuit is calculated for all combinations of the input side and the output side. .

【0009】[0009]

【課題を解決するための手段】第1の発明の論理回路遅
延時間最適化方法は、(A)遅延最適化の対象となる論
理回路に対する遅延制約ファイルから順序回路素子の分
類情報を読出して、前記論理回路中に含む順序回路素子
を幾つかの集合に分ける遅延計算集合作成手順と、
(B)前記遅延制約ファイル内にある遅延制約情報およ
びライブラリ内にある順序回路素子を含む各論理素子の
ライブラリ情報を読出して、前記論理回路の各入力部お
よび各順序回路素子の出力ピンのそれぞれについて、各
々の集合に対応する到着時間を設定するとともに、前記
論理回路の各出力部および各順序回路素子のクロック入
力以外の入力ピンのそれぞれについて、各々の集合に対
応する必要時間を設定する集合別遅延値設定手順と、
(C)前記集合別遅延値設定手順で設定した前記論理回
路の各入力部および各順序回路素子の出力ピンのそれぞ
れの到着時間を基にして、順次出力側に向って各論理素
子の到着時間を各集合ごとに計算するとともに、前記集
合別遅延値設定手順で設定した前記論理回路の各出力部
および各順序回路素子の入力ピンのそれぞれの必要時間
を基にして、順次入力側に向って各論理素子の必要時間
を各集合ごとに計算する集合別遅延値計算手順と、
(D)前記論理回路の中に存在するAND,OR,NA
ND,NOR,EXOR,EXNORおよびそれらの複
合論理等のランダム論理素子による再構成すべき木状回
路であるランダム論理木を選び出すランダム論理木抽出
手順と、(E)前記ランダム論理木抽出手順で抽出した
ランダム論理木の入出力の各切り口ごとに、前記集合別
遅延値計算手順で各々の集合ごとに計算した到着時間お
よび必要時間から、到着時間および必要時間の代表値を
設定することにより、前記ランダム論理木抽出手順で抽
出したランダム論理木の入出力の各切り口への制約情報
として前記論理回路のランダム論理木に付加するランダ
ム論理木切り口制約設定手順と、(F)前記ランダム論
理木切り口制約設定手順で付加した制約情報を基にし
て、前記ランダム論理木抽出手順で抽出したランダム論
理木を変換して、再構成による遅延時間の最適化を行う
ランダム論理木変換手順と、を有している。
According to a first aspect of the present invention, there is provided a logic circuit delay time optimizing method, comprising: (A) reading out classification information of sequential circuit elements from a delay constraint file for a logic circuit to be subjected to delay optimization, A delay calculation set creating procedure for dividing the sequential circuit elements included in the logic circuit into some sets;
(B) The delay constraint information in the delay constraint file and the library information of each logic element including the sequential circuit element in the library are read out, and each input section of the logic circuit and each output pin of each sequential circuit element are read out. A set of arrival times corresponding to each set, and set necessary times corresponding to each set for each output pin of the logic circuit and each input pin other than the clock input of each sequential circuit element. Another delay value setting procedure,
(C) Based on the arrival time of each input section of the logic circuit and the output pin of each sequential circuit element set in the delay value setting procedure for each set, the arrival time of each logic element sequentially toward the output side While calculating for each set, based on the required time of each output section of the logic circuit and the input pin of each sequential circuit element set in the delay value setting procedure for each set, sequentially toward the input side. A delay value calculation procedure for each set for calculating the required time of each logic element for each set,
(D) AND, OR, NA existing in the logic circuit
Random logic tree extraction procedure for selecting a random logic tree that is a tree-like circuit to be reconfigured by random logic elements such as ND, NOR, EXOR, EXNOR, and their complex logic, and (E) extraction by the random logic tree extraction procedure For each cut of the input and output of the random logical tree, from the arrival time and the required time calculated for each set in the set-based delay value calculation procedure, by setting the representative value of the arrival time and the required time, A random logical tree cut constraint setting procedure for adding to the random logical tree of the logical circuit as constraint information for input / output of the random logical tree extracted by the random logical tree extraction procedure, and (F) the random logical tree cut constraint Based on the constraint information added in the setting procedure, the random logical tree extracted in the random logical tree extracting procedure is transformed and re-created. Has a random logic tree transformation procedure, a to optimize the delay time due to growth.

【0010】また、第2の発明の論理回路遅延時間最適
化方法は、(A)遅延最適化の対象となる論理回路に対
する遅延制約ファイルから順序回路素子の分類情報を読
出して、前記論理回路中に含む順序回路素子を幾つかの
集合に分け、(B)前記遅延制約ファイル内にある遅延
制約情報およびライブラリ内にある順序回路素子を含む
各論理素子のライブラリ情報を読出して、前記論理回路
の各入力部および各順序回路素子の出力ピンのそれぞれ
について、各々の集合に対応する到着時間を設定すると
ともに、前記論理回路の各出力部および各順序回路素子
のクロック入力以外の入力ピンのそれぞれについて、各
々の集合に対応する必要時間を設定し、(C)設定した
前記論理回路の各入力部および各順序回路素子の出力ピ
ンのそれぞれの到着時間を基にして、順次出力側に向っ
て各論理素子の到着時間を各集合ごとに計算するととも
に、設定した前記論理回路の各出力部および各順序回路
素子の入力ピンのそれぞれの必要時間を基にして、順次
入力側に向って各論理素子の必要時間を各集合ごとに計
算し、(D)前記論理回路の中に存在するAND,O
R,NAND,NOR,EXOR,EXNORおよびそ
れらの複合論理等のランダム論理素子による再構成すべ
き木状回路であるランダム論理木を抽出し、(E)抽出
したランダム論理木の入出力の各切り口ごとに、各々の
集合ごとに計算した到着時間および必要時間から、到着
時間および必要時間の代表値を設定することにより、抽
出したランダム論理木の入出力の各切り口への制約情報
として前記論理回路のランダム論理木に付加し、(F)
付加した制約情報を基にして、抽出したランダム論理木
を変換して、再構成による遅延時間の最適化を行う、こ
とを含んでいる。
In the logic circuit delay time optimizing method of the second invention, (A) the classification information of the sequential circuit elements is read from the delay constraint file for the logic circuit to be the target of the delay optimization, and The sequential circuit elements included in the above are divided into several sets, and (B) the delay constraint information in the delay constraint file and the library information of each logic element including the sequential circuit elements in the library are read out, and For each input section and each output pin of each sequential circuit element, the arrival time corresponding to each set is set, and for each output section of the logic circuit and each input pin other than the clock input of each sequential circuit element. , (C) sets the required time corresponding to each set, and (C) arrives at each input section of the logic circuit and the output pin of each sequential circuit element. Based on the time, the arrival time of each logic element is calculated for each set sequentially toward the output side, and the required time of each output part of the logic circuit and the input pin of each sequential circuit element that has been set is calculated. On the basis of this, the required time of each logic element is calculated for each set sequentially toward the input side, and (D) AND, O existing in the logic circuit is calculated.
A random logic tree that is a tree-like circuit to be reconfigured by random logic elements such as R, NAND, NOR, EXOR, EXNOR and their complex logic is extracted, and (E) each input / output section of the extracted random logic tree For each set, a representative value of the arrival time and the required time is set from the arrival time and the required time calculated for each set, so that the logic circuit is used as constraint information for each cut of the input / output of the extracted random logic tree. To the random logical tree of (F)
It includes converting the extracted random logic tree based on the added constraint information and optimizing the delay time by reconstruction.

【0011】一方、第3の発明の論理回路遅延時間最適
化方法は、第1の発明の遅延計算集合作成手順と、第1
の発明の集合別遅延値設定手順と、第1の発明の集合別
遅延値計算手順とを有する論理回路遅延時間最適化方法
において、(A)遅延最適化の対象となる論理回路の中
に存在する、バッファ,インバータおよびそれらの複合
論理等の信号分配素子による再構成すべき木状回路であ
る信号分配木を選び出す信号分配木抽出手順と、(B)
前記信号分配木抽出手順で抽出した信号分配木の入出力
の各切り口ごとに、前記集合別遅延値計算手順で各々の
集合ごとに計算した到着時間および必要時間から、到着
時間および必要時間の代表値を設定することにより、前
記信号分配木抽出手順で抽出した信号分配木の入出力の
各切り口への制約情報として前記論理回路の信号分配木
に付加する信号分配木切り口制約設定手順と、(C)前
記信号分配木切り口制約設定手順で付加した制約情報を
基にして、前記信号分配木抽出手順で抽出した信号分配
木を変換して、再構成による遅延時間の最適化を行う信
号分配木変換手順と、を有している。
On the other hand, the logic circuit delay time optimizing method of the third invention comprises a delay calculation set creating procedure of the first invention and a first embodiment.
In the logic circuit delay time optimizing method having the set-by-set delay value setting procedure of the invention and the set-by-set delay value calculation procedure, (A) existing in a logic circuit to be subjected to delay optimization. And a signal distribution tree extracting procedure for selecting a signal distribution tree that is a tree-like circuit to be reconfigured by signal distribution elements such as a buffer, an inverter, and their complex logic.
From the arrival time and the required time calculated for each set by the set-based delay value calculation procedure for each input / output section of the signal distribution tree extracted by the signal distribution tree extraction procedure, a representative of the arrival time and the required time By setting a value, a signal distribution tree cut constraint setting procedure to be added to the signal distribution tree of the logic circuit as constraint information for input / output cuts of the signal distribution tree extracted in the signal distribution tree extraction procedure, C) A signal distribution tree for optimizing delay time by reconstruction by converting the signal distribution tree extracted in the signal distribution tree extraction procedure based on the constraint information added in the signal distribution tree cut constraint setting procedure. And a conversion procedure.

【0012】さらに、第4の発明の論理回路遅延時間最
適化方法は、(A)遅延最適化の対象となる論理回路に
対する遅延制約ファイルから順序回路素子の分類情報を
読出して、前記論理回路中に含む順序回路素子を幾つか
の集合に分け、(B)前記遅延制約ファイル内にある遅
延制約情報およびライブラリ内にある順序回路素子を含
む各論理素子のライブラリ情報を読出して、前記論理回
路の各入力部および各順序回路素子の出力ピンのそれぞ
れについて、各々の集合に対応する到着時間を設定する
とともに、前記論理回路の各出力部および各順序回路素
子のクロック入力以外の入力ピンのそれぞれについて、
各々の集合に対応する必要時間を設定し、(C)設定し
た前記論理回路の各入力部および各順序回路素子の出力
ピンのそれぞれの到着時間を基にして、順次出力側に向
って各論理素子の到着時間を各集合ごとに計算するとと
もに、設定した前記論理回路の各出力部および各順序回
路素子の入力ピンのそれぞれの必要時間を基にして、順
次入力側に向って各論理素子の必要時間を各集合ごとに
計算し、(D)遅延最適化の対象となる論理回路の中に
存在する、バッファ,インバータおよびそれらの複合論
理等の信号分配素子による再構成すべき木状回路である
信号分配木を抽出し、(E)抽出した信号分配木の入出
力の各切り口ごとに、各々の集合ごとに計算した到着時
間および必要時間から、到着時間および必要時間の代表
値を設定することにより、抽出した信号分配木の入出力
の各切り口への制約情報として前記論理回路の信号分配
木に付加し、(F)付加した制約情報を基にして、抽出
した信号分配木を変換して、再構成による遅延時間の最
適化を行う、ことを含んでいる。
Further, in the logic circuit delay time optimizing method of the fourth invention, (A) the classification information of the sequential circuit element is read from the delay constraint file for the logic circuit to be the object of delay optimization, and The sequential circuit elements included in the above are divided into several sets, and (B) the delay constraint information in the delay constraint file and the library information of each logic element including the sequential circuit elements in the library are read out, and For each input section and each output pin of each sequential circuit element, the arrival time corresponding to each set is set, and for each output section of the logic circuit and each input pin other than the clock input of each sequential circuit element. ,
A required time corresponding to each set is set, and (C) each logic is sequentially directed toward the output side based on the arrival time of each set input part of the logic circuit and each output pin of each sequential circuit element. The arrival time of the elements is calculated for each set, and based on the required times of the output pins of the logic circuit and the input pins of the sequential circuit elements that have been set, the logical elements of the logic elements are sequentially turned toward the input side. (D) A tree-like circuit to be reconfigured by a signal distribution element such as a buffer, an inverter, and their complex logic, which is present in the logic circuit to be subjected to delay optimization, by calculating the required time for each set. A certain signal distribution tree is extracted, and (E) for each input / output section of the extracted signal distribution tree, a representative value of the arrival time and the necessary time is set from the arrival time and the necessary time calculated for each set. thing Then, the extracted signal distribution tree is added to the signal distribution tree of the logic circuit as constraint information for each input / output section of the extracted signal distribution tree, and (F) the extracted signal distribution tree is converted based on the added constraint information. , Including optimization of the delay time by reconstruction.

【0013】[0013]

【実施例】次に、本発明の実施例について図面を参照し
て説明する。図1は、本発明の論理回路遅延時間最適化
方法の第1の実施例を示す流れ図である。また、図2
は、遅延時間を最適化する論理回路9の一例を示す図で
ある。そして、図3は、遅延制約ファイル8の内容の一
例を示す図である。さらに、図4は、論理回路9の中に
含む順序回路素子Z1,Z2,Z3,Z4,〜を分けた
集合S1,S2,S3,〜の一例を示す図である。
Embodiments of the present invention will now be described with reference to the drawings. FIG. 1 is a flow chart showing a first embodiment of the logic circuit delay time optimizing method of the present invention. Also, FIG.
FIG. 6 is a diagram showing an example of a logic circuit 9 for optimizing a delay time. 3 is a diagram showing an example of the contents of the delay constraint file 8. Further, FIG. 4 is a diagram showing an example of a set S1, S2, S3, ... In which the sequential circuit elements Z1, Z2, Z3, Z4 ,.

【0014】まず、図1に示すように、遅延計算集合作
成手順1は、図2に示す論理回路9の内容と図3に示す
遅延制約ファイル8の内容とを読込み、以下に示すよう
に、順序回路素子Z1,Z2,Z3,Z4,〜を集合S
1,S2,S3,〜のそれぞれに分ける。
First, as shown in FIG. 1, in the delay calculation set creation procedure 1, the contents of the logic circuit 9 shown in FIG. 2 and the contents of the delay constraint file 8 shown in FIG. 3 are read, and as shown below, Sequential circuit elements Z1, Z2, Z3, Z4, ...
1, S2, S3, ...

【0015】図3には、各相の組合わせごとの制約情報
として、 φi → φj = x ………………(1) ただし、i=1,2,……、j=1,2,…… により、クロックφiが入力されている順序回路素子Z
iを始点としてクロックφjが入力されている順序回路
素子Zjを終点とするパス間の遅延時間がx以内である
ことを示して遅延制約の値がxであるとしている。
In FIG. 3, as constraint information for each combination of phases, φi → φj = x (1) where i = 1, 2, ..., j = 1, 2, ……, the sequential circuit element Z to which the clock φi is input
The value of the delay constraint is x, which indicates that the delay time between the paths starting from i and ending with the sequential circuit element Zj to which the clock φj is input is within x.

【0016】また、順序回路素子Z1,Z2,Z3,Z
4,〜の中で、多周期パスの始点の集合をUi(ただ
し、Ui={Zi}、i=1,2,……)とし、多周期
パスの終点の集合をUj(ただし、Uj={Zj}、j
=1,2,……)として、 Ui → Uj = y ………………(2) により、集合Uiの要素から集合Ujの要素への遅延時
間がy以内であることを示して遅延制約の値がyである
としている。
Further, the sequential circuit elements Z1, Z2, Z3, Z
4, 4 to, the set of starting points of the multi-cycle path is Ui (where Ui = {Zi}, i = 1, 2, ...), and the set of end points of the multi-cycle path is Uj (where Uj = {Zj}, j
= 1, 2, ...), Ui → Uj = y ............ (2) indicates that the delay time from the elements of the set Ui to the elements of the set Uj is within y. Is assumed to be y.

【0017】このような式(1),式(2)を合わせ
て、順序回路素子Z1,Z2,Z3,Z4,〜の相互間
の遅延制約と呼ぶこととする。なお、遅延制約の値x,
yは、始点側の順序回路素子Z1,Z2,Z3,Z4,
〜に対してクロックφ1,φ2,〜の基準となるエッジ
が入力された時刻を“0”として示す値である。
The expressions (1) and (2) are collectively referred to as a delay constraint between the sequential circuit elements Z1, Z2, Z3, Z4 ,. Note that the delay constraint value x,
y is the sequential circuit element Z1, Z2, Z3, Z4 on the starting point side.
It is a value indicating that the time when the reference edge of the clocks φ1, φ2, ...

【0018】一方、 Ii,φj=yj,φk=yh ………………(3) ただし、i,j,k,hは、各々1,2,…… により、論理回路9の入力部Iiにおけるそれぞれクロ
ックφj,φkを用いた入力信号の到着時間yj,yh
を示し、 Oi,φj=yj,φk=yh ………………(4) により、論理回路9の出力部Oiにおけるそれぞれクロ
ックφj,φkを用いる出力信号を必要とする時間がそ
れぞれyj,yh以内であることを示して、必要時間が
yj,yhであるとしている。すなわち、到着時間は、
論理回路の始点側から信号が到着する時間を、順々に計
算するものであり、必要時間は、論理回路の終点側に遅
延制約の値を付与し、ここから始点側へ順々に、終点側
の遅延制約が満たされるために、各論理素子へ到着する
ことが必要な時間の目標値である。なお、このような到
着時間(arrival time) および必要時間(required tim
e)の参考文献としては、“Timing Analysis of Compute
r Hardware”, IBM J.Res.Develop.26(1), 100〜105
頁,1982, R.B.Hitchcock,Sr.etc.がある。
On the other hand, Ii, φj = yj, φk = yh (3) where i, j, k, and h are 1, 2, ... At the arrival time yj, yh of the input signal using the clocks φj, φk, respectively.
And Oi, φj = yj, φk = yh (4), the time required for the output signals using the clocks φj and φk at the output section Oi of the logic circuit 9 is yj, yh, respectively. The required time is yj, yh. That is, the arrival time is
The time required for a signal to arrive from the starting point side of the logic circuit is calculated in order.The necessary time is given a delay constraint value to the end point side of the logic circuit, and from here to the end point, the end point This is the target value of the time required to reach each logic element in order to satisfy the delay constraint on the side. In addition, such arrival time (arrival time) and required time (required tim)
References for e) are “Timing Analysis of Compute
r Hardware ”, IBM J. Res. Develop.26 (1), 100-105
Page, 1982, RB Hitchcock, Sr. etc.

【0019】そこで、遅延計算集合作成手順1は、順序
回路素子Z1,Z2,Z3,Z4,〜の相互間の遅延制
約である式(1),式(2)の始点側の集合、すなわ
ち、式(1)でクロックφiを入力する集合および式
(2)での集合Uiに基いて、順序回路素子Z1,Z
2,Z3,Z4,〜を次のように分割する。
Therefore, the delay calculation set creation procedure 1 is a set on the starting point side of the formulas (1) and (2), which are delay constraints among the sequential circuit elements Z1, Z2, Z3, Z4 ,. Sequential circuit elements Z1, Z based on the set that inputs the clock φi in equation (1) and the set Ui in equation (2).
2, Z3, Z4, ~ are divided as follows.

【0020】まず、式(2)より、集合Siとして集合
Uiを用いる。そして、集合Uiとして同じ集合が複数
回出現すれば、一つだけを残して他を消去する。次に、
その各々の集合Siを入力されるクロックφx(ただ
し、x=1,2,……)ごとに分割して集合Six(た
だし、i=1,2,……、x=1,2,……)とする。
このとき、集合Sixの中に空のものがあれば消去す
る。
First, from the equation (2), the set Ui is used as the set Si. When the same set appears as the set Ui multiple times, only one is left and the other is deleted. next,
Each set Si is divided for each input clock φx (where x = 1, 2, ...), and a set Six (where i = 1, 2, ..., X = 1, 2 ,. ).
At this time, if there is an empty one in the set Six, it is deleted.

【0021】次に、クロックφx(ただし、x=1,
2,……)ごとに順序回路素子Z1,Z2,Z3,Z
4,〜の中で上記の集合Sixに含まれるものをすべて
取除いた集合をSxとする。このとき、集合Sxの中に
空のものがあれば消去する。
Next, the clock φx (where x = 1,
2, ...) for each sequential circuit element Z1, Z2, Z3, Z
A set obtained by removing all of the items included in the set Six from the items 4 to 4 is Sx. At this time, if there is an empty set Sx, it is deleted.

【0022】以上によって作成した集合Six,Sx
は、全体の順序回路素子Z1,Z2,Z3,Z4,〜の
真部分集合となる分割になっている。そこで、以上によ
り作成した集合Six,Sxの全体を改めて集合Si
(ただし、i=1,2,……h)と再定義する。
The sets Six and Sx created as described above
Is a division that is a true subset of the entire sequential circuit elements Z1, Z2, Z3, Z4 ,. Therefore, the entire set Six, Sx created as described above is newly set
(However, i = 1, 2, ... H) is redefined.

【0023】例えば、図2の論理回路9に図3の遅延制
約が与えられたときには、始点側としてクロックφ1,
φ2が入っている順序回路素子Z1,Z2およびZ3,
Z4を有しているが、図4に示しているように、クロッ
クφ1が入っている順序回路素子Z1,Z2は、図3に
集合U1を始点とする遅延制約があるので図3の遅延制
約により分割され、集合S1としての{Z1}と集合S
2としての{Z2}とになり、クロックφ2が入ってい
る順序回路素子Z3,Z4は、集合S3としての{Z
3,Z4}になっている。
For example, when the delay constraint of FIG. 3 is given to the logic circuit 9 of FIG.
Sequential circuit elements Z1, Z2 and Z3 containing φ2
Although it has Z4, as shown in FIG. 4, the sequential circuit elements Z1 and Z2 containing the clock φ1 have a delay constraint starting from the set U1 in FIG. Is divided by {Z1} and set S as set S1.
2 becomes {Z2}, and the sequential circuit elements Z3 and Z4 in which the clock φ2 is input are {Z2} as set S3.
3, Z4}.

【0024】次に、集合別遅延値設定手順2は、遅延計
算集合作成手順1によって作成した集合S1,S2,〜
Shの数hだけの遅延値を、論理回路9に対して各順序
回路素子Z1,Z2,Z3,Z4,〜と入力部I1,I
2,〜と出力部O1,O2,〜とに設定する。また、遅
延値としては、順序回路素子Z1,Z2,Z3,Z4,
〜の出力ピンと入力部I1,I2,〜とにはそれぞれの
到着時間を設定する。すなわち、入力部I1,I2,〜
に対しては、遅延制約の式(3)の値を設定し、順序回
路素子Z1,Z2,Z3,Z4,〜の出力ピンに対して
は、順序回路素子Z1,Z2,Z3,Z4,〜のライブ
ラリ7から読出した値を設定する。そして、順序回路素
子Z1,Z2,Z3,Z4,〜の入力ピンと出力部O
1,O2,〜とにそれぞれの必要時間を設定する。すな
わち、出力部O1,O2,〜に対して、遅延制約の式
(4)の値を設定し、順序回路素子Z1,Z2,Z3,
Z4,〜の入力ピンに対しては、遅延制約の式(1),
(2)の値から、上記の集合Siのそれぞれに対応する
遅延制約の値を設定する。
Next, in the delay value setting procedure 2 for each set, the sets S1, S2 ,.
Delay values corresponding to the number h of Sh are input to the logic circuit 9 by the sequential circuit elements Z1, Z2, Z3, Z4, ...
2 to and output units O1, O2 to. Further, as the delay value, the sequential circuit elements Z1, Z2, Z3, Z4,
The respective arrival times are set to the output pin of ~ and the input sections I1, I2, ~. That is, the input units I1, I2, ...
For the output pins of the sequential circuit elements Z1, Z2, Z3, Z4, ..., Sequential circuit elements Z1, Z2, Z3, Z4 ,. The value read from the library 7 is set. Then, the input pins of the sequential circuit elements Z1, Z2, Z3, Z4, ...
The required times are set to 1, O2 ,. That is, the values of the delay constraint equation (4) are set for the output units O1, O2, ... And the sequential circuit elements Z1, Z2, Z3.
For the input pins Z4, ..., Delay constraint equations (1),
From the value of (2), the value of the delay constraint corresponding to each of the above set Si is set.

【0025】このような遅延値は、〔D1,D2,D
3,〜Dh〕のように表現している。ここで、遅延値D
iは、集合Siに対する遅延値を表わしている。図4に
示す場合には、〔D1,D2,D3〕として、D1は集
合S1に対する遅延値、D2は集合S2に対する遅延
値、D3は集合S3に対する遅延値をそれぞれ示すこと
ができる。
Such a delay value is [D1, D2, D
3, ~ Dh]. Here, the delay value D
i represents the delay value for the set Si. In the case shown in FIG. 4, as [D1, D2, D3], D1 can be the delay value for the set S1, D2 can be the delay value for the set S2, and D3 can be the delay value for the set S3.

【0026】例えば、順序回路素子Z1,Z2,Z3,
Z4の出力ピンについての一例を示すと、対象となって
いる集合S1,S2,S3に対する順序回路素子Z1,
Z2,Z3の遅延値については、ライブラリ7から読出
した値0.8が入り、その他をNULLとすることによ
り到着時間が得られる。すなわち、 順序回路素子Z1の出力ピンの到着時間 〔0.8,NULL,NULL〕 順序回路素子Z2の出力ピンの到着時間 〔NULL,0.8,NULL〕 順序回路素子Z3,Z4の出力ピンの到着時間〔NULL,NULL,0.8〕 また、順序回路素子Z1,Z2,Z3,Z4の入力ピン
についての一例を示すと、対象となっている集合S1,
S2,S3が始点となり、順序回路素子Z1,Z2,Z
3,Z4が終点となった場合の遅延時間を、遅延制約フ
ァイル8から読出した図3の順序回路素子Z1,Z2,
Z3,Z4,〜の相互間の遅延制約から捜して、必要時
間としてセットする。すなわち、 順序回路素子Z1の入力ピンの必要時間 〔20,20,10〕 順序回路素子Z2の入力ピンの必要時間 〔40,20,10〕 順序回路素子Z3の入力ピンの必要時間 〔30,10,20〕 順序回路素子Z4の入力ピンの必要時間 〔10,10,20〕 例えば、順序回路素子Z2の入力ピンの必要時間では、
集合S1を始点とする第1項はU1→U2=40の遅延
制約から40となって、集合S2を始点とする第2項は
始点も終点もクロックφ1を使用するのでφ1→φ1=
20の遅延制約から20となって、集合S3を始点とす
る第3項は始点がクロックφ2を用いて終点がクロック
φ1を用いるのでφ2→φ1=10の遅延制約から10
となっている。一方、論理回路9の入力部I1,I2に
ついては、式(3)で与えられている集合S1,S2,
S3はその値を、それ以外はNULLを到着時間として
セットする。すなわち、 論理回路9の入力部I1の到着時間 〔NULL,5,3〕 論理回路9の入力部I2の到着時間 〔NULL,4,2〕 さらに、論理回路9の出力部O1,O2については、式
(4)で与えられている集合S1,S2,S3はその値
を、それ以外もその集合の入力クロックに対する値を必
要時間としてセットする。すなわち、 論理回路9の出力部O1の必要時間 〔7,7,5〕 論理回路9の出力部O2の必要時間 〔7,7,7〕 例えば、出力部O1の必要時間では、O1,φ1=7,
φ2=5の遅延制約により、集合S1を始点とする第1
項はクロックφ1を使用するので7となって、集合S2
を始点とする第2項はクロックφ1を使用するので7と
なり、集合S3を始点とする第3項はクロックφ2を使
用するので5となっている。次に、集合別遅延値計算手
順3は、順序回路素子以外のランダム論理素子11−
1,11−2,11−3や信号分配素子13−1,13
−2,13−3等を含む組み合わせ回路10について、
必要時間と到着時間とを次のように計算する。
For example, the sequential circuit elements Z1, Z2, Z3
As an example of the output pin of Z4, the sequential circuit element Z1, for the target set S1, S2, S3
For the delay values of Z2 and Z3, the value 0.8 read from the library 7 is entered, and the others are set to NULL to obtain the arrival time. That is, the arrival time of the output pin of the sequential circuit element Z1 [0.8, NULL, NULL] the arrival time of the output pin of the sequential circuit element Z2 [NULL, 0.8, NULL] of the output pin of the sequential circuit element Z3, Z4. Arrival time [NULL, NULL, 0.8] Further, as an example of the input pins of the sequential circuit elements Z1, Z2, Z3, Z4, the target set S1,
S2, S3 are the starting points, and the sequential circuit elements Z1, Z2, Z
3, the delay time when Z4 is the end point is read from the delay constraint file 8 and the sequential circuit elements Z1, Z2 of FIG.
It is searched from the delay constraints between Z3, Z4, ... And set as the required time. That is, the required time of the input pin of the sequential circuit element Z1 [20, 20, 10] The required time of the input pin of the sequential circuit element Z2 [40, 20, 10] The required time of the input pin of the sequential circuit element Z3 [30, 10 , 20] Required time of the input pin of the sequential circuit element Z4 [10, 10, 20] For example, in the required time of the input pin of the sequential circuit element Z2,
The first term starting from the set S1 becomes 40 due to the delay constraint of U1 → U2 = 40, and the second term starting from the set S2 uses the clock φ1 for both the starting point and the ending point, so φ1 → φ1 =
The delay constraint of 20 results in 20, and the third term starting from the set S3 uses the clock φ2 as the start point and the clock φ1 as the end point, so that the delay constraint of φ2 → φ1 = 10 results in 10
Has become. On the other hand, for the input parts I1 and I2 of the logic circuit 9, the sets S1, S2 and
S3 sets that value, otherwise NULL is set as the arrival time. That is, the arrival time of the input section I1 of the logic circuit 9 [NULL, 5, 3] The arrival time of the input section I2 of the logic circuit 9 [NULL, 4, 2] Furthermore, regarding the output sections O1 and O2 of the logic circuit 9, The set S1, S2, S3 given by the equation (4) sets the value as the required time, and the other values as the required time for the input clock of the set. That is, the required time of the output section O1 of the logic circuit 9 [7, 7, 5] The required time of the output section O2 of the logic circuit 9 [7, 7, 7] For example, in the required time of the output section O1, O1, φ1 = 7,
Due to the delay constraint of φ2 = 5, the first starting from the set S1
Since the term uses the clock φ1, it becomes 7 and the set S2
The second term starting from is the clock φ1 and is 7, and the third term starting from the set S3 is 5 because the clock is φ2. Next, the delay value calculation procedure 3 for each set is performed by the random logic element 11- other than the sequential circuit element.
1, 11-2, 11-3 and signal distribution elements 13-1, 13
Regarding the combinational circuit 10 including −2, 13-3, etc.,
The required time and arrival time are calculated as follows.

【0027】そこで、論理回路9に対する入力部I1,
I2,〜および順序回路素子Z1,Z2,Z3,Z4,
〜の出力ピンの到着時間は、集合別遅延値設定手順2に
より与えられているので、その他のランダム論理素子1
1−1,11−2,11−3および信号分配素子13−
1,13−2,13−3などの論理回路素子kの集合S
i(ただし、i=1,2,……hであり、遅延計算集合
作成手順1により作成された集合である。)に対する到
着時間Tikについて、入力側から順に次式で計算す
る。
Therefore, the input portions I1, to the logic circuit 9 are
I2, ... and sequential circuit elements Z1, Z2, Z3, Z4
Since the arrival times of the output pins of ~ are given by the delay value setting procedure 2 for each set, the other random logic elements 1
1-1, 11-2, 11-3 and signal distribution element 13-
A set S of logic circuit elements k such as 1, 13-2, 13-3
The arrival time Tik for i (where i = 1, 2, ... H, which is the set created by the delay calculation set creating procedure 1) is calculated in order from the input side by the following equation.

【0028】 Tik=max(Tij+Djk) ………………(5) ただし、jは、論理回路素子kの入力側に接続した論理
回路素子 Tijは、集合Siに対する論理回路素子jの到着時間 Djkは、論理回路素子jからkまでの遅延時間 そして、到着時間TijがNULLのときには、上記の
計算の対象外として、すべての論理回路素子kの入力側
に接続した論理回路素子jの到着時間TijがNULL
のときには、到着時間TikもNULLとする。
Tik = max (Tij + Djk) (5) where j is the logic circuit element connected to the input side of the logic circuit element k, and Tij is the arrival time Djk of the logic circuit element j with respect to the set Si. Is the delay time from the logic circuit elements j to k, and when the arrival time Tij is NULL, the arrival time Tij of the logic circuit elements j connected to the input side of all the logic circuit elements k is excluded from the above calculation. Is NULL
In the case of, the arrival time Tik is also set to NULL.

【0029】さらに、論理回路9に対する出力部O1,
O2,〜および順序回路素子Z1,Z2,Z3,Z4,
〜の入力ピンの必要時間は、集合別遅延値設定手順2に
より与えられているので、その他のランダム論理素子1
1−1,11−2,11−3や信号分配素子13−1,
13−2,13−3などの論理回路素子kの集合Siに
対する必要時間Hikについて、出力側から順に次式で
計算する。
Further, the output units O1, to the logic circuit 9 are
O2, ... And sequential circuit elements Z1, Z2, Z3, Z4
Since the required time of the input pins of ~ is given by the delay value setting procedure 2 for each set, the other random logic elements 1
1-1, 11-2, 11-3 and the signal distribution element 13-1,
The required time Hik for the set Si of the logic circuit elements k such as 13-2 and 13-3 is calculated by the following equation in order from the output side.

【0030】 Hik=min(Hij−Djk) ………………(6) ただし、jは、論理回路素子iの出力側に接続した論理
回路素子 Hijは、集合Siに対する論理回路素子jの必要時間 Djkは、論理回路素子jからkまでの遅延時間 そして、必要時間HijがNULLのときには、上記の
計算の対象外として、すべての論理回路素子kの出力側
に接続した論理回路素子jの到着時間HijがNULL
のときには、到着時間HikもNULLとする。
Hik = min (Hij-Djk) (6) where j is the logic circuit element Hij connected to the output side of the logic circuit element i, and the logic circuit element j is required for the set Si. The time Djk is the delay time from the logic circuit elements j to k. When the required time Hij is NULL, the logic circuit elements j connected to the output side of all the logic circuit elements k are excluded from the above calculation. Time Hij is NULL
In the case of, the arrival time Hik is also set to NULL.

【0031】そして、次の式(7)の値により各論理回
路素子の余裕度Slackを計算して、余裕度Slac
kが負のものを遅延制約違反であると判定する。
Then, the margin Slack of each logic circuit element is calculated by the value of the following equation (7) to obtain the margin Slac.
If k is negative, it is determined that the delay constraint is violated.

【0032】 Slack=min(Tik−Hik) ………………(7) ただし、kは、論理回路素子 Tikは、到着時間 Hikは、必要時間 すなわち、すべての集合Siについての最小値を求め
る。なお、このときにはNULLのものは無視する。そ
して、この結果により遅延制約違反がない場合には、全
体の処理を終了する。
Slack = min (Tik−Hik) (7) where k is a logic circuit element Tik is an arrival time Hik is a required time, that is, the minimum value for all sets Si is obtained. . At this time, NULL is ignored. Then, if there is no delay constraint violation as a result of this, the entire processing is terminated.

【0033】そして、図5は、ランダム論理木12の一
例を示す図である。ランダム論理木抽出手順4は、論理
回路9よりランダム論理素子11−1,11−2,11
−3を含む木状回路である図5に示すような再構成すべ
きランダム論理木12を選び出す。どのランダム論理木
12を選択するかについては、遅延値の大きさや論理回
路の構成を考えて選択するための様々なヒューリスティ
ックな手法が存在している。本発明では、このようなラ
ンダム論理木の選択方法には関わらず、様々な従来の手
法が適用可能であり、本実施例にも様々な従来の手法が
適用できる。
FIG. 5 is a diagram showing an example of the random logic tree 12. The random logic tree extraction procedure 4 is performed by the logic circuit 9 including the random logic elements 11-1, 11-2, 11
A random logic tree 12 to be reconfigured as shown in FIG. 5, which is a tree-shaped circuit including -3, is selected. There are various heuristic methods for selecting which random logic tree 12 in consideration of the size of the delay value and the configuration of the logic circuit. In the present invention, various conventional methods can be applied regardless of such a method of selecting a random logic tree, and various conventional methods can also be applied to this embodiment.

【0034】本実施例では、遅延計算集合作成手順1で
作成された集合Si(i=1,2,……h)ごとに、遅
延値(到着時間,必要時間)を計算しているが、従来の
論理回路遅延時間最適化方法のランダム論理木変換手順
は一組の到着時間,必要時間しか取扱うことができない
ので、従来の方法を用いるためにこのような集合Siご
との到着時間,必要時間を一組の到着時間の代表値,必
要時間の代表値に変換する必要がある。そこで、ランダ
ム論理木切り口制約設定手順5は、この選び出されたラ
ンダム論理木12における各入力側の切り口n1,n
2,〜nfおよび出力側の切り口rに対して先の集合別
遅延値計算手順3で計算した到着時間Tnp,i(切り
口npにおける集合Siに対する到着時間、p=1,
2,……f)および必要時間Hr,i(切り口rにおけ
る集合Siに対する必要時間)により、 Dnp=min(Hr,i−Tnp,i) ………………(8) すなわち、遅延計算の集合Siについての最小値を求め
る。これは、切り口rにおける集合Siに対する必要時
間Hr,iから切り口npにおける集合Siに対する到
着時間Tnp,iを差引いた差は、その集合Siに対し
て切り口rから切り口npの間に要しても良い(すなわ
ち、遅延制約が満足できる)遅延時間を表わしている。
そして、 Dmax=max(Dnp) ………………(9) すなわち、入力側の切り口n1,n2,〜nfに対する
最大値を求める。
In this embodiment, the delay value (arrival time, required time) is calculated for each set Si (i = 1, 2, ... H) created in the delay calculation set creation procedure 1. Since the random logic tree conversion procedure of the conventional logic circuit delay time optimization method can handle only one set of arrival time and required time, in order to use the conventional method, such arrival time and required time for each set Si are required. Should be converted into a set of representative values of arrival times and representative values of required times. Therefore, the random logic tree cut constraint setting procedure 5 is performed by the cuts n1 and n on each input side in the selected random logic tree 12.
2, to nf and the arrival time Tnp, i calculated in the above-described set-based delay value calculation procedure 3 for the cut r on the output side (arrival time for the set Si at the cut np, p = 1,
2, ... f) and required time Hr, i (required time for set Si at cut r) Dnp = min (Hr, i-Tnp, i) (8) That is, Find the minimum value for the set Si. This is because the difference obtained by subtracting the arrival time Tnp, i for the set Si in the cut np from the required time Hr, i for the set Si in the cut r is required between the cut r and the cut np for the set Si. It represents a good delay time (ie, the delay constraint is satisfied).
Then, Dmax = max (Dnp) (9) That is, the maximum value is calculated for the cuts n1, n2, to nf on the input side.

【0035】さらに、 Hr=Dmax ……………(10) Tnp=Dmax−Dnp ……………(11) として、Hrを出力側の切り口rの必要時間の代表値と
して設定して、Tnpを入力側の切り口n1,n2,〜
nfの到着時間の代表値として設定する。上記のDma
xは、式(9)の定義より切り口rから切り口npの間
に要しても良い遅延時間の最大値であって、式(10)
に示すように、この値が切り口rの必要時間Hrの代表
値として設定されている。そこで、切り口npの到着時
間の代表値は、切り口rの必要時間の代表値からこの値
を引算した結果が、式(8)のDnpになるように設定
すれば良い。すなわち、Hr−Tnp=Dnpを満足す
れば良い。故に、Tnp=Hr−Dnp=Dmax−D
npとなる。
Further, by setting Hr = Dmax (10) Tnp = Dmax-Dnp (11), Hr is set as a representative value of the required time of the cut r on the output side, and Tnp is set. Input side cuts n1, n2, ~
Set as a representative value of the arrival time of nf. The above Dma
x is the maximum value of the delay time that may be required from the cut r to the cut np according to the definition of the expression (9), and is expressed by the expression (10).
As shown in, the value is set as a representative value of the required time Hr of the cut edge r. Therefore, the representative value of the arrival time of the cut edge np may be set so that the result of subtracting this value from the representative value of the required time of the cut edge r becomes Dnp of the equation (8). That is, it is sufficient to satisfy Hr-Tnp = Dnp. Therefore, Tnp = Hr−Dnp = Dmax−D
It becomes np.

【0036】そして、ランダム論理木変換手順6は、ラ
ンダム論理木切り口制約設定手順5で設定した必要時間
の代表値Hrと到着時間の代表値Tnpとを用いて、従
来と同様にランダム論理木12を変換して、ランダム論
理木12の再構成による遅延時間の最適化を行う。
Then, the random logic tree conversion procedure 6 uses the representative value Hr of the required time and the representative value Tnp of the arrival time set in the random logic tree cut constraint setting procedure 5 as in the conventional case. And the delay time is optimized by reconstructing the random logic tree 12.

【0037】次に、図6は、本発明の論理回路遅延時間
最適化方法の第2の実施例を示す流れ図である。また、
図7は、信号分配木14の一例を示す図である。図6に
示すように、第2の実施例は、第1の実施例と同様の遅
延計算集合作成手順1,集合別遅延値設定手順2,集合
別遅延値計算手順3を有している。
Next, FIG. 6 is a flow chart showing a second embodiment of the logic circuit delay time optimizing method of the present invention. Also,
FIG. 7 is a diagram showing an example of the signal distribution tree 14. As shown in FIG. 6, the second embodiment has the same delay calculation set creation procedure 1, set-specific delay value setting procedure 2, and set-specific delay value calculation procedure 3 as in the first embodiment.

【0038】そこで、信号分配木抽出手順21は、論理
回路9より信号分配素子13−1,13−2,13−3
を含む木状回路である図7に示すような再構成すべき信
号分配木14を選び出す。
Therefore, the signal distribution tree extraction procedure 21 is performed by the logic circuit 9 by the signal distribution elements 13-1, 13-2, 13-3.
A signal distribution tree 14 to be reconfigured as shown in FIG.

【0039】そして、信号分配木切り口制約設定手順2
2は、この選び出された信号分配木14における入力側
の切り口tおよび各出力側の切り口m1,m2,〜mg
に対して、上記の集合別遅延値計算手順3で計算した到
着時間Tt,i(切り口tにおける集合Siに対する到
着時間)およびHmq,i(切り口mqにおける集合S
iに対する必要時間、q=1,2,……g)により、 Dmq=min(Hmq,i−Tt,i) ……………(12) すなわち、遅延計算の集合Siについての最小値を求め
る。そして、 Tt=0 ……………(13) Hmq=Dmq ……………(14) として、Ttを入力側切り口tの到着時間の代表値とし
て設定し、Hmqを出力側の切り口m1,m2,〜mg
の必要時間の代表値として設定する。
Then, the signal distribution tree cutout constraint setting procedure 2
2 is a cut t on the input side and cuts m1, m2, to mg on the output side in the selected signal distribution tree 14.
On the other hand, the arrival time Tt, i (arrival time for the set Si at the cut end t) and Hmq, i (set S at the cut end mq) calculated in the above-described set-based delay value calculation procedure 3
Dmq = min (Hmq, i-Tt, i) ... (12) That is, the minimum value for the set Si of delay calculation is obtained by the required time for i, q = 1, 2, ... . Then, Tt = 0 ... (13) Hmq = Dmq (14), Tt is set as a representative value of the arrival time of the input side cut t, and Hmq is set to the output side cut m1 ,. m2, ~ mg
Set as a representative value of the required time.

【0040】そして、信号分配木変換手順23は、信号
分配木切り口制約設定手順22で設定した到着時間の代
表値Ttと必要時間の代表値Hmqとを用いて、従来と
同様に信号分配木14を変換して、信号分配木14の再
構成による遅延時間の最適化を行う。
Then, the signal distribution tree conversion procedure 23 uses the representative value Tt of the arrival time and the representative value Hmq of the required time set in the signal distribution tree cut constraint setting procedure 22 in the same manner as in the conventional case. And the delay time is optimized by reconfiguring the signal distribution tree 14.

【0041】[0041]

【発明の効果】以上説明したように、本発明の論理回路
遅延時間最適化方法は、順序回路素子をその始点側の性
質に基いて集合分けし、それらの集合の各々について遅
延時間を計算することにより、論理回路の遅延時間の最
適化を正確にかつ短時間で実施することができるという
効果を有している。
As described above, the logic circuit delay time optimizing method of the present invention divides sequential circuit elements into groups based on the characteristics of the starting point side, and calculates the delay time for each of these groups. This has the effect that the delay time of the logic circuit can be optimized accurately and in a short time.

【0042】また、本発明の論理回路遅延時間最適化方
法は、実際の最適化を行う前に、それらの集合の各々に
対する遅延値から一つの代表値を求めて、その代表値に
より計算しているので、従来の論理回路遅延時間最適化
方法における再構成等の遅延時間の最適化手法もそのま
ま使用できるという効果を有している。
Further, in the logic circuit delay time optimizing method of the present invention, one representative value is calculated from the delay values for each of the sets before the actual optimization is performed, and the representative value is calculated. Therefore, there is an effect that the delay time optimizing method such as reconfiguration in the conventional logic circuit delay time optimizing method can be used as it is.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の論理回路遅延時間最適化方法の第1の
実施例を示す流れ図である。
FIG. 1 is a flowchart showing a first embodiment of a logic circuit delay time optimizing method of the present invention.

【図2】遅延時間を最適化する論理回路9の一例を示す
図である。
FIG. 2 is a diagram showing an example of a logic circuit 9 for optimizing a delay time.

【図3】遅延制約ファイル8の内容の一例を示す図であ
る。
FIG. 3 is a diagram showing an example of the contents of a delay constraint file 8.

【図4】論理回路9の中に含む順序回路素子Z1,Z
2,Z3,Z4,〜を分けた集合S1,S2,S3,〜
の一例を示す図である。
FIG. 4 shows sequential circuit elements Z1 and Z included in a logic circuit 9.
2, Z3, Z4, ...
It is a figure which shows an example.

【図5】ランダム論理木12の一例を示す図である。FIG. 5 is a diagram showing an example of a random logical tree 12.

【図6】本発明の論理回路遅延時間最適化方法の第2の
実施例を示す流れ図である。
FIG. 6 is a flow chart showing a second embodiment of the logic circuit delay time optimizing method of the present invention.

【図7】信号分配木14の一例を示す図である。7 is a diagram showing an example of a signal distribution tree 14. FIG.

【符号の説明】[Explanation of symbols]

1 遅延計算集合作成手順 2 集合別遅延値設定手順 3 集合別遅延値計算手順 4 ランダム論理木抽出手順 5 ランダム論理木切り口制約設定手順 6 ランダム論理木変換手順 7 ライブラリ 8 遅延制約ファイル 9 論理回路 10 組み合わせ回路 11−1,11−2,11−3 ランダム論理素子 12 ランダム論理木 13−1,13−2,13−3 信号分配素子 14 信号分配木 21 信号分配木抽出手順 22 信号分配木切り口制約設定手順 23 信号分配木変換手順 I1,I2,〜 入力部 m1,m2,〜mg 出力側の切り口 n1,n2,〜nf 入力側の切り口 O1,O2,〜 出力部 r 出力側の切り口 S1,S2,S3,〜 集合 t 入力側の切り口 U1,U2,U3,〜 集合 Z1,Z2,Z3,Z4,〜 順序回路素子 φ1,φ2,〜 クロック 1 Delay calculation set creation procedure 2 Set delay value setting procedure 3 Set delay value calculation procedure 4 Random logic tree extraction procedure 5 Random logic tree cut constraint setting procedure 6 Random logic tree conversion procedure 7 Library 8 Delay constraint file 9 Logic circuit 10 Combination circuit 11-1, 11-2, 11-3 Random logic element 12 Random logic tree 13-1, 13-2, 13-3 Signal distribution element 14 Signal distribution tree 21 Signal distribution tree extraction procedure 22 Signal distribution tree cut restriction Setting procedure 23 Signal distribution tree conversion procedure I1, I2, ~ Input section m1, m2, ~ mg Output side cut n1, n2, ~ nf Input side cut O1, O2, ~ Output section r Output side cut S1, S2 , S3, ... Set t Input side cut U1, U2, U3, ... Set Z1, Z2, Z3, Z4, ... Sequential circuit element φ1, φ2 Clock

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】(A)遅延最適化の対象となる論理回路に
対する遅延制約ファイルから順序回路素子の分類情報を
読出して、前記論理回路中に含む順序回路素子を幾つか
の集合に分ける遅延計算集合作成手順と、(B)前記遅
延制約ファイル内にある遅延制約情報およびライブラリ
内にある順序回路素子を含む各論理素子のライブラリ情
報を読出して、前記論理回路の各入力部および各順序回
路素子の出力ピンのそれぞれについて、各々の集合に対
応する到着時間を設定するとともに、前記論理回路の各
出力部および各順序回路素子のクロック入力以外の入力
ピンのそれぞれについて、各々の集合に対応する必要時
間を設定する集合別遅延値設定手順と、(C)前記集合
別遅延値設定手順で設定した前記論理回路の各入力部お
よび各順序回路素子の出力ピンのそれぞれの到着時間を
基にして、順次出力側に向って各論理素子の到着時間を
各集合ごとに計算するとともに、前記集合別遅延値設定
手順で設定した前記論理回路の各出力部および各順序回
路素子の入力ピンのそれぞれの必要時間を基にして、順
次入力側に向って各論理素子の必要時間を各集合ごとに
計算する集合別遅延値計算手順と、(D)前記論理回路
の中に存在するAND,OR,NAND,NOR,EX
OR,EXNORおよびそれらの複合論理等のランダム
論理素子による再構成すべき木状回路であるランダム論
理木を選び出すランダム論理木抽出手順と、(E)前記
ランダム論理木抽出手順で抽出したランダム論理木の入
出力の各切り口ごとに、前記集合別遅延値計算手順で各
々の集合ごとに計算した到着時間および必要時間から、
到着時間および必要時間の代表値を設定することによ
り、前記ランダム論理木抽出手順で抽出したランダム論
理木の入出力の各切り口への制約情報として前記論理回
路のランダム論理木に付加するランダム論理木切り口制
約設定手順と、(F)前記ランダム論理木切り口制約設
定手順で付加した制約情報を基にして、前記ランダム論
理木抽出手順で抽出したランダム論理木を変換して、再
構成による遅延時間の最適化を行うランダム論理木変換
手順と、を有することを特徴とする論理回路遅延時間最
適化方法。
1. A delay calculation for reading out classification information of sequential circuit elements from a delay constraint file for a logic circuit to be subjected to delay optimization, and dividing the sequential circuit elements included in the logic circuit into several sets. And (B) the library information of each logic element including the delay constraint information in the delay constraint file and the sequential circuit element in the library is read out, and each input section of the logic circuit and each sequential circuit element are read. It is necessary to set an arrival time corresponding to each set for each of the output pins of the above, and to correspond to each set for each of the output pins of the logic circuit and the input pins other than the clock input of each sequential circuit element. Set delay value setting procedure for setting time, and (C) each input section and each sequential circuit element of the logic circuit set in the set delay value setting procedure Based on the arrival time of each output pin, the arrival time of each logic element is sequentially calculated toward the output side for each set, and each output of the logic circuit set in the set delay value setting procedure is set. A delay value calculation procedure for each set for sequentially calculating the required time of each logic element toward the input side based on the required time of each input pin of each section and each sequential circuit element; AND, OR, NAND, NOR, EX existing in the logic circuit
A random logic tree extraction procedure for selecting a random logic tree that is a tree-like circuit to be reconfigured by random logic elements such as OR, EXNOR, and their complex logic; and (E) a random logic tree extracted by the random logic tree extraction procedure. For each cut of input and output of, from the arrival time and the required time calculated for each set in the delay value calculation procedure for each set,
By setting the representative values of the arrival time and the required time, a random logic tree added to the random logic tree of the logic circuit as constraint information for each cut of the input / output of the random logic tree extracted by the random logic tree extraction procedure. Based on the cut constraint setting procedure and (F) the constraint information added in the random logical tree cut constraint setting procedure, the random logical tree extracted in the random logical tree extraction procedure is converted to obtain delay time due to reconstruction. A method for optimizing a delay time of a logic circuit, comprising: a random logic tree conversion procedure for performing optimization.
【請求項2】(A)遅延最適化の対象となる論理回路に
対する遅延制約ファイルから順序回路素子の分類情報を
読出して、前記論理回路中に含む順序回路素子を幾つか
の集合に分け、(B)前記遅延制約ファイル内にある遅
延制約情報およびライブラリ内にある順序回路素子を含
む各論理素子のライブラリ情報を読出して、前記論理回
路の各入力部および各順序回路素子の出力ピンのそれぞ
れについて、各々の集合に対応する到着時間を設定する
とともに、前記論理回路の各出力部および各順序回路素
子のクロック入力以外の入力ピンのそれぞれについて、
各々の集合に対応する必要時間を設定し、(C)設定し
た前記論理回路の各入力部および各順序回路素子の出力
ピンのそれぞれの到着時間を基にして、順次出力側に向
って各論理素子の到着時間を各集合ごとに計算するとと
もに、設定した前記論理回路の各出力部および各順序回
路素子の入力ピンのそれぞれの必要時間を基にして、順
次入力側に向って各論理素子の必要時間を各集合ごとに
計算し、(D)前記論理回路の中に存在するAND,O
R,NAND,NOR,EXOR,EXNORおよびそ
れらの複合論理等のランダム論理素子による再構成すべ
き木状回路であるランダム論理木を抽出し、(E)抽出
したランダム論理木の入出力の各切り口ごとに、各々の
集合ごとに計算した到着時間および必要時間から、到着
時間および必要時間の代表値を設定することにより、抽
出したランダム論理木の入出力の各切り口への制約情報
として前記論理回路のランダム論理木に付加し、(F)
付加した制約情報を基にして、抽出したランダム論理木
を変換して、再構成による遅延時間の最適化を行う、こ
とを特徴とする論理回路遅延時間最適化方法。
2. (A) The classification information of the sequential circuit elements is read from the delay constraint file for the logic circuit to be the target of delay optimization, and the sequential circuit elements included in the logic circuit are divided into several sets, B) The delay constraint information in the delay constraint file and the library information of each logic element including the sequential circuit element in the library are read out, and each input section of the logic circuit and each output pin of each sequential circuit element are read out. , While setting the arrival time corresponding to each set, for each output pin of the logic circuit and each input pin other than the clock input of each sequential circuit element,
A required time corresponding to each set is set, and (C) each logic is sequentially directed toward the output side based on the arrival time of each set input part of the logic circuit and each output pin of each sequential circuit element. The arrival time of the elements is calculated for each set, and based on the required times of the output pins of the logic circuit and the input pins of the sequential circuit elements that have been set, the logical elements of the logic elements are sequentially turned toward the input side. The required time is calculated for each set, and (D) AND, O existing in the logic circuit
A random logic tree that is a tree-like circuit to be reconfigured by random logic elements such as R, NAND, NOR, EXOR, EXNOR and their complex logic is extracted, and (E) each input / output section of the extracted random logic tree For each set, a representative value of the arrival time and the required time is set from the arrival time and the required time calculated for each set, so that the logic circuit is used as constraint information for each cut of the input / output of the extracted random logic tree. To the random logical tree of (F)
A method of optimizing delay time by converting the extracted random logic tree based on the added constraint information and optimizing the delay time by reconstruction.
【請求項3】 請求項1記載の遅延計算集合作成手順
と、請求項1記載の集合別遅延値設定手順と、請求項1
記載の集合別遅延値計算手順とを有する論理回路遅延時
間最適化方法において、(A)遅延最適化の対象となる
論理回路の中に存在する、バッファ,インバータおよび
それらの複合論理等の信号分配素子による再構成すべき
木状回路である信号分配木を選び出す信号分配木抽出手
順と、(B)前記信号分配木抽出手順で抽出した信号分
配木の入出力の各切り口ごとに、前記集合別遅延値計算
手順で各々の集合ごとに計算した到着時間および必要時
間から、到着時間および必要時間の代表値を設定するこ
とにより、前記信号分配木抽出手順で抽出した信号分配
木の入出力の各切り口への制約情報として前記論理回路
の信号分配木に付加する信号分配木切り口制約設定手順
と、(C)前記信号分配木切り口制約設定手順で付加し
た制約情報を基にして、前記信号分配木抽出手順で抽出
した信号分配木を変換して、再構成による遅延時間の最
適化を行う信号分配木変換手順と、を有することを特徴
とする論理回路遅延時間最適化方法。
3. A delay calculation set creating procedure according to claim 1, a set delay value setting procedure according to claim 1,
A logic circuit delay time optimizing method having the set-based delay value calculation procedure described in (A) Signal distribution of a buffer, an inverter, and their complex logic existing in a logic circuit to be subjected to delay optimization. A signal distribution tree extracting procedure for selecting a signal distribution tree which is a tree-like circuit to be reconfigured by elements, and (B) each of the input and output cuts of the signal distribution tree extracted by the signal distribution tree extracting procedure. From the arrival time and the required time calculated for each set in the delay value calculation procedure, by setting the representative values of the arrival time and the required time, each of the input and output of the signal distribution tree extracted in the signal distribution tree extraction procedure is set. Based on the signal distribution tree cut constraint setting procedure added to the signal distribution tree of the logic circuit as constraint information to the cut, and (C) the constraint information added in the signal distribution tree cut constraint setting procedure. And a signal distribution tree conversion procedure for converting the signal distribution tree extracted in the signal distribution tree extraction procedure and optimizing the delay time by reconfiguration, and a logic circuit delay time optimizing method. .
【請求項4】(A)遅延最適化の対象となる論理回路に
対する遅延制約ファイルから順序回路素子の分類情報を
読出して、前記論理回路中に含む順序回路素子を幾つか
の集合に分け、(B)前記遅延制約ファイル内にある遅
延制約情報およびライブラリ内にある順序回路素子を含
む各論理素子のライブラリ情報を読出して、前記論理回
路の各入力部および各順序回路素子の出力ピンのそれぞ
れについて、各々の集合に対応する到着時間を設定する
とともに、前記論理回路の各出力部および各順序回路素
子のクロック入力以外の入力ピンのそれぞれについて、
各々の集合に対応する必要時間を設定し、(C)設定し
た前記論理回路の各入力部および各順序回路素子の出力
ピンのそれぞれの到着時間を基にして、順次出力側に向
って各論理素子の到着時間を各集合ごとに計算するとと
もに、設定した前記論理回路の各出力部および各順序回
路素子の入力ピンのそれぞれの必要時間を基にして、順
次入力側に向って各論理素子の必要時間を各集合ごとに
計算し、(D)遅延最適化の対象となる論理回路の中に
存在する、バッファ,インバータおよびそれらの複合論
理等の信号分配素子による再構成すべき木状回路である
信号分配木を抽出し、(E)抽出した信号分配木の入出
力の各切り口ごとに、各々の集合ごとに計算した到着時
間および必要時間から、到着時間および必要時間の代表
値を設定することにより、抽出した信号分配木の入出力
の各切り口への制約情報として前記論理回路の信号分配
木に付加し、(F)付加した制約情報を基にして、抽出
した信号分配木を変換して、再構成による遅延時間の最
適化を行う、ことを特徴とする論理回路遅延時間最適化
方法。
4. (A) The classification information of the sequential circuit elements is read from the delay constraint file for the logic circuit that is the target of delay optimization, and the sequential circuit elements included in the logic circuit are divided into several sets, B) The delay constraint information in the delay constraint file and the library information of each logic element including the sequential circuit element in the library are read out, and each input section of the logic circuit and each output pin of each sequential circuit element are read out. , While setting the arrival time corresponding to each set, for each output pin of the logic circuit and each input pin other than the clock input of each sequential circuit element,
A required time corresponding to each set is set, and (C) each logic is sequentially directed toward the output side based on the arrival time of each set input part of the logic circuit and each output pin of each sequential circuit element. The arrival time of the elements is calculated for each set, and based on the required times of the output pins of the logic circuit and the input pins of the sequential circuit elements that have been set, the logical elements of the logic elements are sequentially turned toward the input side. (D) A tree-like circuit to be reconfigured by a signal distribution element such as a buffer, an inverter, and their complex logic, which is present in the logic circuit to be subjected to delay optimization, by calculating the required time for each set. A certain signal distribution tree is extracted, and (E) for each input / output section of the extracted signal distribution tree, a representative value of the arrival time and the necessary time is set from the arrival time and the necessary time calculated for each set. thing Then, the extracted signal distribution tree is added to the signal distribution tree of the logic circuit as constraint information for each input / output section of the extracted signal distribution tree, and (F) the extracted signal distribution tree is converted based on the added constraint information. A method for optimizing a delay time by a reconfiguration, comprising: optimizing a delay time.
JP5015997A 1993-02-03 1993-02-03 Logic circuit delay time optimization method Expired - Lifetime JPH07113934B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP5015997A JPH07113934B2 (en) 1993-02-03 1993-02-03 Logic circuit delay time optimization method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5015997A JPH07113934B2 (en) 1993-02-03 1993-02-03 Logic circuit delay time optimization method

Publications (2)

Publication Number Publication Date
JPH06231204A JPH06231204A (en) 1994-08-19
JPH07113934B2 true JPH07113934B2 (en) 1995-12-06

Family

ID=11904289

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5015997A Expired - Lifetime JPH07113934B2 (en) 1993-02-03 1993-02-03 Logic circuit delay time optimization method

Country Status (1)

Country Link
JP (1) JPH07113934B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110442884B (en) * 2018-05-02 2023-04-07 中国科学院微电子研究所 Optimization method and device of sub-threshold digital sequential circuit

Also Published As

Publication number Publication date
JPH06231204A (en) 1994-08-19

Similar Documents

Publication Publication Date Title
US5751596A (en) Automated system and method for identifying critical timing paths in integrated circuit layouts for use with automated circuit layout system
US7020855B2 (en) Digital circuit layout techniques using identification of input equivalence
US20050091025A1 (en) Methods and systems for improved integrated circuit functional simulation
US5553000A (en) Eliminating retiming bottlenecks to improve performance of synchronous sequential VLSI circuits
US5737234A (en) Method of optimizing resource allocation starting from a high level block diagram
US8381142B1 (en) Using a timing exception to postpone retiming
JP2005512236A (en) Timing model extraction by timing graph reduction
US6360352B2 (en) Digital circuit layout techniques
US8701059B2 (en) Method and system for repartitioning a hierarchical circuit design
US7484187B2 (en) Clock-gating through data independent logic
JP3851357B2 (en) Timing characteristic extraction method for transistor circuit, storage medium storing timing characteristic library, LSI design method, and gate extraction method
Cong et al. Optimal FPGA mapping and retiming with efficient initial state computation
US6842884B2 (en) Combinational equivalence checking methods and systems with internal don't cares
US6834379B2 (en) Timing path detailer
Chen et al. Simultaneous logic decomposition with technology mapping in FPGA designs
US6584597B1 (en) Modeling of phase synchronous circuits
JPH07113934B2 (en) Logic circuit delay time optimization method
WO2015075805A1 (en) Source code division method and lsi implementation device
JP4587754B2 (en) Clock synthesis method, semiconductor device, and program
JP2853649B2 (en) How to create a logic simulation model
US6463572B1 (en) IC timing analysis with known false paths
JP2845154B2 (en) How to create a logic simulation model
Murgai Technology-dependent logic optimization
Chu et al. A Path-Based System-Level Placement and Routing Framework for Muti-FPGA Systems
Manohararajah et al. Post-placement BDD-based decomposition for FPGAs

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19960528