JPH0629408A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JPH0629408A
JPH0629408A JP4066431A JP6643192A JPH0629408A JP H0629408 A JPH0629408 A JP H0629408A JP 4066431 A JP4066431 A JP 4066431A JP 6643192 A JP6643192 A JP 6643192A JP H0629408 A JPH0629408 A JP H0629408A
Authority
JP
Japan
Prior art keywords
film
forming
opening
insulating film
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP4066431A
Other languages
Japanese (ja)
Other versions
JP2522616B2 (en
Inventor
Shigeki Sugimoto
茂樹 杉本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP4066431A priority Critical patent/JP2522616B2/en
Priority to US08/035,656 priority patent/US5356834A/en
Publication of JPH0629408A publication Critical patent/JPH0629408A/en
Application granted granted Critical
Publication of JP2522616B2 publication Critical patent/JP2522616B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

PURPOSE:To form a contact hole self-aligned with a multilayered wiring in a semiconductor device. CONSTITUTION:The first barrier layers 16 and 18 are formed on the first conductive film 14, the barrier layers 16 and 18 and the conductive film 14 are patterned together to form the first wiring pattern 22. Next, an insulating film 28 is formed thereon. Next, the second conductive film 32 and the second barrier layers 34 and 36 are formed on the insulating layer 28 to pattern the barrier layers 34 and 36 and the conductive film 32 together to form the second wiring pattern 40. Next, an insulating film 44 is formed thereon. Next, an opening section 51 that reaches a substrate 10 is formed using at least one from the first barrier layers 16 and 18 and the second barrier layers 34 and 36 as a barrier for etching. Next, side-walls 54A-54C are formed on the side wall of the opening section 51. Finally, the third wiring pattern 56 is formed to contact the substrate 10 via the opening section 51.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】この発明は半導体装置の製造方法
に係わり、特に配線層パタ−ンに対して自己整合的にコ
ンタクト孔を形成する半導体装置の製造方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device, and more particularly to a method for manufacturing a semiconductor device in which a contact hole is formed in a wiring layer pattern in a self-aligned manner.

【0002】[0002]

【従来の技術】半導体素子の微細化に伴い、内部配線層
パタ−ン相互間に形成するコンタクト孔とこの孔に隣接
する配線層パタ−ンとの間にデザイン的な余裕が得られ
にくくなってきている。
2. Description of the Related Art With the miniaturization of semiconductor elements, it is difficult to obtain a design margin between a contact hole formed between internal wiring layer patterns and a wiring layer pattern adjacent to the hole. Is coming.

【0003】また、従来のリソグラフィ技術では、コン
タクト孔と配線層パタ−ンとの間にマスクズレを考慮し
た合わせ余裕や、孔の開口径ばらつきの余裕まで含める
必要があり、デザイン的にも微細化の妨げになってい
る。
Further, in the conventional lithography technique, it is necessary to include an alignment margin between the contact hole and the wiring layer pattern in consideration of a mask shift and a margin of variation in the opening diameter of the hole. Is hindering

【0004】上記問題の対策として、配線層パタ−ンの
側面上に、絶縁膜で成る側壁(サイドウォ−ル)を設
け、この配線層パタ−ンとコンタクト孔とを互いに絶縁
する、いわゆる“セルフアライン−コンタクト技術”が
開発されてきた。従来の一般的な“セルフアライン−コ
ンタクト技術”は、特開平2−30124号公報に開示
されている。この公報に開示されている“セルフアライ
ン−コンタクト技術”は、概略的に次のようなものであ
る。
As a countermeasure against the above problem, a so-called "self-insulation" is performed in which a side wall (side wall) made of an insulating film is provided on the side surface of the wiring layer pattern to insulate the wiring layer pattern and the contact hole from each other. "Align-contact technology" has been developed. The conventional general "self-align-contact technique" is disclosed in Japanese Patent Laid-Open No. 2-30124. The "self-alignment-contact technique" disclosed in this publication is roughly as follows.

【0005】ポリシリコン膜とCVDシリコン酸化膜と
を一括してパタ−ニングし、シリコン基板上に内部配線
層(以下、ゲ−トと称す)を含むパタ−ンを得る。次い
で、ゲ−トを含むパタ−ンの側面上にCVDシリコン酸
化膜で成るサイドウォ−ルを形成する。次いで、ゲ−ト
を含むパタ−ン上およびサイドウォ−ル上をそれぞれ含
み基板上に、シリコン窒化膜、ポリシリコン膜を順次形
成する。このポリシコン膜は、後に開口部(以下、コン
タクト孔と称す)を形成する際のエッチング障壁、すな
わち、ストッパ層となる。次いで、ポリシリコン膜上
に、ボロン−リン−シリコンガラス(以下、BPSGと
称す)膜を形成する。次いで、ポリシリコン膜をエッチ
ング障壁に用いて、BPSG膜をRIE法によりパタ−
ニングし、ゲ−トを含むパタ−ン相互間の基板表面に実
質的に達するコンタクト孔を得る。次いで、このコンタ
クト孔より、シリコン窒化膜をエッチング障壁に用い
て、ポリシリコン膜をCDE法により除去する。次い
で、シリコン窒化膜を酸化障壁に用いて、ポリシリコン
膜を熱酸化させながら、BPSG膜をリフロ−する。次
いで、コンタクト孔より、BPSG膜をエッチング障壁
に用いて、シリコン窒化膜および基板表面に形成された
酸化膜をRIE法により除去する。次いで、コンタクト
孔内を含みBPSG膜上に、アルミニウム合金膜を形成
し、このアルミニウム合金膜をパタ−ニングすることに
より、基板に接続される内部配線層を形成する。しか
し、上記のような“セルフアライン−コンタクト技術”
は、1つの層により成る配線層パタ−ンを使用する場合
のみしか適用できない方法である。
The polysilicon film and the CVD silicon oxide film are collectively patterned to obtain a pattern including an internal wiring layer (hereinafter referred to as a gate) on a silicon substrate. Then, a side wall made of a CVD silicon oxide film is formed on the side surface of the pattern including the gate. Then, a silicon nitride film and a polysilicon film are sequentially formed on the substrate including the pattern including the gate and the sidewall, respectively. This polysilicon film serves as an etching barrier, that is, a stopper layer when an opening (hereinafter referred to as a contact hole) is formed later. Then, a boron-phosphorus-silicon glass (hereinafter referred to as BPSG) film is formed on the polysilicon film. Then, the BPSG film is patterned by RIE using the polysilicon film as an etching barrier.
To obtain contact holes that substantially reach the substrate surface between the patterns including the gate. Then, the polysilicon film is removed from the contact hole by the CDE method using the silicon nitride film as an etching barrier. Next, the BPSG film is reflowed while the polysilicon film is thermally oxidized using the silicon nitride film as an oxidation barrier. Next, the BPSG film is used as an etching barrier through the contact hole, and the silicon nitride film and the oxide film formed on the substrate surface are removed by RIE. Then, an aluminum alloy film is formed on the BPSG film including the inside of the contact hole, and the aluminum alloy film is patterned to form an internal wiring layer connected to the substrate. However, the "self-alignment-contact technology" as described above
Is a method that can be applied only when a wiring layer pattern consisting of one layer is used.

【0006】今後、半導体装置は、より微細化、より高
集積化を辿ることは必至である。この傾向に伴って、現
在、半導体装置の内部配線層は、ポリシリコンとアルミ
ニウム合金でなる配線層の2層だけから、3層、4層、
…、の多層の配線となりつつある。
In the future, it is inevitable that semiconductor devices will be further miniaturized and highly integrated. Along with this tendency, the internal wiring layers of semiconductor devices are currently not limited to only two wiring layers made of polysilicon and aluminum alloy, three layers, four layers,
.. is becoming a multilayer wiring.

【0007】しかしながら、例えば基板上より第2層目
の配線層から第1層目の配線層を貫通して基板にコンタ
クトするといったような多層の配線層を有する半導体装
置に有効な“セルフアライン−コンタクト技術”は開発
されていないのが現状である。
However, for example, "self-alignment" is effective for a semiconductor device having a multi-layered wiring layer such that the second wiring layer is penetrated from the substrate to the first wiring layer to contact the substrate. The contact technology has not yet been developed.

【0008】[0008]

【発明が解決しようとする課題】この発明は上記のよう
な点に鑑みてなされたもので、その目的は、多層の配線
層を有する半導体装置に有効な、配線層に対して自己整
合的にコンタクト孔を形成できる半導体装置の製造方法
を提供することにある。
SUMMARY OF THE INVENTION The present invention has been made in view of the above points, and an object of the present invention is to self-align with wiring layers, which is effective for a semiconductor device having multiple wiring layers. It is an object of the present invention to provide a method of manufacturing a semiconductor device capable of forming contact holes.

【0009】[0009]

【課題を解決するための手段】この発明の半導体装置の
製造方法は、半導体基板上に形成された第1の導電膜上
に第1の障壁層を形成し、前記第1の障壁層および前記
第1の導電膜を一括してパタ−ニングして第1の配線層
パタ−ンを形成する。次いで、第1の配線層パタ−ンを
覆うように前記基板の表面上方に第2の絶縁膜を形成
し、この第2の絶縁膜上に、第2の導電膜、第2の障壁
層を順次形成する。次いで、第2の障壁層および前記第
2の導電膜を一括してパタ−ニングし、第2の配線層パ
タ−ンを形成する。次いで、第2のパタ−ンを覆うよう
に前記基板の表面上方に、第3の絶縁膜を形成する。次
いで、前記半導体基板に到達する開口部を、前記第1、
第2の障壁層の少なくとも1つをエッチングの障壁とし
て用いて前記第1、第2、第3の絶縁膜を貫通させて形
成し、この開口部の側壁上に、第4の絶縁膜で成るサイ
ドウォ−ルを形成する。次いで、前記開口部を介して前
記基板にコンタクトされる第3の配線層パタ−ンを形成
するようにしたことを特徴とする。
According to a method of manufacturing a semiconductor device of the present invention, a first barrier layer is formed on a first conductive film formed on a semiconductor substrate, and the first barrier layer and the first barrier layer are formed. The first conductive film is collectively patterned to form a first wiring layer pattern. Then, a second insulating film is formed above the surface of the substrate so as to cover the first wiring layer pattern, and the second conductive film and the second barrier layer are formed on the second insulating film. Form sequentially. Then, the second barrier layer and the second conductive film are collectively patterned to form a second wiring layer pattern. Then, a third insulating film is formed above the surface of the substrate so as to cover the second pattern. Next, the opening reaching the semiconductor substrate is formed into the first,
At least one of the second barrier layers is used as an etching barrier to penetrate the first, second and third insulating films, and a fourth insulating film is formed on the side wall of the opening. Form sidewalls. Then, a third wiring layer pattern that contacts the substrate through the opening is formed.

【0010】また、前記第1、第2の障壁層を各々、第
1の物質で成る第1の物質膜とこの第1の物質と異なる
第2の物質で成る第2の物質膜とを積み重ねて形成する
ようにしたことを特徴とする。
Further, the first and second barrier layers are respectively stacked with a first substance film made of a first substance and a second substance film made of a second substance different from the first substance. It is characterized in that it is formed by.

【0011】また、前記第1の物質には絶縁性を有する
物質が選ばれ、前記第2の物質には活性化することによ
って絶縁性を示す物質が選ばれ、前記開口部を形成した
後、前記第2の物質を活性化させる工程をさらに具備す
ることを特徴とする。
A material having an insulating property is selected as the first material, and a material having an insulating property by being activated is selected as the second material, and after forming the opening, The method may further include the step of activating the second substance.

【0012】[0012]

【作用】上記のような製造方法にあっては、第1の障壁
層および第1の導電膜を一括してパタ−ニングすること
により第1の配線層パタ−ンが形成される。また、第2
の絶縁膜によって第1の配線層パタ−ンと電気的に分離
される第2の配線層パタ−ンが、第2の障壁層および第
2の導電膜を一括してパタ−ニングすることにより形成
される。
In the above manufacturing method, the first wiring layer pattern is formed by collectively patterning the first barrier layer and the first conductive film. Also, the second
The second wiring layer pattern, which is electrically separated from the first wiring layer pattern by the second insulating film, simultaneously patterns the second barrier layer and the second conductive film. It is formed.

【0013】このような方法であると、第2の絶縁膜等
をエッチングして半導体基板表面に到達する開口部を得
る際、エッチャントが第1の配線層パタ−ンや第2の配
線層パタ−ンに接触したとしても、第1、第2の導電膜
はそれぞれ、第1、第2の障壁層によって保護される。
従って、第1、第2の導電膜がエッチングされてしまう
問題を解消できる。さらに、開口部の側壁上には、第4
の絶縁膜で成るサイドウォ−ルが形成される。
According to this method, when the second insulating film or the like is etched to obtain the opening reaching the surface of the semiconductor substrate, the etchant is the first wiring layer pattern or the second wiring layer pattern. The first and second conductive films are protected by the first and second barrier layers, respectively, even if they contact the negative electrode.
Therefore, the problem that the first and second conductive films are etched can be solved. Furthermore, on the side wall of the opening, a fourth
A side wall made of the insulating film is formed.

【0014】この方法によれば、開口部から第1、第2
の導電膜が露出したとしても、その露出面は第4の絶縁
膜によって覆われるので、開口部内にさらに第3の配線
層パタ−ンが形成されても、第1、第2の導電膜と第3
の配線層パタ−ンとは互いに短絡しない。さらに、前記
第1、第2の障壁層が各々、第1の物質で成る膜とこの
第1の物質と異なる第2の物質で成る膜とが積み重ねて
形成される。
According to this method, the first and second portions are opened from the opening.
Even if the conductive film is exposed, the exposed surface is covered with the fourth insulating film. Therefore, even if the third wiring layer pattern is further formed in the opening, the exposed surface is not affected by the first and second conductive films. Third
Do not short-circuit with the wiring layer pattern. Further, each of the first and second barrier layers is formed by stacking a film made of a first substance and a film made of a second substance different from the first substance.

【0015】このような方法によれば、第1のエッチャ
ントに対してはいずれかの一方の膜が、また第1のエッ
チャントと異なる第2のエッチャントに対しては他方の
膜がというようにそれぞれエッチング耐性を発揮させる
ことが可能であり、第1の障壁層、および第2の障壁層
それぞれのエッチング耐性を全体的に強化することがで
きる。
According to such a method, one of the films is provided for the first etchant, the other film is provided for the second etchant different from the first etchant, and so on. The etching resistance can be exhibited, and the etching resistance of each of the first barrier layer and the second barrier layer can be strengthened as a whole.

【0016】さらに、前記第1の物質には絶縁性を有す
る物質を選び、前記第2の物質には活性化することによ
って絶縁性を示す物質を選ぶ。そして、前記開口部を形
成した後、前記第2の物質を活性化させる。
Further, a substance having an insulating property is selected as the first substance, and a substance exhibiting an insulating property by being activated is selected as the second substance. Then, after forming the opening, the second substance is activated.

【0017】このような方法によれば、第2の物質に導
電性を有する物質が選ばれたとしても、この第2の物質
が少なくとも活性化させることによって絶縁性を示す物
質であっても、活性化させることにより絶縁体化させる
ことができる。第1の障壁層、または第2の障壁層を介
して第3の配線層パタ−ンどうしが短絡する問題を解消
できる。
According to such a method, even if a conductive substance is selected as the second substance, even if the second substance is an insulating substance by being at least activated. It can be made into an insulator by activating. The problem that the third wiring layer patterns are short-circuited via the first barrier layer or the second barrier layer can be solved.

【0018】このような方法を実現できる物質には、シ
リコン、ハフニウム、タンタル、ジルコニウム、タング
ステン−シリサイド、モリブデン−シリサイド、ハフニ
ウム−シリサイド、タンタル−シリサイド、ジルコニウ
ム−シリサイドがある。これらの物質の場合、前記活性
化は酸化である。上記物質を酸化させると、その抵抗値
を絶縁体と同等まで上げることができる。
Materials capable of realizing such a method include silicon, hafnium, tantalum, zirconium, tungsten-silicide, molybdenum-silicide, hafnium-silicide, tantalum-silicide and zirconium-silicide. In the case of these substances, the activation is oxidation. When the above substance is oxidized, its resistance value can be increased to that of an insulator.

【0019】[0019]

【実施例】以下、図面を参照してこの発明を実施例によ
り説明する。この説明において、全図にわたり共通部分
には共通の参照符号を付し、重複する説明は避けること
にする。図1〜図14は、この発明の第1の実施例に係
わる半導体装置の製造方法に従って工程順に示された断
面図である。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention will be described below with reference to the accompanying drawings. In this description, common parts are denoted by common reference symbols throughout the drawings, and redundant description will be avoided. 1 to 14 are sectional views shown in the order of steps according to the method of manufacturing a semiconductor device according to the first embodiment of the present invention.

【0020】まず、P型シリコン基板10の表面を熱酸
化し、約200オングストロ−ムの厚みを有するシリコ
ン酸化膜(SiO2 ;以下、ゲ−ト酸化膜と称す)12
を得る。次いで、ゲ−ト酸化膜12上に、減圧CVD法
(以下、LPCVD法と称す)により、約3000オン
グストロ−ムの厚みを有するポリシリコン膜14を堆積
する。次いで、ポリシリコン膜14に、POCl3 をソ
−スとした気相拡散法により、リンを拡散し、ポリシリ
コン膜14を導電体化(N型化)する。次いで、ポリシ
リコン膜14上に、LPCVD法により、約3000オ
ングストロ−ムの厚みを有するシリコン酸化膜(SiO
2 )16を堆積する。次いで、シリコン酸化膜16上
に、LPCVD法により、約200オングストロ−ムの
厚みを有するポリシリコン膜18を堆積する(図1)。
First, the surface of the P-type silicon substrate 10 is thermally oxidized to form a silicon oxide film (SiO 2 ; hereinafter referred to as a gate oxide film) 12 having a thickness of about 200 Å.
To get Then, a polysilicon film 14 having a thickness of about 3000 angstroms is deposited on the gate oxide film 12 by a low pressure CVD method (hereinafter referred to as LPCVD method). Then, phosphorus is diffused into the polysilicon film 14 by a vapor phase diffusion method using POCl 3 as a source, so that the polysilicon film 14 is made to be a conductor (made N-type). Then, a silicon oxide film (SiO 2) having a thickness of about 3000 angstrom is formed on the polysilicon film 14 by the LPCVD method.
2 ) Deposit 16. Then, a polysilicon film 18 having a thickness of about 200 Å is deposited on the silicon oxide film 16 by the LPCVD method (FIG. 1).

【0021】次いで、ポリシリコン膜18上にフォトレ
ジストを塗布する。次いで、フォトリソグラフィ法によ
り、このフォトレジストをエッチングし、ゲ−ト(ワ−
ド線)に対応したレジストパタ−ン20を形成する。次
いで、レジストパタ−ン20をマスクに用いて、ポリシ
リコン膜18、シリコン酸化膜16、N型ポリシリコン
膜14を順次、RIE法によりエッチングし、N型ポリ
シリコン膜14で成るゲ−トパタ−ンと、シリコン酸化
膜16およびポリシリコン膜18で成る障壁層とを含む
第1層め配線層パタ−ン22を得る。次いで、配線層パ
タ−ン22をマスクに用いて、N型の不純物イオン、例
えばヒ素(As)イオンをP型の基板10内にイオン注
入し、将来においてトランジスタのソ−ス/ドレインと
なるN型の不純物層24を得る(図2)。
Next, a photoresist is applied on the polysilicon film 18. Then, this photoresist is etched by a photolithography method to obtain a gate (workpiece).
A resist pattern 20 corresponding to the contact line) is formed. Then, using the resist pattern 20 as a mask, the polysilicon film 18, the silicon oxide film 16, and the N-type polysilicon film 14 are sequentially etched by the RIE method to form a gate pattern of the N-type polysilicon film 14. And a first wiring layer pattern 22 including a barrier layer composed of the silicon oxide film 16 and the polysilicon film 18. Then, by using the wiring layer pattern 22 as a mask, N-type impurity ions, for example, arsenic (As) ions are ion-implanted into the P-type substrate 10 to become the source / drain of the transistor in the future. A type impurity layer 24 is obtained (FIG. 2).

【0022】次いで、レジストパタ−ン20を除去した
後、配線層パタ−ン22を覆うように基板10上方に、
LPCVD法により、約500オングストロ−ムの厚み
を有するシリコン酸化膜(SiO2 )26、約5000
オングストロ−ムの厚みを有するボロン−リン−シリケ
−トグラス(BPSG)膜28を順次形成する。シリコ
ン酸化膜26およびBPSG膜28は、層間絶縁膜とし
て機能する(図3)。次いで、BPSG膜28を、温度
850℃の窒素雰囲気中でリフロ−させ、平坦化する
(図4)。
Next, after removing the resist pattern 20, the upper part of the substrate 10 is covered so as to cover the wiring layer pattern 22.
By the LPCVD method, a silicon oxide film (SiO 2 ) 26 having a thickness of about 500 Å, about 5000
A boron-phosphorus-silicate glass (BPSG) film 28 having an angstrom thickness is sequentially formed. The silicon oxide film 26 and the BPSG film 28 function as an interlayer insulating film (FIG. 3). Then, the BPSG film 28 is reflowed in a nitrogen atmosphere at a temperature of 850 ° C. to be flattened (FIG. 4).

【0023】次いで、BPSG膜28上に、LPCVD
法により、約1000オングストロ−ムの厚みを有する
ポリシリコン膜30を堆積する。次いで、ポリシリコン
膜30にリンを拡散し、ポリシリコン膜30を導電体化
(N型化)する。次いで、N型ポリシリコン膜30上
に、DCマグネトロンスパッタリング法により、約20
00オングストロ−ムの厚みを有するタングステンシリ
サイド膜(WSi2 )32を形成する。次いで、タング
ステンシリサイド膜32上に、LPCVD法により、約
3000オングストロ−ムの厚みを有するシリコン酸化
膜(SiO2 )34、約500オングストロ−ムの厚み
を有するポリシリコン膜36を形成する(図5)。
Then, LPCVD is performed on the BPSG film 28.
By the method, a polysilicon film 30 having a thickness of about 1000 Å is deposited. Then, phosphorus is diffused into the polysilicon film 30 to make the polysilicon film 30 a conductor (N-type). Then, on the N-type polysilicon film 30, a DC magnetron sputtering method of about 20 is performed.
A tungsten silicide film (WSi 2 ) 32 having a thickness of 00 angstrom is formed. Then, a silicon oxide film (SiO 2 ) 34 having a thickness of about 3000 angstroms and a polysilicon film 36 having a thickness of about 500 angstroms are formed on the tungsten silicide film 32 by the LPCVD method (FIG. 5). ).

【0024】次いで、ポリシリコン膜36上にフォトレ
ジストを塗布する。次いで、フォトリソグラフィ法によ
り、このフォトレジストをエッチングし、第2層め配線
層に対応したレジストパタ−ン38を形成する。次い
で、レジストパタ−ン38をマスクに用いて、ポリシリ
コン膜36、シリコン酸化膜34、タングステンシリサ
イド膜32およびN型ポリシリコン膜30を順次、RI
E法によりエッチングする。これにより、主要な導電物
がタングステンシリサイド膜32である第2層め配線層
と、シリコン酸化膜34およびポリシリコン膜36で成
る障壁層とを含む第2層め配線層パタ−ン40を得る
(図6)。
Next, a photoresist is applied on the polysilicon film 36. Next, this photoresist is etched by photolithography to form a resist pattern 38 corresponding to the second wiring layer. Then, using the resist pattern 38 as a mask, the polysilicon film 36, the silicon oxide film 34, the tungsten silicide film 32, and the N-type polysilicon film 30 are sequentially RI.
Etching is performed by the E method. As a result, a second wiring layer pattern 40 including a second wiring layer whose main conductor is the tungsten silicide film 32 and a barrier layer composed of the silicon oxide film 34 and the polysilicon film 36 is obtained. (Fig. 6).

【0025】次いで、レジストパタ−ン38を除去した
後、配線層パタ−ン40を覆うようにBPSG膜28上
に、LPCVD法により、約500オングストロ−ムの
厚みを有するシリコン酸化膜(SiO2 )42、約70
00オングストロ−ムの厚みを有するBPSG膜44を
形成する。シリコン酸化膜42およびBPSG膜44は
層間絶縁膜として機能する(図7)。次いで、BPSG
膜44を、温度850℃の窒素雰囲気中でリフロ−さ
せ、平坦化する(図8)。
Next, after removing the resist pattern 38, a silicon oxide film (SiO 2 ) having a thickness of about 500 Å is formed on the BPSG film 28 by LPCVD so as to cover the wiring layer pattern 40. 42, about 70
A BPSG film 44 having a thickness of 00 angstrom is formed. The silicon oxide film 42 and the BPSG film 44 function as an interlayer insulating film (FIG. 7). Then BPSG
The film 44 is reflowed and planarized in a nitrogen atmosphere at a temperature of 850 ° C. (FIG. 8).

【0026】次いで、BPSG膜44上にフォトレジス
トを塗布し、フォトリソグラフィ法により、このフォト
レジストをエッチングし、配線層パタ−ン40相互間上
に窓48を有するレジストパタ−ン46を形成する。こ
の時、窓48は、基板10へのコンタクト予定部を含む
範囲で、かつパタ−ン40相互間の幅より拡い幅を有す
るように形成される。この結果、窓48の側壁47は配
線層パタ−ン40上方に位置するようになる(図9)。
Next, a photoresist is applied on the BPSG film 44, and the photoresist is etched by the photolithography method to form a resist pattern 46 having a window 48 between the wiring layer patterns 40. At this time, the window 48 is formed so as to have a width wider than the width between the patterns 40 in a range including a portion to be contacted with the substrate 10. As a result, the side wall 47 of the window 48 comes to be located above the wiring layer pattern 40 (FIG. 9).

【0027】次いで、レジストパタ−ン46をマスクに
用いて、BPSG膜44、シリコン酸化膜42、BPS
G膜28、シリコン酸化膜26およびゲ−ト酸化膜12
を、例えばCHF3 イオンをエッチャントに用いたRI
E法によりエッチングする。これによって、第2層め配
線層パタ−ン40と第1層め配線層パタ−ン22との間
における基板10(図中ではN型不純物層24)に達す
る開口部50が得られる。このエッチングは、第2層め
配線層パタ−ン40上ではポリシリコン膜36が例えば
400オングストロ−ム程度エッチングされたところ
で、また、第1層め配線層パタ−ン22上ではポリシリ
コン膜18が例えば200オングストロ−ム程度エッチ
ングされたところで終了する。これは、BPSGのエッ
チング速度に比べて、ポリシリコンのエッチング速度が
1/60以下と遅いためである。この後、レジストパタ
−ン46を除去する(図10)。
Then, using the resist pattern 46 as a mask, the BPSG film 44, the silicon oxide film 42 and the BPS film are formed.
G film 28, silicon oxide film 26 and gate oxide film 12
For example, RI using CHF 3 ions as an etchant
Etching is performed by the E method. As a result, an opening 50 reaching the substrate 10 (N-type impurity layer 24 in the drawing) between the second wiring pattern 40 and the first wiring pattern 22 is obtained. This etching is performed on the second wiring layer pattern 40 when the polysilicon film 36 is etched by, for example, about 400 angstroms, and on the first wiring layer pattern 22 the polysilicon film 18 is etched. Is finished when, for example, about 200 angstroms are etched. This is because the etching rate of polysilicon is as low as 1/60 or less as compared with the etching rate of BPSG. After that, the resist pattern 46 is removed (FIG. 10).

【0028】次いで、温度850℃で水素燃焼酸化を行
い、開口部50内に露出したポリシリコン膜14、1
8、30、36およびタングステンシリサイド膜32の
表面をそれぞれ酸化し、酸化物14A、18A、30
A、36Aおよび32Aに変える。この時、開口部50
内に露出した基板10の表面も酸化され、約150オン
グストロ−ムの厚みを有するシリコン酸化膜(Si
2 )52が形成される(図11)。
Next, hydrogen combustion oxidation is performed at a temperature of 850 ° C. to expose the polysilicon films 14 and 1 exposed in the opening 50.
8, 30 and 36 and the surfaces of the tungsten silicide film 32 are oxidized to form oxides 14A, 18A and 30.
Change to A, 36A and 32A. At this time, the opening 50
The surface of the substrate 10 exposed inside is also oxidized, and a silicon oxide film (Si having a thickness of about 150 Å) is formed.
O 2 ) 52 is formed (FIG. 11).

【0029】次いで、開口部50の内部を含みBPSG
膜44上に、LPCVD法により、約2000オングス
トロ−ムの厚みを有するシリコン窒化膜(SiNX )膜
54を形成する(図12)。
Next, including the inside of the opening 50, the BPSG
On the film 44, by the LPCVD method, about 2000 angstroms - forming a silicon nitride film (SiN X) film 54 having a thickness of arm (Figure 12).

【0030】次いで、シリコン窒化膜54をRIE法に
よりエッチングし、コンタクト孔51を形成する。この
時、シリコン窒化膜54は、主にBPSG膜28、44
の側壁上や配線層32、14の側壁上方にサイドウォ−
ル絶縁膜54A〜54Cとして残る。また、このエッチ
ングの際、酸化物18Aおよび36Aがエッチングされ
て無くなることもある。しかしこの場合においては、シ
リコン酸化膜16や34がエッチングのストッパとなる
ため、配線層14および32はともにエッチングされる
ことはない(図13)。
Next, the silicon nitride film 54 is etched by the RIE method to form the contact hole 51. At this time, the silicon nitride film 54 is mainly formed by the BPSG films 28 and 44.
On the side wall of the wiring layer and above the side walls of the wiring layers 32 and 14.
Remain as the insulating films 54A to 54C. Further, during this etching, the oxides 18A and 36A may be etched and lost. However, in this case, since the silicon oxide films 16 and 34 serve as etching stoppers, both the wiring layers 14 and 32 are not etched (FIG. 13).

【0031】次いで、コンタクト孔51内を含みBPS
G膜44上に、LPCVD法により、約3000オング
ストロ−ムの厚みを有するリンを含む導電性(N型)を
有するポリシリコン膜56を形成する。次いで、フォト
リソグラフィ法を用いてポリシリコン膜56を、コンタ
クト孔51を介して基板10(図中ではN型拡散層2
4)にコンタクトする第3層めの配線層パタ−ンにパタ
−ニングする(図14)。
Next, the BPS including the inside of the contact hole 51
A conductive (N-type) polysilicon film 56 containing phosphorus having a thickness of about 3000 angstroms is formed on the G film 44 by the LPCVD method. Then, the polysilicon film 56 is formed by photolithography through the contact hole 51 to the substrate 10 (N-type diffusion layer 2 in the figure).
4) The wiring layer pattern of the third layer which contacts 4) is patterned (FIG. 14).

【0032】上記のような半導体装置の製造方法である
と、BPSG膜44に開口部50を形成してから、この
開口部50の側壁上、すなわちBPSG膜44上および
配線層パタ−ン22、40の側壁上にサイドウォ−ル絶
縁膜30A〜30Cを形成する。このため、サイドウォ
−ル絶縁膜30A〜30CはBPSG膜28、44をエ
ッチングするためのイオンにさらされることはなく、サ
イドウォ−ル絶縁膜30A〜30Cの残膜の制御が容易
となる。よって、良好な配線層間の絶縁性が得られるよ
うになり、特に配線層間の絶縁耐圧を向上させることが
できる。
According to the method of manufacturing a semiconductor device as described above, after forming the opening 50 in the BPSG film 44, on the sidewall of the opening 50, that is, on the BPSG film 44 and the wiring layer pattern 22, Sidewall insulating films 30A to 30C are formed on the sidewalls of 40. Therefore, the side wall insulating films 30A to 30C are not exposed to the ions for etching the BPSG films 28 and 44, and the remaining film of the side wall insulating films 30A to 30C is easily controlled. As a result, good insulation between the wiring layers can be obtained, and the withstand voltage between the wiring layers can be particularly improved.

【0033】また、導電性を有するポリシリコン膜14
およびタングステンシリサイド32は、障壁層となるポ
リシリコン膜18、36およびシリコン酸化膜16、3
6とともに一括してパタ−ニングされる。このため、ポ
リシリコン膜18、36のパタ−ンが配線層パタ−ンと
同じパタ−ンとなり、配線層どうしがこのポリシリコン
膜18を介してショ−トすることを防止できる。
The conductive polysilicon film 14 is also provided.
The tungsten silicide 32 is used as a barrier layer for the polysilicon films 18, 36 and the silicon oxide films 16, 3, respectively.
6 together with the pattern. For this reason, the pattern of the polysilicon films 18 and 36 becomes the same pattern as the wiring layer pattern, and it is possible to prevent the wiring layers from being shot through the polysilicon film 18.

【0034】さらに、パタ−ニング時、ポリシリコン膜
18、36がコンタクト孔51を形成すべき基板10上
から除去されるため、後にポリシリコン膜18、36を
基板10上から除去する工程等も必要ない。したがっ
て、工程を簡略化でき、生産性も向上する。
Further, since the polysilicon films 18 and 36 are removed from the substrate 10 on which the contact holes 51 are to be formed during patterning, a step of removing the polysilicon films 18 and 36 from the substrate 10 later is also performed. unnecessary. Therefore, the process can be simplified and the productivity is improved.

【0035】さらに、パタ−ニング後、ポリシリコン膜
18、36はゲ−ト上方のみしか残らないので、その残
留量を減らせる。このため、その酸化が容易である。ポ
リシリコン膜18、36を充分に酸化できれば、コンタ
クト孔51内に形成された配線層56どうしが、このポ
リシリコン膜18、36を介してショ−トする問題もな
くなる。
Further, after the patterning, the polysilicon films 18 and 36 are left only above the gate, so that the remaining amount can be reduced. Therefore, its oxidation is easy. If the polysilicon films 18 and 36 can be sufficiently oxidized, there is no problem that the wiring layers 56 formed in the contact holes 51 are short-circuited via the polysilicon films 18 and 36.

【0036】また、上記製造方法によれば、第1層め配
線層パタ−ン22を覆うBPSG膜28をリフロ−して
からでも、第2層め配線層パタ−ン40を形成できるの
で、多層配線構造を有する装置に好適である。
Further, according to the above manufacturing method, the second wiring layer pattern 40 can be formed even after the BPSG film 28 covering the first wiring layer pattern 22 is reflowed. It is suitable for a device having a multilayer wiring structure.

【0037】さらに、第2層め配線層パタ−ン40に障
壁層となるポリシリコン膜36やシリコン酸化膜34を
含ませておけば、第1の実施例のように、第1層め配線
パタ−ン22とともに第2層め配線層パタ−ン40に対
しても自己整合的にコンタクト孔51を形成することが
できる。図15〜図25は、この発明の第2の実施例に
係わる製造方法に従って工程順に示された断面図であ
る。まず、図1〜図4を参照して説明した製法により、
図15に示す構造を得る。
Further, if the second wiring layer pattern 40 includes the polysilicon film 36 and the silicon oxide film 34 which serve as barrier layers, as in the first embodiment, the first wiring layer 40 is formed. The contact holes 51 can be formed in a self-aligned manner not only with the pattern 22 but also with the second wiring layer pattern 40. 15 to 25 are sectional views showing the order of steps according to the manufacturing method according to the second embodiment of the present invention. First, according to the manufacturing method described with reference to FIGS.
The structure shown in FIG. 15 is obtained.

【0038】次いで、BPSG膜28上に、LPCVD
法により、約1000オングストロ−ムの厚みを有する
ポリシリコン膜30を堆積する。次いで、ポリシリコン
膜30にリンを拡散し、ポリシリコン膜30を導電体化
(N型化)する。次いで、N型ポリシリコン膜30上
に、DCマグネトロンスパッタリング法により、約20
00オングストロ−ムの厚みを有するタングステンシリ
サイド膜(WSi2 )32を形成する(図16)。
Then, LPCVD is performed on the BPSG film 28.
By the method, a polysilicon film 30 having a thickness of about 1000 Å is deposited. Then, phosphorus is diffused into the polysilicon film 30 to make the polysilicon film 30 a conductor (N-type). Then, on the N-type polysilicon film 30, a DC magnetron sputtering method of about 20 is performed.
A tungsten silicide film (WSi 2 ) 32 having a thickness of 00 angstrom is formed (FIG. 16).

【0039】次いで、タングステンシリサイド膜32に
フォトレジストを塗布する。次いで、フォトリソグラフ
ィ法により、このフォトレジストをエッチングし、第2
層めの配線層パタ−ンに対応したレジストパタ−ン38
を形成する。次いで、レジストパタ−ン38をマスクと
して、タングステンシリサイド膜32およびN型ポリシ
リコン膜30を順次、RIE法によりエッチングし、主
要な導電物がタングステンシリサイド膜32である第2
層め配線層パタ−ン41を得る(図17)。
Next, a photoresist is applied to the tungsten silicide film 32. Then, this photoresist is etched by a photolithography method, and a second
Resist pattern 38 corresponding to the second wiring layer pattern
To form. Next, using the resist pattern 38 as a mask, the tungsten silicide film 32 and the N-type polysilicon film 30 are sequentially etched by the RIE method, and the main conductive material is the tungsten silicide film 32.
A layer 41 wiring layer pattern is obtained (FIG. 17).

【0040】次いで、レジストパタ−ン38を除去した
後、配線層パタ−ン41上を含み、BPSG膜28上
に、LPCVD法により、約500オングストロ−ムの
厚みを有するシリコン酸化膜42、約7000オングス
トロ−ムの厚みを有するBPSG膜44を形成する(図
18)。次いで、BPSG膜44を、温度850℃の窒
素雰囲気中でリフロ−させ、平坦化する(図19)。
Then, after removing the resist pattern 38, a silicon oxide film 42, about 7,000 having a thickness of about 500 Å is formed on the BPSG film 28 including the wiring pattern 41 by LPCVD. A BPSG film 44 having an angstrom thickness is formed (FIG. 18). Next, the BPSG film 44 is reflowed in a nitrogen atmosphere at a temperature of 850 ° C. to be flattened (FIG. 19).

【0041】次いで、フォトレジストを塗布し、フォト
リソグラフィ法により、このフォトレジストをエッチン
グし、配線層パタ−ン41相互間上に窓48を有するレ
ジストパタ−ン46を形成する。この時、窓48は、基
板10へのコンタクト予定部を含む範囲で、かつパタ−
ン40相互間の幅より狭い幅を有するように形成され
る。この結果、レジストパタ−ン46の側壁47は配線
層パタ−ン22の上方に配置される(図20)。
Next, a photoresist is applied and this photoresist is etched by the photolithography method to form a resist pattern 46 having a window 48 between the wiring layer patterns 41. At this time, the window 48 is in a range including a portion to be contacted with the substrate 10 and has a pattern.
It is formed to have a width narrower than the width between the two. As a result, the side wall 47 of the resist pattern 46 is disposed above the wiring layer pattern 22 (FIG. 20).

【0042】次いで、レジストパタ−ン46をマスクに
用いて、BPSG膜44、シリコン酸化膜42、BPS
G膜28、シリコン酸化膜26およびゲ−ト酸化膜12
を、例えばCHF3 /COイオンをエッチャントに用い
たRIE法によりエッチングする。これによって、配線
層パタ−ン22相互間における基板10(図中ではN型
不純物層24)上に達する開口部50が得られる。BP
SG膜28、44に比べてポリシリコン膜18のエッチ
ング速度は1/60以下と十分遅い。このため、第1層
め配線層パタ−ン22上ではポリシリコン膜18が例え
ば200オングストロ−ム程度エッチングされたところ
でエッチングが、終了する。この後、レジストパタ−ン
46を除去する(図21)。
Then, using the resist pattern 46 as a mask, the BPSG film 44, the silicon oxide film 42 and the BPS film are formed.
G film 28, silicon oxide film 26 and gate oxide film 12
Is etched by, for example, the RIE method using CHF 3 / CO ions as an etchant. As a result, the openings 50 reaching the substrate 10 (N-type impurity layer 24 in the drawing) between the wiring layer patterns 22 are obtained. BP
The etching rate of the polysilicon film 18 is 1/60 or less, which is sufficiently slower than that of the SG films 28 and 44. Therefore, the etching ends when the polysilicon film 18 is etched by, for example, about 200 Å on the first wiring layer pattern 22. After that, the resist pattern 46 is removed (FIG. 21).

【0043】次いで、温度850℃で水素燃焼酸化を行
い、開口部50内に露出したポリシリコン膜14、18
の表面をそれぞれ酸化し、酸化物14A、18A、36
Aに変える。この時、開口部50内に露出した基板10
の表面も酸化され、約150オングストロ−ムの厚みを
有するシリコン酸化膜52が形成される(図22)。
Next, hydrogen combustion oxidation is performed at a temperature of 850 ° C. to expose the polysilicon films 14 and 18 exposed in the opening 50.
The surface of each is oxidized to form oxides 14A, 18A, 36
Change to A. At this time, the substrate 10 exposed in the opening 50
Is also oxidized to form a silicon oxide film 52 having a thickness of about 150 Å (FIG. 22).

【0044】次いで、開口部50の内部を含みBPSG
膜44上に、LPCVD法により、約2000オングス
トロ−ムの厚みを有するシリコン窒化膜(SiNX )膜
54を形成する(図23)。
Next, including the inside of the opening 50, the BPSG
On the film 44, by the LPCVD method, about 2000 angstroms - forming a silicon nitride film (SiN X) film 54 having a thickness of arm (Figure 23).

【0045】次いで、図13を参照して説明した方法と
同様な方法にして、シリコン窒化膜54をRIE法によ
りエッチングし、コンタクト孔51を形成する。この
時、シリコン窒化膜54は、主にBPSG膜28、44
の側壁上や、配線層14の側壁上方にサイドウォ−ル絶
縁膜54A、54Bとして残る。また、このエッチング
の際、酸化物18Aがエッチングされて無くなる時もあ
るが、この場合にはシリコン酸化膜34がストッパとな
るので配線層14がエッチングされることはない(図2
4)。
Then, the silicon nitride film 54 is etched by the RIE method in the same manner as the method described with reference to FIG. 13 to form the contact hole 51. At this time, the silicon nitride film 54 is mainly formed by the BPSG films 28 and 44.
Side wall insulating films 54A and 54B are left on the side walls of the wiring layer 14 and above the side walls of the wiring layer 14. Further, during this etching, the oxide 18A may be etched and disappears, but in this case, since the silicon oxide film 34 serves as a stopper, the wiring layer 14 is not etched (FIG. 2).
4).

【0046】次いで、コンタクト孔51内を含みBPS
G膜44上に、LPCVD法により、約3000オング
ストロ−ムの厚みを有するリンを含むポリシリコン膜5
6を形成する。次いで、フォトリソグラフィ法を用いて
ポリシリコン膜56を、コンタクト孔51を介して基板
10(図中ではN型拡散層24)にコンタクトする第3
層めの配線層パタ−ンにパタ−ニングする(図25)。
Next, BPS including the inside of the contact hole 51
A polysilicon film 5 containing phosphorus having a thickness of about 3000 angstroms is formed on the G film 44 by the LPCVD method.
6 is formed. Next, a third step is performed in which the polysilicon film 56 is brought into contact with the substrate 10 (N-type diffusion layer 24 in the figure) through the contact hole 51 using photolithography.
Patterning is performed on the second wiring layer pattern (FIG. 25).

【0047】上記のような半導体装置の製造方法である
と、第1層めの配線パタ−ンを22に対して自己整合的
にコンタクト孔51を形成できる。そして、BPSG膜
28、44に基板10の表面に通じる開口部50を形成
してから、この開口部50内にサイドウォ−ル絶縁膜5
4A、54Bが形成されるため、第1の実施例と同様な
効果を得ることができる。図26は、この発明の第3の
実施例に係わる製造方法に従って形成された半導体装置
の最終的な断面形状を示した断面図である。
According to the method of manufacturing a semiconductor device as described above, the contact hole 51 can be formed in a self-aligned manner with respect to the first-layer wiring pattern 22. Then, after forming an opening 50 communicating with the surface of the substrate 10 in the BPSG films 28 and 44, the side wall insulating film 5 is formed in the opening 50.
Since 4A and 54B are formed, the same effect as that of the first embodiment can be obtained. FIG. 26 is a sectional view showing the final sectional shape of a semiconductor device formed by the manufacturing method according to the third embodiment of the present invention.

【0048】図26に示すように、3層以上の配線層を
持つ半導体装置において、第1の実施例および第2の実
施例で説明した方法を組み合わせ、コンタクト孔51を
形成することも可能である。
As shown in FIG. 26, in a semiconductor device having three or more wiring layers, it is possible to form the contact hole 51 by combining the methods described in the first and second embodiments. is there.

【0049】図26において、参照符号221 は第1層
めの配線層141 を含むパタ−ンを示しており、同様
に、参照符号222 は第2層めの配線層142 を含むパ
タ−ン、…、参照符号224 は第4層めの配線層144
を含むパタ−ンをそれぞれ示している。第1層めの障壁
層はシリコン酸化膜161 とポリシリコン膜181 とで
構成され、…、第4層めの障壁層はシリコン酸化膜16
4 とポリシリコン膜184 とで構成される。参照符号2
1 は第1層めの配線層141 と第2層めの配線層14
2 とを互いに絶縁する層間絶縁膜(BPSG等)を示し
ており、同様に、参照符号282 は第2層めの配線層1
2 と第3層めの配線層143 とを互いに絶縁する層間
絶縁膜、…、参照符号284 は第3層めの配線層144
と第4層めの配線層144 とを互いに絶縁する層間絶縁
膜をそれぞれ示している。参照符号54A〜54Dは、
層間絶縁膜281 〜284 の側壁上、並びに配線層14
1 〜144 の側壁上方に形成されたサイドウォ−ル絶縁
膜(Si3 4 、もしくはSiO2 等)を示している。
In FIG. 26, reference numeral 22 1 indicates a pattern including the first wiring layer 14 1 , and similarly, reference numeral 22 2 includes the second wiring layer 14 2 . The pattern 22 4 is the fourth wiring layer 14 4.
Each of the patterns including is shown. The first barrier layer is composed of the silicon oxide film 16 1 and the polysilicon film 18 1 , ..., The fourth barrier layer is the silicon oxide film 16 1.
4 and a polysilicon film 18 4 . Reference code 2
8 1 is the first wiring layer 14 1 and the second wiring layer 14
2 shows an interlayer insulating film (BPSG or the like) that insulates them from each other. Similarly, reference numeral 28 2 is a second wiring layer 1
4 2 and the third wiring layer 14 3 are insulated from each other by an interlayer insulating film, ..., Reference numeral 28 4 is a third wiring layer 14 4.
And an inter-layer insulating film for insulating the fourth wiring layer 14 4 from each other. Reference numerals 54A to 54D indicate
On the side walls of the interlayer insulating films 28 1 to 28 4 and the wiring layer 14
The sidewall insulating film (Si 3 N 4 , SiO 2 or the like) formed above the sidewalls 1 to 14 4 is shown.

【0050】図26に示す半導体装置においてはコンタ
クト孔51が深くなる。このため、障壁層の厚みを第1
層よりも第2層めを厚く、また第2層めよりも第3層め
を厚く、第3層めよりも第4層めを厚く、というように
順次厚くすることが望ましい。これによれば、例えば第
4層めの障壁層が長い間エッチャントにさらされたとし
ても、障壁層を残すことができる。これを実現するに
は、例えばポリシリコン膜181 〜184 の膜厚の関係
を次のように設定することで達成される。
In the semiconductor device shown in FIG. 26, the contact hole 51 becomes deep. Therefore, the thickness of the barrier layer is
It is desirable that the second layer is thicker than the layer, the third layer is thicker than the second layer, the fourth layer is thicker than the third layer, and so on. According to this, for example, even if the fourth barrier layer is exposed to the etchant for a long time, the barrier layer can be left. This can be achieved by setting the film thickness relationships of the polysilicon films 18 1 to 18 4 as follows, for example.

【0051】 T181 < T182 < T183 < T184 …(1) (1)式において、T181 はポリシリコン膜181
膜厚を、T182 はポリシリコン膜182 の膜厚を、T
183 はポリシリコン膜183 の膜厚を、T184 はポ
リシリコン膜184 の膜厚をそれぞれ示している。次
に、この発明の第4の実施例に係わる製造方法について
説明する。図27は、この発明の第4の実施例に係わる
製造方法を用いて形成されるダイナミック型RAMのセ
ルのパタ−ン平面図である。
T18 1 <T18 2 <T18 3 <T18 4 (1) In the formula (1), T18 1 is the film thickness of the polysilicon film 18 1 , T18 2 is the film thickness of the polysilicon film 18 2 . T
18 3 the thickness of the polysilicon film 18 3, T18 4 shows the polysilicon film 18 4 of thickness, respectively. Next, a manufacturing method according to the fourth embodiment of the present invention will be described. FIG. 27 is a pattern plan view of a dynamic RAM cell formed by using the manufacturing method according to the fourth embodiment of the present invention.

【0052】図27に示すように、ソ−ス領域1141
およびドレイン領域1142 は、シリコン基板の表面が
露出する素子形成領域101に形成されている。ソ−ス
領域1141 とドレイン領域1142 との間の素子形成
領域101上には、ワ−ド線(ゲ−ト電極)WLが形成
されている。ドレイン領域1142 にはビット線BLが
電気的に接続されている。ソ−ス領域1141 にはスト
レ−ジノ−ド電極が電気的に接続される。なお図27
中、ストレ−ジノ−ド電極およびセルプレ−ト電極は省
略されている。
As shown in FIG. 27, the source area 114 1
The drain region 114 2 is formed in the element forming region 101 where the surface of the silicon substrate is exposed. A word line (gate electrode) WL is formed on the element forming region 101 between the source region 114 1 and the drain region 114 2 . The bit line BL is electrically connected to the drain region 114 2 . Seo - The source region 114 1 stress - Gino - cathode electrode are electrically connected. Note that FIG.
Inside, the storage node electrode and the cell plate electrode are omitted.

【0053】図28〜図42は、この発明の第4の実施
例に係わる製造方法に従って工程順に示されたダイナミ
ック型RAMのセルの断面図である。なおこの断面は図
27中のI−I線に沿うものとする。
28 to 42 are sectional views of the cells of the dynamic RAM, which are shown in the order of steps according to the manufacturing method according to the fourth embodiment of the present invention. Note that this cross section is taken along the line II in FIG.

【0054】まず、P型シリコン基板100の表面領域
に、例えばLOCOS法により、シリコン酸化膜(Si
2 ;以下フィ−ルド酸化膜と称す)102を形成し、
素子形成領域101を画定する。次いで、素子形成領域
101上に、例えば熱酸化法により、約150オングス
トロ−ムの厚みを有するシリコン酸化膜(SiO2 ;以
下ゲ−ト酸化膜と称す)104を形成する。次いで、基
板100上方全面に、例えばLPCVD法により、約2
000オングストロ−ムの厚みを有するポリシリコン膜
106を形成する。次いで、ポリシリコン膜106に、
POCl3 をソ−スとした気相拡散法により、リンを拡
散し、ポリシリコン膜106を導電体化(N型化)す
る。次いで、ポリシリコン膜106上に、LPCVD法
により、約3000オングストロ−ムの厚みを有するシ
リコン酸化膜(SiO2 )108を形成する。次いで、
シリコン酸化膜108上に、LPCVD法により、約1
00オングストロ−ムの厚みを有するポリシリコン膜1
10を形成する(図28)。
First, a silicon oxide film (Si) is formed on the surface region of the P-type silicon substrate 100 by, for example, the LOCOS method.
O 2 ; hereinafter referred to as a field oxide film) 102 is formed,
The element formation region 101 is defined. Then, a silicon oxide film (SiO 2 ; hereinafter referred to as a gate oxide film) 104 having a thickness of about 150 Å is formed on the element forming region 101 by, for example, a thermal oxidation method. Then, the entire surface above the substrate 100 is subjected to about 2 by, for example, LPCVD.
A polysilicon film 106 having a thickness of 000 angstrom is formed. Then, on the polysilicon film 106,
Phosphorus is diffused by a vapor phase diffusion method using POCl 3 as a source to make the polysilicon film 106 a conductor (made N-type). Then, a silicon oxide film (SiO 2 ) 108 having a thickness of about 3000 angstrom is formed on the polysilicon film 106 by the LPCVD method. Then
About 1 is deposited on the silicon oxide film 108 by the LPCVD method.
Polysilicon film 1 having a thickness of 00 angstrom
10 is formed (FIG. 28).

【0055】次いで、ポリシリコン膜110上に、フォ
トリソグラフィ法により、ワ−ド線(ゲ−ト)パタ−ン
に対応したレジストパタ−ン(図示せず)を形成する。
次いで、レジストパタ−ンをマスクに用いて、ポリシリ
コン膜110、シリコン酸化膜108、N型ポリシリコ
ン膜106を順次、RIE法によりエッチングする。こ
れにより、N型ポリシリコン膜106で成るワ−ド線
と、シリコン酸化膜108およびポリシリコン膜110
で成る障壁層とを含むワ−ド線パタ−ン112が形成さ
れる。次いで、ワ−ド線パタ−ン112およびフィ−ル
ド酸化膜102をマスクとして、N型の不純物イオン、
例えばヒ素(As)イオンを基板100内に注入する。
これにより、トランジスタのソ−スとなるN型不純物層
1141 、およびドレインとなるN型不純物層1142
が形成される。この後、図示せぬレジストパタ−ンを除
去する(図29)。
Next, a resist pattern (not shown) corresponding to the word line (gate) pattern is formed on the polysilicon film 110 by photolithography.
Then, using the resist pattern as a mask, the polysilicon film 110, the silicon oxide film 108, and the N-type polysilicon film 106 are sequentially etched by the RIE method. As a result, the word line made of the N-type polysilicon film 106, the silicon oxide film 108 and the polysilicon film 110 are formed.
A wire line pattern 112 including a barrier layer formed of is formed. Then, using the word line pattern 112 and the field oxide film 102 as a mask, N-type impurity ions,
For example, arsenic (As) ions are implanted into the substrate 100.
As a result, the N-type impurity layer 114 1 serving as the source of the transistor and the N-type impurity layer 114 2 serving as the drain are formed.
Is formed. After that, the resist pattern not shown is removed (FIG. 29).

【0056】次いで、ワ−ド線パタ−ン112を覆うよ
うに基板100上方に、LPCVD法により、約100
0オングストロ−ムの厚みを有するシリコン酸化膜(S
iO2 )116、約4000オングストロ−ムの厚みを
有するBPSG膜118を形成する。シリコン酸化膜1
16およびBPSG膜118は、層間絶縁膜として機能
する。次いで、BPSG膜118を、温度850℃の窒
素雰囲気中でリフロ−させ、平坦化する(図30)。
Then, about 100 is formed by LPCVD on the substrate 100 so as to cover the word line pattern 112.
A silicon oxide film (S having a thickness of 0 angstrom)
io 2 ) 116, a BPSG film 118 having a thickness of about 4000 Å is formed. Silicon oxide film 1
16 and the BPSG film 118 function as an interlayer insulating film. Then, the BPSG film 118 is reflowed and planarized in a nitrogen atmosphere at a temperature of 850 ° C. (FIG. 30).

【0057】次いで、BPSG膜118上に、フォトリ
ソグラフィ法により、レジストパタ−ン(図示せず)を
形成する。このレジストパタ−ンは、ソ−スとなる不純
物層1141 にコンタクトされるストレ−ジノ−ド電極
のコンタクト予定部を含む範囲で、かつワ−ド線パタ−
ン112相互間の距離より拡い幅の窓を有する。次い
で、レジストパタ−ンをマスクに用いて、BPSG膜1
18、シリコン酸化膜116、ゲ−ト酸化膜104を、
例えばCHF3 /COイオンをエッチャントに用いたR
IE法によりエッチングする。これにより、不純物層1
141 に達する開口部119が得られる。また、上記エ
ッチングは、ワ−ド線パタ−ン112上では、ポリシリ
コン膜110の部分で止まる。これは、BPSGのエッ
チング速度に比べて、ポリシリコンのエッチング速度が
1/60以下と遅いためである。この後、図示せぬレジ
ストパタ−ンを除去する(図31)。
Next, a resist pattern (not shown) is formed on the BPSG film 118 by photolithography. This resist pattern is in a range including the planned contact portion of the storage node electrode that is to be contacted with the impurity layer 114 1 to be the source, and the word line pattern.
The windows have a width wider than the distance between the windows 112. Then, using the resist pattern as a mask, the BPSG film 1
18, silicon oxide film 116, and gate oxide film 104,
For example, R using CHF 3 / CO ion as an etchant
Etching is performed by the IE method. Thereby, the impurity layer 1
An opening 119 reaching 14 1 is obtained. Further, the etching is stopped at the portion of the polysilicon film 110 on the word line pattern 112. This is because the etching rate of polysilicon is as low as 1/60 or less as compared with the etching rate of BPSG. After that, the resist pattern not shown is removed (FIG. 31).

【0058】次いで、温度850℃で水素燃焼酸化を行
い、開口部119内に露出したポリシリコン膜106お
よび110の表面をそれぞれ酸化し、シリコン酸化膜1
06Aおよび110Aを得る。この時、開口部119内
に露出した基板100の表面も酸化され、約200オン
グストロ−ムの厚みを有するシリコン酸化膜122が形
成される(図32)。
Next, hydrogen combustion oxidation is performed at a temperature of 850 ° C. to oxidize the surfaces of the polysilicon films 106 and 110 exposed in the opening 119, respectively, and the silicon oxide film 1
06A and 110A are obtained. At this time, the surface of the substrate 100 exposed in the opening 119 is also oxidized to form a silicon oxide film 122 having a thickness of about 200 Å (FIG. 32).

【0059】次いで、開口部119内を含みBPSG膜
118上に、LPCVD法により、約1000オングス
トロ−ムの厚みを有するシリコン窒化膜(SiNX )膜
を形成する。次いで、シリコン窒化膜をRIE法により
エッチングし、コンタクト孔120を形成する。この
時、シリコン窒化膜は、主にBPSG膜118の側壁
上、およびワ−ド線106の側壁上方に残る。これによ
り、サイドウォ−ル絶縁膜124が得られる(図3
3)。
Then, a silicon nitride film (SiN x ) film having a thickness of about 1000 Å is formed on the BPSG film 118 including the inside of the opening 119 by the LPCVD method. Then, the silicon nitride film is etched by the RIE method to form the contact hole 120. At this time, the silicon nitride film remains mainly on the sidewalls of the BPSG film 118 and on the sidewalls of the word line 106. As a result, the sidewall insulation film 124 is obtained (see FIG. 3).
3).

【0060】次いで、コンタクト孔120内を含みBP
SG膜118上に、LPCVD法により、約1000オ
ングストロ−ムの厚みを有するポリシリコン膜を形成す
る。次いで、ポリシリコン膜に、POCl3 をソ−スと
した気相拡散法により、リンを拡散し、ポリシリコン膜
を導電体化(N型化)する。次いで、ポリシリコン膜を
フォトリソグラフィ法を用いてパタ−ニングし、ストレ
−ジ・ノ−ド電極126を得る(図34)。次いで、ス
トレ−ジ・ノ−ド電極126の表面を、例えば熱酸化法
により酸化し、キャパシタ誘電体膜128を形成する
(図35)。
Then, the BP including the inside of the contact hole 120 is included.
A polysilicon film having a thickness of about 1000 Å is formed on the SG film 118 by the LPCVD method. Then, phosphorus is diffused into the polysilicon film by a vapor phase diffusion method using POCl 3 as a source to convert the polysilicon film into a conductor (made N-type). Then, the polysilicon film is patterned by photolithography to obtain a storage node electrode 126 (FIG. 34). Then, the surface of the storage node electrode 126 is oxidized by, for example, a thermal oxidation method to form a capacitor dielectric film 128 (FIG. 35).

【0061】次いで、ストレ−ジ・ノ−ド電極126を
覆うようにBPSG膜118上に、LPCVD法によ
り、約2000オングストロ−ムの厚みを有する低抵抗
のポリシリコン膜を形成する。このポリシリコン膜はプ
レ−ト電極130となる。次いで、プレ−ト電極130
上に、LPCVD法により、約1000オングストロ−
ムの厚みを有するシリコン酸化膜(SiO2 )132を
形成する。次いで、シリコン酸化膜132上に、LPC
VD法により、約400オングストロ−ムの厚みを有す
るポリシリコン膜134を形成する(図36)。
Then, a low resistance polysilicon film having a thickness of about 2000 angstrom is formed on the BPSG film 118 by LPCVD so as to cover the storage node electrode 126. This polysilicon film becomes the plate electrode 130. Then, the plate electrode 130
On top, about 1000 angstroms by LPCVD method.
A silicon oxide film (SiO 2 ) 132 having a film thickness is formed. Then, the LPC is formed on the silicon oxide film 132.
A polysilicon film 134 having a thickness of about 400 Å is formed by the VD method (FIG. 36).

【0062】次いで、ポリシリコン膜134上に、フォ
トリソグラフィ法により、プレ−ト電極に、ビット線を
基板に到達させるための開口部に対応したレジストパタ
−ン(図示せず)を形成する。次いで、レジストパタ−
ンをマスクに用いて、ポリシリコン膜134、シリコン
酸化膜132、プレ−ト電極(ポリシリコン膜)130
を順次、RIE法によりエッチングする。これにより、
ビット線を基板100に到達させるための開口部136
を有するプレ−ト電極パタ−ン138が形成される。ス
リット136の幅は、ワ−ド線パタ−ン112相互間の
距離よりも大きくなるよう形成される。なおプレ−ト電
極パタ−ン138は、プレ−ト電極130と、シリコン
酸化膜132およびポリシリコン膜134で成る障壁層
とを含んでいる。この後、図示せぬレジストパタ−ンを
除去する(図37)。
Next, on the polysilicon film 134, a resist pattern (not shown) corresponding to the opening for allowing the bit line to reach the substrate is formed on the plate electrode by photolithography. Next, the resist pattern
Using the silicon as a mask, the polysilicon film 134, the silicon oxide film 132, the plate electrode (polysilicon film) 130.
Are sequentially etched by the RIE method. This allows
Opening 136 for reaching the bit line to the substrate 100
A plate electrode pattern 138 having The width of the slit 136 is formed to be larger than the distance between the word line patterns 112. The plate electrode pattern 138 includes a plate electrode 130 and a barrier layer composed of a silicon oxide film 132 and a polysilicon film 134. After that, the resist pattern not shown is removed (FIG. 37).

【0063】次いで、プレ−ト電極パタ−ン138上を
覆うようにBPSG膜118上に、層間絶縁膜として、
LPCVD法により、約1000オングストロ−ムの厚
みを有するシリコン酸化膜(SiO2 )140、約40
00オングストロ−ムの厚みを有するBPSG膜142
を形成する。次いで、BPSG膜142を、温度850
℃の窒素雰囲気中でリフロ−させ、平坦化する(図3
8)。
Then, an interlayer insulating film is formed on the BPSG film 118 so as to cover the plate electrode pattern 138.
By the LPCVD method, a silicon oxide film (SiO 2 ) 140 having a thickness of about 1000 Å, about 40
BPSG film 142 having a thickness of 00 angstrom
To form. Then, the BPSG film 142 is heated to a temperature of 850.
Reflow in a nitrogen atmosphere at ℃ for flattening (Fig. 3
8).

【0064】次いで、BPSG膜142上に、フォトリ
ソグラフィ法により、レジストパタ−ン(図示せず)を
形成する。このレジストパタ−ンは、ドレインとなる不
純物層1142 にコンタクトされるビット線コンタクト
予定部を含む範囲で、かつ開口部136の幅よりも拡い
幅の窓を有する。次いで、レジストパタ−ンをマスクと
して、BPSG膜142、シリコン酸化膜140、BP
SG膜118、シリコン酸化膜116、ゲ−ト酸化膜1
04を、例えばCHF3 /COイオンをエッチャントと
したRIE法によりエッチングする。これにより、不純
物層1142 に達する開口部144が得られる。また、
上記エッチングは、プレ−ト電極パタ−ン138上では
ポリシリコン膜132の部分で、またワ−ド線パタ−ン
112上ではポリシリコン膜110の部分でそれぞれ止
まる。これは、BPSGのエッチング速度に比べて、ポ
リシリコンのエッチング速度が1/60以下と遅いため
である。この後、図示せぬレジストパタ−ンを除去する
(図39)。
Next, a resist pattern (not shown) is formed on the BPSG film 142 by photolithography. This resist pattern has a window having a width wider than the width of the opening 136 within a range including a portion to be contacted with the bit line contacting the impurity layer 114 2 serving as a drain. Then, using the resist pattern as a mask, the BPSG film 142, the silicon oxide film 140, the BP
SG film 118, silicon oxide film 116, gate oxide film 1
04 is etched by, for example, the RIE method using CHF 3 / CO ions as an etchant. As a result, the opening 144 reaching the impurity layer 114 2 is obtained. Also,
The etching stops at the portion of the polysilicon film 132 on the plate electrode pattern 138 and at the portion of the polysilicon film 110 on the word line pattern 112. This is because the etching rate of polysilicon is as low as 1/60 or less as compared with the etching rate of BPSG. After that, the resist pattern not shown is removed (FIG. 39).

【0065】次いで、温度850℃で水素燃焼酸化を行
い、開口部144内に露出したポリシリコン膜106
(ワ−ド線)、110、130(プレ−ト電極)および
134の表面をそれぞれ酸化し、酸化物106A、11
0B、130A、134Aをそれぞれ形成する。この
時、開口部144内に露出した基板100の表面も酸化
され、約150オングストロ−ムの厚みを有するシリコ
ン酸化膜146が形成される(図40)。
Next, hydrogen combustion oxidation is performed at a temperature of 850 ° C. to expose the polysilicon film 106 exposed in the opening 144.
The surfaces of (wire line), 110, 130 (plate electrode) and 134 are respectively oxidized to form oxides 106A and 11A.
0B, 130A, and 134A are formed, respectively. At this time, the surface of the substrate 100 exposed in the opening 144 is also oxidized to form a silicon oxide film 146 having a thickness of about 150 Å (FIG. 40).

【0066】次いで、開口部144内を含みBPSG膜
142上に、LPCVD法により、約1000オングス
トロ−ムの厚みを有するシリコン窒化膜(SiNX )膜
を形成する。次いで、シリコン窒化膜をRIE法により
エッチングし、コンタクト孔145を形成する。この
時、シリコン窒化膜は、主にBPSG膜118、142
の側面上、およびワ−ド線106、プレ−ト電極130
の側面上方に残る。これにより、サイドウォ−ル絶縁膜
148が得られる(図41)。
Next, on the BPSG film 142 including the inside of the opening 144, a silicon nitride film (SiN x ) film having a thickness of about 1000 Å is formed by the LPCVD method. Then, the silicon nitride film is etched by the RIE method to form a contact hole 145. At this time, the silicon nitride film is mainly used as the BPSG film 118, 142.
On the side surface of the wire, the wire wire 106, and the plate electrode 130.
Remains above the sides of. As a result, the sidewall insulating film 148 is obtained (FIG. 41).

【0067】次いで、コンタクト孔145内を含み、B
PSG膜142上に、LPCVD法により、約3000
オングストロ−ムの厚みを有するリンを含むポリシリコ
ン膜を形成する。次いで、フォトリソグラフィ法を用い
てポリシリコン膜をパタ−ニングする。このパタ−ニン
グにより、コンタクト孔145を介してN型不純物層1
142 に電気的に接続されるビット線150を得る。以
上のような工程により、図27に示す平面パタ−ンを有
するダイナミック型RAMのセルが形成される。次に、
この発明の第5の実施例に係わる製造方法について説明
する。図43は、この発明の第5の実施例に係わる製造
方法を用いて形成されるダイナミック型RAMのセルの
パタ−ン平面図である。
Next, including the inside of the contact hole 145, B
About 3000 on the PSG film 142 by the LPCVD method.
A polysilicon film containing phosphorus having a thickness of angstrom is formed. Then, the polysilicon film is patterned by using the photolithography method. By this patterning, the N-type impurity layer 1 is formed through the contact hole 145.
Obtain the bit line 150 electrically connected to 14 2 . Through the steps described above, a dynamic RAM cell having a plane pattern shown in FIG. 27 is formed. next,
A manufacturing method according to the fifth embodiment of the present invention will be described. FIG. 43 is a pattern plan view of a dynamic RAM cell formed by using the manufacturing method according to the fifth embodiment of the present invention.

【0068】図43に示すように、ストレ−ジノ−ド電
極とソ−ス領域1141 のコンタクト部SNCは、ビッ
ト線BLとワ−ド線WLとにより囲まれる領域に設けら
れている。そして、素子形成領域101は、ビット線B
Lを挟んで対角線上のソ−ス領域1141 どうし結ぶよ
うに、平面から見て斜めに形成されている。ビット線B
Lは、ドレイン領域1142 に電気的に接続されてい
る。なお図43中、ストレ−ジノ−ド電極およびセルプ
レ−ト電極は省略されている。
[0068] As shown in FIG. 43, stress - Gino - cathode electrode and the source - a contact portion SNC of the scan area 114 1, the bit line BL and word - is provided in a region surrounded by the word line WL. Then, the element formation region 101 has a bit line B
It is formed obliquely as seen from a plane so as to connect the source regions 114 1 on the diagonal with L in between. Bit line B
L is electrically connected to the drain region 114 2 . In FIG. 43, the storage node electrode and the cell plate electrode are omitted.

【0069】図44(a)〜(c)ないし図54(a)
〜(c)は、この発明の第4の実施例に係わる製造方法
に従って工程順に示されたダイナミック型RAMのセル
の断面図である。なお(a)図に示す断面は図43中の
a−a線に、(b)図に示す断面は図43中のb−b線
に、(c)図に示す断面は図43中のc−c線にそれぞ
れ沿うものとする。
FIGS. 44 (a) to 44 (c) to FIG. 54 (a)
8C are sectional views of a dynamic RAM cell shown in the order of steps according to the manufacturing method according to the fourth embodiment of the present invention. Note that the cross section shown in (a) is taken along line aa in FIG. 43, the cross section shown in (b) is taken along line bb in FIG. 43, and the cross section shown in (c) is taken in c in FIG. -It shall be along each line c.

【0070】まず、図27〜図29を参照して説明した
方法と同様な方法により、P型シリコン基板100の表
面領域にフィ−ルド酸化膜102を形成して素子形成領
域101を画定する。次いで、素子形成領域101上に
ゲ−ト酸化膜104を形成した後、基板100の上方に
N型ポリシリコン膜106で成るワ−ド線と、シリコン
酸化膜108およびポリシリコン膜110で成る障壁層
とを含むワ−ド線パタ−ン112を形成する。次いで、
トランジスタのソ−スとなるN型不純物層1141 、お
よびドレインとなるN型不純物層1142 を形成する
{図44(a)〜(c)}。
First, the field oxide film 102 is formed in the surface region of the P-type silicon substrate 100 by a method similar to that described with reference to FIGS. 27 to 29 to define the element formation region 101. Then, a gate oxide film 104 is formed on the element forming region 101, and then a word line made of an N-type polysilicon film 106 and a barrier made of a silicon oxide film 108 and a polysilicon film 110 are formed above the substrate 100. A word line pattern 112 including layers is formed. Then
An N-type impurity layer 114 1 that serves as a source of the transistor and an N-type impurity layer 114 2 that serves as a drain are formed {FIGS. 44 (a) to (c)}.

【0071】次いで、図30を参照して説明した方法と
同様な方法により、ワ−ド線パタ−ン112上を覆うよ
うに基板100上方に、層間絶縁膜として、シリコン酸
化膜116、BPSG膜118を形成する。次いで、B
PSG膜118をリフロ−させ、平坦化する{図45
(a)〜(c)}。
Then, by a method similar to that described with reference to FIG. 30, a silicon oxide film 116, a BPSG film as an interlayer insulating film are formed above the substrate 100 so as to cover the word line pattern 112. 118 is formed. Then B
The PSG film 118 is reflowed and flattened (FIG. 45).
(A)-(c)}.

【0072】次いで、BPSG膜118上に、フォトリ
ソグラフィ法により、レジストパタ−ン(図示せず)を
形成する。このレジストパタ−ンは、ドレインとなる不
純物層1142 にコンタクトされるビット線のコンタク
ト予定部を含む範囲で、かつワ−ド線パタ−ン112相
互間の距離より拡い幅の窓を有する。次いで、レジスト
パタ−ンをマスクとして、BPSG膜118、シリコン
酸化膜116、ゲ−ト酸化膜104を、例えばCHF3
/COイオンをエッチャントとしたRIE法によりエッ
チングする。これにより、不純物層1142 に達する開
口部144が得られる。また、上記エッチングは、ワ−
ド線パタ−ン112上では、ポリシリコン膜110の部
分で止まる。これは、BPSGのエッチング速度に比べ
て、ポリシリコンのエッチング速度が1/60以下と遅
いためである。この後、図示せぬレジストパタ−ンを除
去する{図46(a)〜(c)}。
Next, a resist pattern (not shown) is formed on the BPSG film 118 by photolithography. The resist pattern - down is a range including a contact portion to be of bit lines contact the impurity layer 114 2 serving as a drain, Katsuwa - having emission 112 windows of expansion have width than the distance between the cross - word line pattern. Then, using the resist pattern as a mask, the BPSG film 118, the silicon oxide film 116, and the gate oxide film 104 are formed, for example, CHF 3
Etching is performed by the RIE method using / CO ions as an etchant. As a result, the opening 144 reaching the impurity layer 114 2 is obtained. In addition, the above etching is a work
It stops at the portion of the polysilicon film 110 on the contact line pattern 112. This is because the etching rate of polysilicon is as low as 1/60 or less as compared with the etching rate of BPSG. After that, the resist pattern not shown is removed {FIGS. 46 (a) to 46 (c)}.

【0073】次いで、温度850℃で水素燃焼酸化を行
い、開口部144内に露出したポリシリコン膜106お
よび110の表面をそれぞれ酸化し、酸化物106Aお
よび110Aを得る。この時、開口部144内に露出し
た基板100の表面も酸化され、約200オングストロ
−ムの厚みを有するシリコン酸化膜146が形成される
{図47(a)〜(c)}。
Then, hydrogen combustion oxidation is performed at a temperature of 850 ° C. to oxidize the surfaces of the polysilicon films 106 and 110 exposed in the openings 144, respectively, to obtain oxides 106A and 110A. At this time, the surface of the substrate 100 exposed in the opening 144 is also oxidized to form a silicon oxide film 146 having a thickness of about 200 Å (FIGS. 47A to 47C).

【0074】次いで、開口部144内を含みBPSG膜
118上に、LPCVD法により、約1000オングス
トロ−ムの厚みを有するシリコン窒化膜(SiNX )を
形成する。次いで、シリコン窒化膜をRIE法によりエ
ッチングし、コンタクト孔145を形成する。この時、
シリコン窒化膜は、主にBPSG膜118の側壁上、お
よびワ−ド線106の側壁上方に残る。これにより、サ
イドウォ−ル148が得られる{図48(a)〜
(c)}。
Then, a silicon nitride film (SiN x ) having a thickness of about 1000 Å is formed on the BPSG film 118 including the inside of the opening 144 by the LPCVD method. Then, the silicon nitride film is etched by the RIE method to form a contact hole 145. At this time,
The silicon nitride film remains mainly on the side wall of the BPSG film 118 and above the side wall of the word line 106. As a result, the sidewall 148 is obtained {FIG. 48 (a)-
(C)}.

【0075】次いで、コンタクト孔145内を含みBP
SG膜118上に、LPCVD法により、約3000オ
ングストロ−ムの厚みを有するポリシリコン膜152を
形成する。このポリシリコン膜は将来においてビット線
となる。次いで、ポリシリコン膜152に、POCl3
をソ−スとした気相拡散法により、リンを拡散し、ポリ
シリコン膜152を導電体化(N型化)する。次いで、
ポリシリコン膜152上に、LPCVD法により、約1
000オングストロ−ムの厚みを有するシリコン酸化膜
(SiO2 )154を形成する。次いで、シリコン酸化
膜154上に、LPCVD法により、約250オングス
トロ−ムの厚みを有するポリシリコン膜156を形成す
る。次いで、ポリシリコン膜156上に、フォトリソグ
ラフィ法により、ビット線に対応したレジストパタ−ン
(図示せず)を形成する。次いで、レジストパタ−ンを
マスクとして、ポリシリコン膜156、シリコン酸化膜
154、N型ポリシリコン膜152を順次、RIE法に
よりエッチングする。これにより、N型ポリシリコン膜
152で成るビット線とシリコン酸化膜152およびポ
リシリコン膜154で成る障壁層とを含むビット線パタ
−ン158が形成される{図49(a)〜(c)}。
Then, the BP including the inside of the contact hole 145 is included.
A polysilicon film 152 having a thickness of about 3000 angstrom is formed on the SG film 118 by the LPCVD method. This polysilicon film will become a bit line in the future. Then, on the polysilicon film 152, POCl 3
Is used as a source to diffuse phosphorus to make the polysilicon film 152 a conductor (made N-type). Then
About 1 is formed on the polysilicon film 152 by the LPCVD method.
A silicon oxide film (SiO 2 ) 154 having a thickness of 000 Å is formed. Then, a polysilicon film 156 having a thickness of about 250 Å is formed on the silicon oxide film 154 by the LPCVD method. Next, a resist pattern (not shown) corresponding to the bit line is formed on the polysilicon film 156 by photolithography. Then, the polysilicon film 156, the silicon oxide film 154, and the N-type polysilicon film 152 are sequentially etched by the RIE method using the resist pattern as a mask. As a result, a bit line pattern 158 including the bit line formed of the N-type polysilicon film 152 and the barrier layer formed of the silicon oxide film 152 and the polysilicon film 154 is formed (FIGS. 49A to 49C). }.

【0076】次いで、ビット線パタ−ン158を覆うよ
うにBPSG膜118上に、層間絶縁膜として、LPC
VD法により、約1000オングストロ−ムの厚みを有
するシリコン酸化膜140、約6000オングストロ−
ムの厚みを有するBPSG膜142を形成する。次い
で、BPSG膜142を、温度850℃の窒素雰囲気中
でリフロ−させ、平坦化する{図50(a)〜
(c)}。
Next, LPC is formed as an interlayer insulating film on the BPSG film 118 so as to cover the bit line pattern 158.
A silicon oxide film 140 having a thickness of about 1000 angstroms and a thickness of about 6000 angstroms are formed by the VD method.
A BPSG film 142 having a thickness of 100 μm is formed. Next, the BPSG film 142 is reflowed in a nitrogen atmosphere at a temperature of 850 ° C. to be flattened {FIG. 50 (a)-
(C)}.

【0077】次いで、BPSG膜142上に、フォトリ
ソグラフィ法により、レジストパタ−ン(図示せず)を
形成する。このレジストパタ−ンは、ソ−スとなる不純
物層1141 にコンタクトされるストレ−ジノ−ド電極
のコンタクト予定部を含む範囲で、かつワ−ド線パタ−
ン112相互間の距離より拡い幅の窓を有する。次い
で、レジストパタ−ンをマスクとして、BPSG膜14
2、シリコン酸化膜140、BPSG膜118、シリコ
ン酸化膜116、ゲ−ト酸化膜104を、例えばCHF
3 /COイオンをエッチャントとしたRIE法により順
次エッチングする。これにより、不純物層1141 に達
する開口部119が得られる。また、上記エッチング
は、ビット線パタ−ン158上ではポリシリコン膜15
6で、ワ−ド線パタ−ン112上ではポリシリコン膜1
10の部分でそれぞれ止まる。これは、BPSGのエッ
チング速度に比べて、ポリシリコンのエッチング速度が
1/60以下と遅いためである。この後、図示せぬレジ
ストパタ−ンを除去する{図51(a)〜(c)}。
尚、図55は図49(a)〜(c)の工程におけるパタ
−ン平面図である。
Next, a resist pattern (not shown) is formed on the BPSG film 142 by photolithography. This resist pattern is in a range including the planned contact portion of the storage node electrode that is to be contacted with the impurity layer 114 1 to be the source, and the word line pattern.
The windows have a width wider than the distance between the windows 112. Then, using the resist pattern as a mask, the BPSG film 14
2, the silicon oxide film 140, the BPSG film 118, the silicon oxide film 116, and the gate oxide film 104 are, for example, CHF.
Etching is sequentially performed by the RIE method using 3 / CO ions as an etchant. As a result, the opening 119 reaching the impurity layer 114 1 is obtained. In addition, the etching is performed on the polysilicon film 15 on the bit line pattern 158.
6, the polysilicon film 1 is formed on the word line pattern 112.
Stop at each of 10 parts. This is because the etching rate of polysilicon is as low as 1/60 or less as compared with the etching rate of BPSG. After that, the resist pattern not shown is removed {FIGS. 51 (a) to 51 (c)}.
Incidentally, FIG. 55 is a pattern plan view in the steps of FIGS. 49 (a) to 49 (c).

【0078】次いで、温度850℃で水素燃焼酸化を行
い、開口部121内に露出したポリシリコン膜106、
110、152および156の表面をそれぞれ酸化し、
酸化物106A、110A、152Aおよび156Aに
変える。この時、開口部119内に露出した基板100
の表面も酸化され、約200オングストロ−ムの厚みを
有するシリコン酸化膜122が形成される{図52
(a)〜(c)}。
Next, hydrogen combustion oxidation is performed at a temperature of 850 ° C. to expose the polysilicon film 106 exposed in the opening 121.
Oxidize the surfaces of 110, 152 and 156 respectively,
Change to oxides 106A, 110A, 152A and 156A. At this time, the substrate 100 exposed in the opening 119.
Is also oxidized to form a silicon oxide film 122 having a thickness of about 200 Å (FIG. 52).
(A)-(c)}.

【0079】次いで、開口部119内を含みBPSG膜
142上に、LPCVD法により、約1000オングス
トロ−ムの厚みを有するシリコン窒化膜(SiNX )膜
を形成する。次いで、シリコン窒化膜をRIE法により
エッチングし、コンタクト孔120を形成する。この
時、シリコン窒化膜は、主にBPSG膜142、118
の側壁上、およびビット線152、ワ−ド線106の側
壁上方に残る。これにより、サイドウォ−ル124が得
られる{図53(a)〜(c)}。
Then, a silicon nitride film (SiN x ) film having a thickness of about 1000 angstrom is formed on the BPSG film 142 including the inside of the opening 119 by the LPCVD method. Then, the silicon nitride film is etched by the RIE method to form the contact hole 120. At this time, the silicon nitride film is mainly used as the BPSG film 142, 118.
Of the bit line 152 and the word line 106. As a result, the side wall 124 is obtained {FIGS. 53 (a) to (c)}.

【0080】次いで、コンタクト孔120内を含みBP
SG膜142上に、LPCVD法により、約1000オ
ングストロ−ムの厚みを有するポリシリコン膜を形成す
る。次いで、ポリシリコン膜に、POCl3 をソ−スと
した気相拡散法により、リンを拡散し、ポリシリコン膜
を導電体化(N型化)する。次いで、ポリシリコン膜を
フォトリソグラフィ法を用いてパタ−ニングし、ストレ
−ジ・ノ−ド電極126を得る。次いで、ストレ−ジ・
ノ−ド電極126の表面を、例えば熱酸化法により酸化
し、キャパシタ誘電体膜128を形成する。次いで、キ
ャパシタ誘電体膜128上を含み、BPSG膜142上
に、LPCVD法により、約3000オングストロ−ム
の厚みを有するポリシリコン膜を形成し、このポリシリ
コン膜によりプレ−ト電極139を得る。以上のような
工程により、図43に示す平面パタ−ンを有するダイナ
ミック型RAMのセルが形成される。
Then, the BP including the inside of the contact hole 120 is included.
A polysilicon film having a thickness of about 1000 Å is formed on the SG film 142 by the LPCVD method. Then, phosphorus is diffused into the polysilicon film by a vapor phase diffusion method using POCl 3 as a source to convert the polysilicon film into a conductor (made N-type). Then, the polysilicon film is patterned by photolithography to obtain a storage node electrode 126. Then storage
The surface of the node electrode 126 is oxidized by, for example, a thermal oxidation method to form a capacitor dielectric film 128. Then, a polysilicon film having a thickness of about 3000 angstroms is formed on the BPSG film 142 including the capacitor dielectric film 128 by the LPCVD method, and a plate electrode 139 is obtained from this polysilicon film. Through the above steps, a dynamic RAM cell having a plane pattern shown in FIG. 43 is formed.

【0081】尚、この発明は上記実施例に限定されるも
のではなく、メモリセルのビット線コンタクト部、メモ
リセルのストレ−ジ・ノ−ド電極コンタクト部以外にお
いても、この発明に係わる製造方法を適用できることは
いうまでもない。
The present invention is not limited to the above-mentioned embodiment, and the manufacturing method according to the present invention is applied to other than the bit line contact portion of the memory cell and the storage node contact portion of the memory cell. Needless to say, can be applied.

【0082】また、障壁層としてシリコン酸化膜とポリ
シリコンとを用いたが、ポリシリコンを、ハフニウム
(Hf)、タンタル(Ta)、ジルコニウム(Zr)、
タングステン−シリサイド(WSi2 )、モリブデン−
シリサイド(MoSi2 )、ハフニウム−シリサイド
(HfSi2 )、タンタル−シリサイド(TaS
2 )、ジルコニウム−シリサイド(ZrSi2 )等に
変えても良い。これらの物質は全て、ポリシリコンと同
様、例えば酸化性雰囲気中で温度700℃以上の熱処理
を施すことにより酸化物となり、絶縁体化される。
Although the silicon oxide film and the polysilicon are used as the barrier layer, the polysilicon is replaced by hafnium (Hf), tantalum (Ta), zirconium (Zr),
Tungsten - silicide (WSi 2), molybdenum -
Silicide (MoSi 2 ), hafnium-silicide (HfSi 2 ), tantalum-silicide (TaS
i 2 ), zirconium-silicide (ZrSi 2 ) or the like. Similar to polysilicon, all of these substances become oxides and become insulators by being subjected to heat treatment at a temperature of 700 ° C. or higher in an oxidizing atmosphere, for example.

【0083】また、障壁層をシリコン酸化膜とポリシリ
コンとを積み重ねて形成したが、これによれば、CHF
3 /COのエッチャントに対してはいずれかのポリシリ
コンが、またCl2 のエッチャントに対してはシリコン
酸化膜がというようにそれぞれエッチング耐性を発揮さ
せることが可能である。このため、障壁層のエッチング
耐性を全体的に強化できるという効果が得られる。
Further, the barrier layer is formed by stacking the silicon oxide film and the polysilicon. According to this, CHF is formed.
It is possible to exert etching resistance such that either polysilicon is used for the 3 / CO etchant, and the silicon oxide film is used for the Cl 2 etchant. Therefore, the effect that the etching resistance of the barrier layer can be strengthened as a whole is obtained.

【0084】また、層間絶縁膜としてBPSGを用いた
が、層間絶縁膜を、リン−シリケ−トガラス(PS
G)、ボロン−シリケ−トガラス(BSG)により構成
しても良い。これらの物質も全て、BPSGと同様、例
えば酸化性雰囲気中で温度700℃以上の熱処理を施す
ことによりリフロ−できるとともに、Si、Hf、T
a、Zr、WSi2 、MoSi2 、HfSi2 、TaS
2 、ZrSi2 とエッチングの選択性を得ることがで
きる。また、サイドウォ−ル絶縁膜としてシリコン窒化
膜を用いたが、サイドウォ−ル絶縁膜も、絶縁物であれ
ばシリコン窒化膜に限られることはない。
Although BPSG is used as the interlayer insulating film, the interlayer insulating film is formed of phosphorus-silicate glass (PS
G) or boron-silicate glass (BSG). Similar to BPSG, all of these substances can be reflowed by heat treatment at a temperature of 700 ° C. or higher in an oxidizing atmosphere, and Si, Hf, T
a, Zr, WSi 2 , MoSi 2 , HfSi 2 , TaS
It is possible to obtain selectivity for etching with i 2 and ZrSi 2 . Although the silicon nitride film is used as the side wall insulating film, the side wall insulating film is not limited to the silicon nitride film as long as it is an insulating material.

【0085】[0085]

【発明の効果】以上説明したように、この発明によれ
ば、多層の配線層を有する半導体装置に有効な、配線層
に対して自己整合的にコンタクト孔を形成できる半導体
装置の製造方法を提供できる。
As described above, according to the present invention, there is provided a method of manufacturing a semiconductor device, which is effective for a semiconductor device having multiple wiring layers and which can form contact holes in a self-aligned manner with respect to the wiring layers. it can.

【図面の簡単な説明】[Brief description of drawings]

【図1】図1はこの発明の第1の実施例に係わる半導体
装置の製造方法の第1の工程を示す断面図である。
FIG. 1 is a sectional view showing a first step of a method for manufacturing a semiconductor device according to a first embodiment of the present invention.

【図2】図2はこの発明の第1の実施例に係わる半導体
装置の製造方法の第2の工程を示す断面図である。
FIG. 2 is a sectional view showing a second step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図3】図3はこの発明の第1の実施例に係わる半導体
装置の製造方法の第3の工程を示す断面図である。
FIG. 3 is a sectional view showing a third step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図4】図4はこの発明の第1の実施例に係わる半導体
装置の製造方法の第4の工程を示す断面図である。
FIG. 4 is a sectional view showing a fourth step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図5】図5はこの発明の第1の実施例に係わる半導体
装置の製造方法の第5の工程を示す断面図である。
FIG. 5 is a sectional view showing a fifth step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図6】図6はこの発明の第1の実施例に係わる半導体
装置の製造方法の第6の工程を示す断面図である。
FIG. 6 is a sectional view showing a sixth step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図7】図7はこの発明の第1の実施例に係わる半導体
装置の製造方法の第7の工程を示す断面図である。
FIG. 7 is a sectional view showing a seventh step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図8】図8はこの発明の第1の実施例に係わる半導体
装置の製造方法の第8の工程を示す断面図である。
FIG. 8 is a sectional view showing an eighth step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図9】図9はこの発明の第1の実施例に係わる半導体
装置の製造方法の第9の工程を示す断面図である。
FIG. 9 is a sectional view showing a ninth step of the method for manufacturing a semiconductor device according to the first embodiment of the present invention.

【図10】図10はこの発明の第1の実施例に係わる半
導体装置の製造方法の第10の工程を示す断面図であ
る。
FIG. 10 is a sectional view showing a tenth step of the method for manufacturing the semiconductor device according to the first embodiment of the invention.

【図11】図11はこの発明の第1の実施例に係わる半
導体装置の製造方法の第11の工程を示す断面図であ
る。
FIG. 11 is a sectional view showing an eleventh step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図12】図12はこの発明の第1の実施例に係わる半
導体装置の製造方法の第12の工程を示す断面図であ
る。
FIG. 12 is a sectional view showing a twelfth step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図13】図13はこの発明の第1の実施例に係わる半
導体装置の製造方法の第13の工程を示す断面図であ
る。
FIG. 13 is a sectional view showing a thirteenth step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図14】図14はこの発明の第1の実施例に係わる半
導体装置の製造方法の第14の工程を示す断面図であ
る。
FIG. 14 is a sectional view showing a fourteenth step of the method for manufacturing the semiconductor device according to the first embodiment of the present invention.

【図15】図15はこの発明の第2の実施例に係わる半
導体装置の製造方法の第1の工程を示す断面図である。
FIG. 15 is a sectional view showing a first step of a method for manufacturing a semiconductor device according to the second embodiment of the present invention.

【図16】図16はこの発明の第2の実施例に係わる半
導体装置の製造方法の第2の工程を示す断面図である。
FIG. 16 is a sectional view showing a second step of the method for manufacturing a semiconductor device according to the second embodiment of the present invention.

【図17】図17はこの発明の第2の実施例に係わる半
導体装置の製造方法の第3の工程を示す断面図である。
FIG. 17 is a sectional view showing a third step of the method for manufacturing a semiconductor device according to the second embodiment of the present invention.

【図18】図18はこの発明の第2の実施例に係わる半
導体装置の製造方法の第4の工程を示す断面図である。
FIG. 18 is a sectional view showing a fourth step of the method for manufacturing the semiconductor device according to the second embodiment of the present invention.

【図19】図19はこの発明の第2の実施例に係わる半
導体装置の製造方法の第5の工程を示す断面図である。
FIG. 19 is a sectional view showing a fifth step of the method for manufacturing the semiconductor device according to the second embodiment of the present invention.

【図20】図20はこの発明の第2の実施例に係わる半
導体装置の製造方法の第6の工程を示す断面図である。
FIG. 20 is a sectional view showing a sixth step of the method for manufacturing a semiconductor device according to the second embodiment of the present invention.

【図21】図21はこの発明の第2の実施例に係わる半
導体装置の製造方法の第7の工程を示す断面図である。
FIG. 21 is a sectional view showing a seventh step of the method for manufacturing a semiconductor device according to the second embodiment of the present invention.

【図22】図22はこの発明の第2の実施例に係わる半
導体装置の製造方法の第8の工程を示す断面図である。
FIG. 22 is a sectional view showing an eighth step of the method for manufacturing a semiconductor device according to the second embodiment of the present invention.

【図23】図23はこの発明の第2の実施例に係わる半
導体装置の製造方法の第9の工程を示す断面図である。
FIG. 23 is a sectional view showing a ninth step of the method for manufacturing the semiconductor device according to the second embodiment of the present invention.

【図24】図24はこの発明の第2の実施例に係わる半
導体装置の製造方法の第10の工程を示す断面図であ
る。
FIG. 24 is a sectional view showing a tenth step of the method for manufacturing the semiconductor device according to the second embodiment of the present invention.

【図25】図25はこの発明の第2の実施例に係わる半
導体装置の製造方法の第11の工程を示す断面図であ
る。
FIG. 25 is a sectional view showing an eleventh step of the method for manufacturing a semiconductor device according to the second embodiment of the present invention.

【図26】図26はこの発明の第3の実施例に係わる半
導体装置の製造方法に従って製造された半導体装置の断
面図である。
FIG. 26 is a sectional view of a semiconductor device manufactured by a method of manufacturing a semiconductor device according to a third embodiment of the present invention.

【図27】図27はこの発明の第4の実施例に係わる半
導体装置の製造方法に従って製造されるダイナミック型
RAMのセルのパタ−ン平面図である。
FIG. 27 is a pattern plan view of a cell of a dynamic RAM manufactured by the method of manufacturing a semiconductor device according to the fourth embodiment of the present invention.

【図28】図28はこの発明の第4の実施例に係わる半
導体装置の製造方法の第1の工程を示す断面図である。
FIG. 28 is a sectional view showing a first step of a method for manufacturing a semiconductor device according to the fourth embodiment of the present invention.

【図29】図29はこの発明の第4の実施例に係わる半
導体装置の製造方法の第2の工程を示す断面図である。
FIG. 29 is a sectional view showing a second step of the method for manufacturing a semiconductor device according to the fourth embodiment of the present invention.

【図30】図30はこの発明の第4の実施例に係わる半
導体装置の製造方法の第3の工程を示す断面図である。
FIG. 30 is a sectional view showing a third step of the method for manufacturing a semiconductor device according to the fourth embodiment of the present invention.

【図31】図31はこの発明の第4の実施例に係わる半
導体装置の製造方法の第4の工程を示す断面図である。
FIG. 31 is a sectional view showing a fourth step of the method for manufacturing the semiconductor device according to the fourth example of the present invention.

【図32】図32はこの発明の第4の実施例に係わる半
導体装置の製造方法の第5の工程を示す断面図である。
FIG. 32 is a sectional view showing a fifth step of the method for manufacturing the semiconductor device according to the fourth embodiment of the present invention.

【図33】図33はこの発明の第4の実施例に係わる半
導体装置の製造方法の第6の工程を示す断面図である。
FIG. 33 is a sectional view showing a sixth step of the method for manufacturing a semiconductor device according to the fourth embodiment of the present invention.

【図34】図34はこの発明の第4の実施例に係わる半
導体装置の製造方法の第7の工程を示す断面図である。
FIG. 34 is a sectional view showing a seventh step of the method for manufacturing a semiconductor device according to the fourth example of the present invention.

【図35】図35はこの発明の第4の実施例に係わる半
導体装置の製造方法の第8の工程を示す断面図である。
FIG. 35 is a sectional view showing an eighth step of the method for manufacturing a semiconductor device according to the fourth embodiment of the present invention.

【図36】図36はこの発明の第4の実施例に係わる半
導体装置の製造方法の第9の工程を示す断面図である。
FIG. 36 is a sectional view showing a ninth step of the method for manufacturing the semiconductor device according to the fourth embodiment of the present invention.

【図37】図37はこの発明の第4の実施例に係わる半
導体装置の製造方法の第10の工程を示す断面図であ
る。
FIG. 37 is a sectional view showing a tenth step of the method for manufacturing a semiconductor device according to the fourth embodiment of the present invention.

【図38】図38はこの発明の第4の実施例に係わる半
導体装置の製造方法の第11の工程を示す断面図であ
る。
FIG. 38 is a sectional view showing an eleventh step of the method for manufacturing a semiconductor device according to the fourth embodiment of the present invention.

【図39】図39はこの発明の第4の実施例に係わる半
導体装置の製造方法の第12の工程を示す断面図であ
る。
FIG. 39 is a sectional view showing a twelfth step of the method for manufacturing the semiconductor device according to the fourth embodiment of the present invention.

【図40】図40はこの発明の第4の実施例に係わる半
導体装置の製造方法の第13の工程を示す断面図であ
る。
FIG. 40 is a sectional view showing a thirteenth step of the method for manufacturing the semiconductor device according to the fourth embodiment of the present invention.

【図41】図41はこの発明の第4の実施例に係わる半
導体装置の製造方法の第14の工程を示す断面図であ
る。
FIG. 41 is a sectional view showing a fourteenth step of the method for manufacturing a semiconductor device according to the fourth embodiment of the present invention.

【図42】図42はこの発明の第4の実施例に係わる半
導体装置の製造方法の第15の工程を示す断面図であ
る。
FIG. 42 is a sectional view showing a fifteenth step of the method for manufacturing the semiconductor device according to the fourth embodiment of the present invention.

【図43】図43はこの発明の第5の実施例に係わる半
導体装置の製造方法に従って製造されるダイナミック型
RAMのセルのパタ−ン平面図である。
FIG. 43 is a pattern plan view of a cell of a dynamic RAM manufactured by the method of manufacturing a semiconductor device according to the fifth embodiment of the present invention.

【図44】図44はこの発明の第5の実施例に係わる半
導体装置の製造方法の第1の工程を示す断面図で、
(a)は図43中のa−a線に沿う断面、(b)は図4
3中のb−b線に沿う断面、(c)は図43中のc−c
線に沿う断面である。
FIG. 44 is a sectional view showing a first step of a method for manufacturing a semiconductor device according to the fifth embodiment of the present invention,
43A is a cross section taken along line aa in FIG. 43, and FIG.
3 is a cross section taken along line bb in FIG. 3, (c) is cc in FIG.
It is a cross section along a line.

【図45】図45はこの発明の第5の実施例に係わる半
導体装置の製造方法の第2の工程を示す断面図で、
(a)は図43中のa−a線に沿う断面、(b)は図4
3中のb−b線に沿う断面、(c)は図43中のc−c
線に沿う断面である。
FIG. 45 is a sectional view showing a second step of the method for manufacturing a semiconductor device according to the fifth embodiment of the present invention,
43A is a cross section taken along line aa in FIG. 43, and FIG.
3 is a cross section taken along line bb in FIG. 3, (c) is cc in FIG.
It is a cross section along a line.

【図46】図46はこの発明の第5の実施例に係わる半
導体装置の製造方法の第3の工程を示す断面図で、
(a)は図43中のa−a線に沿う断面、(b)は図4
3中のb−b線に沿う断面、(c)は図43中のc−c
線に沿う断面である。
FIG. 46 is a sectional view showing a third step of the method for manufacturing a semiconductor device according to the fifth embodiment of the present invention,
43A is a cross section taken along line aa in FIG. 43, and FIG.
3 is a cross section taken along line bb in FIG. 3, (c) is cc in FIG.
It is a cross section along a line.

【図47】図47はこの発明の第5の実施例に係わる半
導体装置の製造方法の第4の工程を示す断面図で、
(a)は図43中のa−a線に沿う断面、(b)は図4
3中のb−b線に沿う断面、(c)は図43中のc−c
線に沿う断面である。
FIG. 47 is a sectional view showing a fourth step of the method for manufacturing a semiconductor device according to the fifth embodiment of the present invention,
43A is a cross section taken along line aa in FIG. 43, and FIG.
3 is a cross section taken along line bb in FIG. 3, (c) is cc in FIG.
It is a cross section along a line.

【図48】図48はこの発明の第5の実施例に係わる半
導体装置の製造方法の第5の工程を示す断面図で、
(a)は図43中のa−a線に沿う断面、(b)は図4
3中のb−b線に沿う断面、(c)は図43中のc−c
線に沿う断面である。
48 is a sectional view showing a fifth step of the method for manufacturing the semiconductor device according to the fifth embodiment of the present invention, FIG.
43A is a cross section taken along line aa in FIG. 43, and FIG.
3 is a cross section taken along line bb in FIG. 3, (c) is cc in FIG.
It is a cross section along a line.

【図49】図49はこの発明の第5の実施例に係わる半
導体装置の製造方法の第6の工程を示す断面図で、
(a)は図43中のa−a線に沿う断面、(b)は図4
3中のb−b線に沿う断面、(c)は図43中のc−c
線に沿う断面である。
FIG. 49 is a sectional view showing a sixth step of the method for manufacturing a semiconductor device according to the fifth embodiment of the present invention,
43A is a cross section taken along line aa in FIG. 43, and FIG.
3 is a cross section taken along line bb in FIG. 3, (c) is cc in FIG.
It is a cross section along a line.

【図50】図50はこの発明の第5の実施例に係わる半
導体装置の製造方法の第7の工程を示す断面図で、
(a)は図43中のa−a線に沿う断面、(b)は図4
3中のb−b線に沿う断面、(c)は図43中のc−c
線に沿う断面である。
FIG. 50 is a sectional view showing a seventh step of the method for manufacturing a semiconductor device according to the fifth embodiment of the present invention,
43A is a cross section taken along line aa in FIG. 43, and FIG.
3 is a cross section taken along line bb in FIG. 3, (c) is cc in FIG.
It is a cross section along a line.

【図51】図51はこの発明の第5の実施例に係わる半
導体装置の製造方法の第8の工程を示す断面図で、
(a)は図43中のa−a線に沿う断面、(b)は図4
3中のb−b線に沿う断面、(c)は図43中のc−c
線に沿う断面である。
FIG. 51 is a sectional view showing an eighth step of the method for manufacturing a semiconductor device according to the fifth embodiment of the present invention,
43A is a cross section taken along line aa in FIG. 43, and FIG.
3 is a cross section taken along line bb in FIG. 3, (c) is cc in FIG.
It is a cross section along a line.

【図52】図52はこの発明の第5の実施例に係わる半
導体装置の製造方法の第9の工程を示す断面図で、
(a)は図43中のa−a線に沿う断面、(b)は図4
3中のb−b線に沿う断面、(c)は図43中のc−c
線に沿う断面である。
52 is a sectional view showing a ninth step of the method for manufacturing the semiconductor device according to the fifth embodiment of the present invention, FIG.
43A is a cross section taken along line aa in FIG. 43, and FIG.
3 is a cross section taken along line bb in FIG. 3, (c) is cc in FIG.
It is a cross section along a line.

【図53】図53はこの発明の第5の実施例に係わる半
導体装置の製造方法の第10の工程を示す断面図で、
(a)は図43中のa−a線に沿う断面、(b)は図4
3中のb−b線に沿う断面、(c)は図43中のc−c
線に沿う断面である。
53 is a sectional view showing a tenth step of the method for manufacturing a semiconductor device according to the fifth embodiment of the present invention, FIG.
43A is a cross section taken along line aa in FIG. 43, and FIG.
3 is a cross section taken along line bb in FIG. 3, (c) is cc in FIG.
It is a cross section along a line.

【図54】図54はこの発明の第5の実施例に係わる半
導体装置の製造方法の第11の工程を示す断面図で、
(a)は図43中のa−a線に沿う断面、(b)は図4
3中のb−b線に沿う断面、(c)は図43中のc−c
線に沿う断面である。
FIG. 54 is a sectional view showing an eleventh step of the method for manufacturing a semiconductor device according to the fifth embodiment of the present invention,
43A is a cross section taken along line aa in FIG. 43, and FIG.
3 is a cross section taken along line bb in FIG. 3, (c) is cc in FIG.
It is a cross section along a line.

【図55】図55は図51に示す工程における半導体装
置の平面図である。
55 is a plan view of the semiconductor device in the process shown in FIG. 51. FIG.

【符号の説明】[Explanation of symbols]

10…P型シリコン基板、12…ゲ−ト酸化膜、14…
導電性を有するポリシリコン膜、16…シリコン酸化
膜、18…ポリシリコン膜、18A…酸化物、22…第
1層め配線層パタ−ン、26…シリコン酸化膜、28…
BPSG膜、30…導電性を有するポリシリコン膜、3
2…タングステンシリサイド膜、34…シリコン酸化
膜、36…ポリシリコン膜、40、41…第2層め配線
層パタ−ン、42…シリコン酸化膜、44…BPSG
膜、50…開口部、51…コンタクト孔、54…シリコ
ン窒化膜、54A〜54D…サイドウォ−ル絶縁膜、5
6…導電性を有するポリシリコン膜、100…P型シリ
コン基板、101…素子形成領域、102…フィ−ルド
酸化膜、104…ゲ−ト酸化膜、106…導電性を有す
るポリシリコン膜、108…シリコン酸化膜、110…
ポリシリコン膜、112…ワ−ド線パタ−ン、1141
…ソ−ス領域、1142 …ドレイン領域、116…シリ
コン酸化膜、118…BPSG膜、119…開口部、1
20…コンタクト孔、124…サイドウォ−ル絶縁膜、
126…ストレ−ジ・ノ−ド電極、128…キャパシタ
誘電体膜、130…プレ−ト電極、132…シリコン酸
化膜、134…ポリシリコン膜、136…開口部、13
8…プレ−ト電極パタ−ン、139…プレ−ト電極、1
40…シリコン酸化膜、142…BPSG膜、144…
開口部、145…コンタクト孔、148…サイドォ−ル
絶縁膜、150…導電性を有するポリシリコン膜(ビッ
ト線)、152…ポリシリコン膜。154…シリコン酸
化膜、156…ポリシリコン膜、158…ビット線パタ
−ン。
10 ... P-type silicon substrate, 12 ... Gate oxide film, 14 ...
Conductive polysilicon film, 16 ... Silicon oxide film, 18 ... Polysilicon film, 18A ... Oxide, 22 ... First wiring pattern, 26 ... Silicon oxide film, 28 ...
BPSG film, 30 ... Conductive polysilicon film, 3
2 ... Tungsten silicide film, 34 ... Silicon oxide film, 36 ... Polysilicon film, 40, 41 ... Second wiring pattern, 42 ... Silicon oxide film, 44 ... BPSG
Film, 50 ... Opening portion, 51 ... Contact hole, 54 ... Silicon nitride film, 54A to 54D ... Sidewall insulating film, 5
6 ... Conductive polysilicon film, 100 ... P-type silicon substrate, 101 ... Element forming region, 102 ... Field oxide film, 104 ... Gate oxide film, 106 ... Conductive polysilicon film, 108 … Silicon oxide film, 110…
Polysilicon film, 112 ... Word line pattern, 114 1
Source region, 114 2 Drain region, 116 Silicon oxide film, 118 BPSG film, 119 Opening, 1
20 ... Contact hole, 124 ... Sidewall insulating film,
126 ... Storage node electrode, 128 ... Capacitor dielectric film, 130 ... Plate electrode, 132 ... Silicon oxide film, 134 ... Polysilicon film, 136 ... Opening part, 13
8 ... Plate electrode pattern, 139 ... Plate electrode, 1
40 ... Silicon oxide film, 142 ... BPSG film, 144 ...
Openings, 145 ... Contact holes, 148 ... Side-hole insulating film, 150 ... Conductive polysilicon film (bit line), 152 ... Polysilicon film. 154 ... Silicon oxide film, 156 ... Polysilicon film, 158 ... Bit line pattern.

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.5 識別記号 庁内整理番号 FI 技術表示箇所 H01L 27/108 ─────────────────────────────────────────────────── ─── Continuation of the front page (51) Int.Cl. 5 Identification code Internal reference number FI Technical indication H01L 27/108

Claims (12)

【特許請求の範囲】[Claims] 【請求項1】 半導体基板の表面上に、第1の絶縁膜を
形成する工程と、 前記第1の絶縁膜上に、第1の導電膜を形成する工程
と、 前記第1の導電膜上に、第1の障壁層を形成する工程
と、 前記第1の障壁層および前記第1の導電膜を一括してパ
タ−ニングし、第1の配線層パタ−ンを形成する工程
と、 前記第1の配線層パタ−ンを覆うように前記基板の表面
上方に、第2の絶縁膜を形成する工程と、 前記第2の絶縁膜上に、第2の導電膜を形成する工程
と、 前記第2の導電膜上に、第2の障壁層を形成する工程
と、 前記第2の障壁層および前記第2の導電膜を一括してパ
タ−ニングし、第2の配線層パタ−ンを形成する工程
と、 前記第2の配線層パタ−ンを覆うように前記基板の表面
上方に、第3の絶縁膜を形成する工程と、 前記半導体基板に到達する開口部を、前記第1、第2の
障壁層の少なくとも1つをエッチングの障壁に用いて前
記第1、第2、第3の絶縁膜を貫通させて形成する工程
と、 前記開口部の側壁上に、第4の絶縁膜で成るサイドウォ
−ルを形成する工程と、 前記開口部を介して前記基板にコンタクトされる第3の
配線層パタ−ンを形成する工程とを具備することを特徴
とする半導体装置の製造方法。
1. A step of forming a first insulating film on a surface of a semiconductor substrate, a step of forming a first conductive film on the first insulating film, and a step of forming the first conductive film on the first conductive film. A step of forming a first barrier layer, a step of collectively patterning the first barrier layer and the first conductive film to form a first wiring layer pattern, Forming a second insulating film over the surface of the substrate so as to cover the first wiring layer pattern; forming a second conductive film on the second insulating film; A step of forming a second barrier layer on the second conductive film, and a step of collectively patterning the second barrier layer and the second conductive film to form a second wiring layer pattern. And a step of forming a third insulating film above the surface of the substrate so as to cover the second wiring layer pattern, Forming an opening reaching the body substrate through the first, second and third insulating films by using at least one of the first and second barrier layers as an etching barrier; A step of forming a sidewall made of a fourth insulating film on the sidewall of the opening, and a step of forming a third wiring layer pattern contacting the substrate through the opening. A method for manufacturing a semiconductor device, comprising:
【請求項2】 前記第1、第2の障壁層を各々、第1の
物質で成る第1の物質膜とこの第1の物質と異なる第2
の物質で成る第2の物質膜とを積み重ねて形成するよう
にしたことを特徴とする請求項1に記載の半導体装置の
製造方法。
2. The first and second barrier layers are respectively formed of a first material film made of a first material and a second material film different from the first material film.
2. The method for manufacturing a semiconductor device according to claim 1, wherein the second material film made of the above material is stacked and formed.
【請求項3】 前記第1の物質には絶縁性を有する物質
が選ばれ、前記第2の物質には少なくとも活性化させる
ことによって絶縁性を示す物質が選ばれ、前記開口部を
形成した後、前記第2の物質を活性化させる工程をさら
に具備することを特徴とする請求項2に記載の半導体装
置の製造方法。
3. A material having an insulating property is selected as the first material, and a material having an insulating property by being activated at least is selected as the second material, and after the opening is formed. The method of manufacturing a semiconductor device according to claim 2, further comprising the step of activating the second substance.
【請求項4】 前記第1の物質は、少なくともシリコン
と酸素とが化合した化合物より選ばれ、 前記第2の物質は、シリコン、ハフニウム、タンタル、
ジルコニウム、タングステン−シリサイド、モリブデン
−シリサイド、ハフニウム−シリサイド、タンタル−シ
リサイド、ジルコニウム−シリサイドのうちのいずれか
より選ばれ、 前記活性化は酸化であることを特徴とする請求項3に記
載の半導体装置の製造方法。
4. The first substance is selected from compounds in which at least silicon and oxygen are combined, and the second substance is silicon, hafnium, tantalum,
4. The semiconductor device according to claim 3, wherein the activation is selected from any one of zirconium, tungsten-silicide, molybdenum-silicide, hafnium-silicide, tantalum-silicide, and zirconium-silicide. Manufacturing method.
【請求項5】 半導体基板の表面に第1導電型の素子形
成領域を画定する工程と、 前記素子形成領域の表面上に、第1の絶縁膜を形成する
工程と、 前記第1の絶縁膜上に、第1の導電膜を形成する工程
と、 前記第1の導電膜上に、障壁層を形成する工程と、 前記第1の障壁層および前記第1の導電膜を一括してパ
タ−ニングし、ワ−ド線パタ−ンを形成する工程と、 前記ワ−ド線パタ−ンをマスクに用いて前記素子形成領
域内に、第2導電型のソ−ス/ドレイン領域を形成する
工程と、 前記ワ−ド線パタ−ンを覆うように前記基板の表面上
に、第2の絶縁膜を形成する工程と、 前記ソ−ス/ドレイン領域の一方に到達する第1の開口
部を、前記第1の障壁層をエッチングの障壁に用いて前
記第1、第2の絶縁膜を貫通させて形成する工程と、 前記第1の開口部の側壁上に、第3の絶縁膜で成る第1
のサイドウォ−ルを形成する工程と、 前記第1の開口部を介して前記ソ−ス/ドレイン領域の
一方に到達するストレ−ジノ−ド電極を形成する工程
と、 前記ストレ−ジノ−ド電極の表面上に、第4の絶縁膜を
形成する工程と、 前記第4の絶縁膜を覆うように前記第2の絶縁膜上に、
第2の導電膜を形成する工程と、 前記第2の導電膜上に、第2の障壁層を形成する工程
と、 前記第2の障壁層および前記第2の導電膜を一括してパ
タ−ニングし、前記ソ−ス/ドレイン領域の他方の上方
に第2の開口部を有するセルプレ−ト電極パタ−ンを形
成する工程と、 前記セルプレ−ト電極を覆うように前記第2の絶縁膜上
に第5の絶縁膜を形成する工程と、 前記ソ−ス/ドレイン領域の他方に到達する第3の開口
部を、前記第1、第2の障壁層の少なくとも1つをエッ
チングの障壁に用いて前記第1、第2、第5の絶縁膜を
貫通させて形成する工程と、 前記第3の開口部の側壁上に、第6の絶縁膜でなる第2
のサイドウォ−ルを形成する工程と、 前記第3の開口部を介して前記ソ−ス/ドレイン領域の
他方にコンタクトされるビット線パタ−ンを形成する工
程とを具備することを特徴とする半導体装置の製造方
法。
5. A step of defining an element formation region of a first conductivity type on a surface of a semiconductor substrate, a step of forming a first insulation film on the surface of the element formation area, and the first insulation film. Forming a first conductive film thereon; forming a barrier layer on the first conductive film; and patterning the first barrier layer and the first conductive film together. And forming a word line pattern by using the word line pattern as a mask to form a second conductivity type source / drain region in the element forming region. A step of forming a second insulating film on the surface of the substrate so as to cover the word line pattern, and a first opening portion reaching one of the source / drain regions. Using the first barrier layer as an etching barrier and penetrating the first and second insulating films. , On the sidewalls of the first opening, the first consisting of the third insulating film
Forming a sidewall of the source / drain region, forming a storage node electrode reaching one of the source / drain regions through the first opening, and the storage node electrode Forming a fourth insulating film on the surface of the second insulating film, and forming a fourth insulating film on the second insulating film so as to cover the fourth insulating film.
A step of forming a second conductive film; a step of forming a second barrier layer on the second conductive film; and a step of collectively patterning the second barrier layer and the second conductive film. Forming a cell plate electrode pattern having a second opening above the other of the source / drain regions; and the second insulating film so as to cover the cell plate electrode. Forming a fifth insulating film thereon, and using a third opening reaching the other of the source / drain regions as at least one of the first and second barrier layers as an etching barrier. A step of forming the first, second and fifth insulating films by penetrating them, and forming a second insulating film of a sixth insulating film on the side wall of the third opening.
And the step of forming a bit line pattern that is in contact with the other of the source / drain regions through the third opening. Manufacturing method of semiconductor device.
【請求項6】 前記第1、第2の障壁層を各々、第1の
物質で成る第1の物質膜とこの第1の物質と異なる第2
の物質で成る第2の物質膜とを積み重ねて形成するよう
にしたことを特徴とする請求項5に記載の半導体装置。
6. A first material film made of a first material and a second material different from the first material in the first and second barrier layers, respectively.
6. The semiconductor device according to claim 5, wherein the second substance film made of the substance is stacked to be formed.
【請求項7】 前記第1の物質には絶縁性を有する物質
が選ばれ、前記第2の物質には少なくとも活性化させる
ことによって絶縁性を示す物質が選ばれ、前記開口部を
形成した後、前記第2の物質を活性化させる工程をさら
に具備することを特徴とする請求項6に記載の半導体装
置の製造方法。
7. A material having an insulating property is selected as the first material, and a material having an insulating property by being activated at least is selected as the second material, and after the opening is formed. 7. The method of manufacturing a semiconductor device according to claim 6, further comprising the step of activating the second substance.
【請求項8】 前記第1の物質は、少なくともシリコン
と酸素とが化合した化合物より選ばれ、 前記第2の物質は、シリコン、ハフニウム、タンタル、
ジルコニウム、タングステン−シリサイド、モリブデン
−シリサイド、ハフニウム−シリサイド、タンタル−シ
リサイド、ジルコニウム−シリサイドのうちのいずれか
より選ばれ、 前記活性化は酸化であることを特徴とする請求項7に記
載の半導体装置の製造方法。
8. The first substance is selected from compounds in which at least silicon and oxygen are combined, and the second substance is silicon, hafnium, tantalum,
8. The semiconductor device according to claim 7, wherein the activation is an oxidation selected from any one of zirconium, tungsten-silicide, molybdenum-silicide, hafnium-silicide, tantalum-silicide, and zirconium-silicide. Manufacturing method.
【請求項9】 半導体基板の表面に第1導電型の素子形
成領域を画定する工程と、 前記素子形成領域の表面上に、第1の絶縁膜を形成する
工程と、 前記第1の絶縁膜上に、第1の導電膜を形成する工程
と、 前記第1の導電膜上に、障壁層を形成する工程と、 前記第1の障壁層および前記第1の導電膜を一括してパ
タ−ニングし、ワ−ド線パタ−ンを形成する工程と、 前記ワ−ド線パタ−ンをマスクとして用いて前記素子形
成領域内に、第2導電型のソ−ス/ドレイン領域を形成
する工程と、 前記ワ−ド線パタ−ンを覆うように前記基板の表面上
に、第2の絶縁膜を形成する工程と、 前記ソ−ス/ドレイン領域の一方に到達する第1の開口
部を、前記第1の障壁層をエッチングの障壁として用い
て前記第1、第2の絶縁膜を貫通させて形成する工程
と、 前記第1の開口部の側壁上に、第3の絶縁膜で成る第1
のサイドウォ−ルを形成する工程と、 前記第1の開口部を介して前記ソ−ス/ドレイン領域の
他方にコンタクトされる第2の導電膜を形成する工程
と、 前記第2の導電膜上に、第2の障壁層を形成する工程
と、 前記第2の障壁層および前記第2の導電膜を一括してパ
タ−ニングし、前記ソ−ス/ドレイン領域の一方にコン
タクトされるビット線パタ−ンを形成する工程と、 前記ビット線パタ−ンを覆うように、第4の絶縁膜を形
成する工程と、 前記ソ−ス/ドレイン領域の他方に到達する第2の開口
部を、前記第1、第2の障壁層の少なくとも1つをエッ
チングの障壁として用いて前記第1、第2、第3の絶縁
膜を貫通させて形成する工程と、 前記第2の開口部の側壁上に、第5の絶縁膜で成る第2
のサイドウォ−ルを形成する工程と、 前記第2の開口部を介して前記ソ−ス/ドレイン領域の
一方に到達するストレ−ジノ−ド電極を形成する工程
と、 前記ストレ−ジノ−ド電極の表面上に、第6の絶縁膜を
形成する工程と、 前記第6の絶縁膜を覆うように前記第4の絶縁膜上に、
セルプレ−ト電極パタ−ンを形成する工程とを具備する
ことを特徴とする半導体装置の製造方法。
9. A step of defining an element formation region of a first conductivity type on a surface of a semiconductor substrate, a step of forming a first insulation film on the surface of the element formation area, and the first insulation film. Forming a first conductive film thereon; forming a barrier layer on the first conductive film; and patterning the first barrier layer and the first conductive film together. And forming a word line pattern, and using the word line pattern as a mask to form a second conductivity type source / drain region in the element forming region. A step of forming a second insulating film on the surface of the substrate so as to cover the word line pattern, and a first opening portion reaching one of the source / drain regions. Formed by penetrating the first and second insulating films by using the first barrier layer as a barrier for etching. That the step, on the sidewalls of the first opening, the first made in the third insulating film 1
Forming a side wall of the second conductive film, forming a second conductive film in contact with the other of the source / drain regions through the first opening, and forming a second conductive film on the second conductive film. And forming a second barrier layer, and patterning the second barrier layer and the second conductive film together to form a bit line contacting one of the source / drain regions. Forming a pattern, forming a fourth insulating film so as to cover the bit line pattern, and forming a second opening reaching the other of the source / drain regions, A step of penetrating and forming the first, second, and third insulating films by using at least one of the first and second barrier layers as an etching barrier; and on a sidewall of the second opening. A second insulating film made of a fifth insulating film
Forming a sidewall of the source electrode, and forming a storage node electrode reaching one of the source / drain regions through the second opening, the storage node electrode A step of forming a sixth insulating film on the surface of, and on the fourth insulating film so as to cover the sixth insulating film,
And a step of forming a cell plate electrode pattern.
【請求項10】 前記第1、第2の障壁層を各々、第1
の物質で成る第1の物質膜とこの第1の物質と異なる第
2の物質で成る第2の物質膜とを積み重ねて形成するよ
うにしたことを特徴とする請求項9に記載の半導体装
置。
10. The first and second barrier layers are respectively formed into a first
10. The semiconductor device according to claim 9, wherein a first substance film made of the substance of No. 1 and a second substance film made of a second substance different from the first substance are stacked and formed. .
【請求項11】 前記第1の物質には絶縁性を有する物
質が選ばれ、前記第2の物質には少なくとも活性化させ
ることによって絶縁性を示す物質が選ばれ、前記開口部
を形成した後、前記第2の物質を活性化させる工程をさ
らに具備することを特徴とする請求項10に記載の半導
体装置の製造方法。
11. A material having an insulating property is selected as the first material, and a material having an insulating property by being activated at least is selected as the second material, and after the opening is formed. 11. The method of manufacturing a semiconductor device according to claim 10, further comprising the step of activating the second substance.
【請求項12】 前記第1の物質は、少なくともシリコ
ンと酸素とが化合した化合物より選ばれ、 前記第2の物質は、シリコン、ハフニウム、タンタル、
ジルコニウム、タングステン−シリサイド、モリブデン
−シリサイド、ハフニウム−シリサイド、タンタル−シ
リサイド、ジルコニウム−シリサイドのうちのいずれか
より選ばれ、 前記活性化は酸化であることを特徴とする請求項11に
記載の半導体装置の製造方法。
12. The first substance is selected from compounds in which at least silicon and oxygen are combined, and the second substance is silicon, hafnium, tantalum,
12. The semiconductor device according to claim 11, wherein the activation is oxidation, which is selected from any of zirconium, tungsten-silicide, molybdenum-silicide, hafnium-silicide, tantalum-silicide, and zirconium-silicide. Manufacturing method.
JP4066431A 1992-03-24 1992-03-24 Method for manufacturing semiconductor device Expired - Fee Related JP2522616B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP4066431A JP2522616B2 (en) 1992-03-24 1992-03-24 Method for manufacturing semiconductor device
US08/035,656 US5356834A (en) 1992-03-24 1993-03-23 Method of forming contact windows in semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP4066431A JP2522616B2 (en) 1992-03-24 1992-03-24 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH0629408A true JPH0629408A (en) 1994-02-04
JP2522616B2 JP2522616B2 (en) 1996-08-07

Family

ID=13315588

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4066431A Expired - Fee Related JP2522616B2 (en) 1992-03-24 1992-03-24 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP2522616B2 (en)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09307078A (en) * 1996-05-14 1997-11-28 Taiwan Moshii Denshi Kofun Yugenkoshi Manufacture of dram with automatic alignment electrodes
US6458649B1 (en) 1999-07-22 2002-10-01 Micron Technology, Inc. Methods of forming capacitor-over-bit line memory cells
KR100357222B1 (en) * 1999-12-29 2002-10-19 주식회사 하이닉스반도체 Method for forming multi-level wire of semiconductor device
WO2001008159A3 (en) * 1999-07-22 2002-11-07 Micron Technology Inc Method of forming memory capacitor contact openings
JP2005079576A (en) * 2003-09-01 2005-03-24 Samsung Electronics Co Ltd Semiconductor device and manufacturing method therefor
JP2005203777A (en) * 2004-01-12 2005-07-28 Samsung Electronics Co Ltd Semiconductor integrated circuit for adopting laminated node-contact structure and laminated thin-film transistor, and manufacturing method thereof
KR20210110259A (en) * 2018-11-30 2021-09-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Multi-layer structure and method of forming
US11742204B2 (en) 2018-11-30 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer structures and methods of forming

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09307078A (en) * 1996-05-14 1997-11-28 Taiwan Moshii Denshi Kofun Yugenkoshi Manufacture of dram with automatic alignment electrodes
EP1662563A2 (en) * 1999-07-22 2006-05-31 Micron Technology, Inc. Methods of forming memory arrays
EP1662562A3 (en) * 1999-07-22 2006-06-28 Micron Technology, Inc. Methods for forming conductive capacitor plugs
WO2001008159A3 (en) * 1999-07-22 2002-11-07 Micron Technology Inc Method of forming memory capacitor contact openings
US6589876B1 (en) 1999-07-22 2003-07-08 Micron Technology, Inc. Methods of forming conductive capacitor plugs, methods of forming capacitor contact openings, and methods of forming memory arrays
US7449390B2 (en) 1999-07-22 2008-11-11 Micron Technology, Inc. Methods of forming memory
EP1662563A3 (en) * 1999-07-22 2006-06-28 Micron Technology, Inc. Methods of forming memory arrays
US6964910B2 (en) 1999-07-22 2005-11-15 Micron Technology, Inc. Methods of forming conductive capacitor plug in a memory array
EP1662562A2 (en) * 1999-07-22 2006-05-31 Micron Technology, Inc. Methods for forming conductive capacitor plugs
US6458649B1 (en) 1999-07-22 2002-10-01 Micron Technology, Inc. Methods of forming capacitor-over-bit line memory cells
KR100357222B1 (en) * 1999-12-29 2002-10-19 주식회사 하이닉스반도체 Method for forming multi-level wire of semiconductor device
JP2005079576A (en) * 2003-09-01 2005-03-24 Samsung Electronics Co Ltd Semiconductor device and manufacturing method therefor
JP2005203777A (en) * 2004-01-12 2005-07-28 Samsung Electronics Co Ltd Semiconductor integrated circuit for adopting laminated node-contact structure and laminated thin-film transistor, and manufacturing method thereof
KR20210110259A (en) * 2018-11-30 2021-09-07 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Multi-layer structure and method of forming
US11742204B2 (en) 2018-11-30 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer structures and methods of forming

Also Published As

Publication number Publication date
JP2522616B2 (en) 1996-08-07

Similar Documents

Publication Publication Date Title
KR0170312B1 (en) Large scale integrated dram cell and its fabrication
KR0155886B1 (en) High integrated dram cell fabrication method
US5071781A (en) Method for manufacturing a semiconductor
US6384441B1 (en) Semiconductor device and method of manufacturing the same
US5356834A (en) Method of forming contact windows in semiconductor devices
JPH06181262A (en) Manufacture of self-aligned contact of semiconductor device
JPH1070191A (en) Semiconductor device and manufacturing method thereof
US6197670B1 (en) Method for forming self-aligned contact
JPH08203998A (en) Method of forming multilayer wiring
EP0595250B1 (en) Method of fabricating non-volatile semiconductor memory device
US5385858A (en) Method for fabricating semiconductor device having memory cell of stacked capacitor type
JP2522616B2 (en) Method for manufacturing semiconductor device
JP2002100586A (en) Method for forming contact body structure for semiconductor device
JP2850833B2 (en) Method for manufacturing semiconductor device
US20060128157A1 (en) Semiconductor structure with partially etched gate and method of fabricating the same
KR100445843B1 (en) Method of manufacturing semiconductor integrated circuit device and semiconductor integrated circuit device
JPH0821700B2 (en) Method for manufacturing charge storage electrode of semiconductor memory device
KR950001838B1 (en) Wiring layer of semiconductor device and fabricating method thereof
JP2740202B2 (en) Method for manufacturing semiconductor device
JPH11233737A (en) Semiconductor device and its manufacture
JPH08236720A (en) Method of fabrication of semiconductor device
JP3202307B2 (en) Method for manufacturing semiconductor device
JP2550590B2 (en) Method for manufacturing semiconductor device
JPH05145036A (en) Semiconductor storage device and its manufacture
JPH0325930A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090531

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090531

Year of fee payment: 13

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100531

Year of fee payment: 14

LAPS Cancellation because of no payment of annual fees