JPH06252107A - Dry etching method - Google Patents

Dry etching method

Info

Publication number
JPH06252107A
JPH06252107A JP5061115A JP6111593A JPH06252107A JP H06252107 A JPH06252107 A JP H06252107A JP 5061115 A JP5061115 A JP 5061115A JP 6111593 A JP6111593 A JP 6111593A JP H06252107 A JPH06252107 A JP H06252107A
Authority
JP
Japan
Prior art keywords
etching
layer
plasma
dry etching
reaction layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5061115A
Other languages
Japanese (ja)
Other versions
JP3271359B2 (en
Inventor
Namisato Akiba
波里 秋庭
Shingo Kadomura
新吾 門村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP06111593A priority Critical patent/JP3271359B2/en
Priority to US08/197,300 priority patent/US5429710A/en
Publication of JPH06252107A publication Critical patent/JPH06252107A/en
Application granted granted Critical
Publication of JP3271359B2 publication Critical patent/JP3271359B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Abstract

PURPOSE:To prevent the generation of an Al crown at the time of forming a via hole on an Al-based wiring layer. CONSTITUTION:An SiO2 interlayer insulating film 2 on an Al-1% Si layer 1 is etched by using a mixed gas of c-C4F8/CH2F2 in a magneto-microwave plasma etching device which can generate high-density plasma having an ion density of >=10<11> ions/cm<3>. Since a reactive layer 6 having a low vapor pressure is formed on the exposed surface of the layer 1 when the formation of a via hole 5 is completed, the layer 6 is utilized to the accomplishment of high selectivity in this etching by adjusting the incident ion energy to such an extent that the layer 6 is not sputtered. However, the etching rate is maintained at a practical level, since a large amount of CF<+> is generated in the high-density plasma. The sputtering of the layer 1 is reduced and the generation of an Al crown is prevented.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明はドライエッチング方法に
関し、特にアルミニウム(Al)系配線層上のシリコン
化合物系絶縁膜に接続孔を開口するためのエッチングに
おいて、下地のAl系配線層のスパッタ除去および接続
孔の側壁面への再付着を防止する方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method, and in particular, in etching for opening a connection hole in a silicon compound type insulating film on an aluminum (Al) type wiring layer, spatter removal of an underlying Al type wiring layer. And a method of preventing reattachment of the connection hole to the side wall surface.

【0002】[0002]

【従来の技術】近年のVLSI,ULSI等のごとく高
集積化,高密度化が著しく進んだ半導体装置において
は、デバイス・チップ上で配線部分の占める割合がます
ます増大する傾向にある。これに起因するチップの大型
化を防ぐために、多層配線プロセスが今や必須の技術と
して認識されている。多層配線プロセスでは、上層側配
線と下層側配線との間の電気的接続を図るために、両者
間に介在される層間絶縁膜にビアホールを開口するため
のホール加工を適切に行うことが重要である。
2. Description of the Related Art In semiconductor devices, such as VLSI and ULSI, which have been highly integrated and highly densified in recent years, the proportion of the wiring portion on the device chip tends to increase more and more. In order to prevent the chip from becoming large due to this, the multilayer wiring process is now recognized as an essential technique. In the multi-layer wiring process, it is important to appropriately perform hole processing for opening a via hole in the interlayer insulating film interposed between the upper layer side wiring and the lower layer side wiring in order to achieve electrical connection between them. is there.

【0003】上記層間絶縁膜の材料として代表的なもの
は酸化シリコン(SiOx )系材料である。SiOx
材料層のエッチングは、その強固なSi−O結合を切断
する必要から、一般に高い入射イオン・エネルギーが得
られる条件下で行われる。つまり、SiO2 系材料層の
エッチングのメカニズムは、ラジカル反応のような化学
的過程よりはむしろ、スパッタリングのような物理的過
程に近い。
A typical material for the interlayer insulating film is a silicon oxide (SiO x ) type material. The etching of the SiO x -based material layer is generally performed under the condition that a high incident ion energy can be obtained because it is necessary to break the strong Si—O bond. That is, the etching mechanism of the SiO 2 -based material layer is closer to a physical process such as sputtering, rather than a chemical process such as radical reaction.

【0004】ところで、このように強いイオン衝撃を伴
うエッチング・プロセスにおいては、必然的に下地選択
性の低下が問題となる。特に、多層配線構造において絶
縁膜の下地にAl系材料層のようなスパッタされ易い配
線材料層が存在している場合、該配線材料層の表面がス
パッタされて膜厚が減少してしまう上に、スパッタ生成
物が接続孔の内壁面上に再付着し、種々の問題を引き起
こす。
By the way, in such an etching process accompanied by a strong ion bombardment, the lowering of the underlayer selectivity inevitably becomes a problem. In particular, in a multilayer wiring structure, when a wiring material layer such as an Al-based material layer that is easily sputtered exists under the insulating film, the surface of the wiring material layer is sputtered and the film thickness is reduced. However, the sputtered product redeposits on the inner wall surface of the connection hole, causing various problems.

【0005】上記配線材料層がAl系材料からなる場合
の再付着の様子を、図4を参照しながら説明する。図4
(a)は、Al系配線層11上に積層されたSiO2
間絶縁膜12の上に、レジスト・マスク13が形成され
た状態を示している。上記レジスト・マスク13には、
ホール・パターンにしたがって開口部14が設けられて
いる。
The state of redeposition when the wiring material layer is made of an Al-based material will be described with reference to FIG. Figure 4
(A) shows a state where a resist mask 13 is formed on the SiO 2 interlayer insulating film 12 laminated on the Al-based wiring layer 11. The resist mask 13 includes
Openings 14 are provided according to a hole pattern.

【0006】この状態で、上記SiO2 層間絶縁膜12
をエッチングし、ビアホール15を形成した場合を考え
る。上記のエッチングは、一般に入射イオン・エネルギ
ーの比較的高い条件で行われ、しかも下地のAl系配線
層11はスパッタリング率の高い材料層である。したが
って、若干のオーバーエッチングを行っただけでも、図
4(b)に示されるようにAl系配線層11の露出面が
スパッタされ、スパッタ生成物がビアホール15の側壁
面上に堆積して再付着物層16を形成してしまう。
In this state, the SiO 2 interlayer insulating film 12 is
Consider a case in which the via holes 15 are formed by etching. The above etching is generally performed under the condition that incident ion energy is relatively high, and the underlying Al-based wiring layer 11 is a material layer having a high sputtering rate. Therefore, even if a little over-etching is performed, the exposed surface of the Al-based wiring layer 11 is sputtered as shown in FIG. 4B, and the sputtered product is deposited on the side wall surface of the via hole 15 and reattached. The kimono layer 16 is formed.

【0007】この再付着物層16は除去が極めて困難で
あり、レジスト・パターン14をアッシングにより除去
した後でも、図4(c)に示されるように、ビアホール
15の開口端から突出した状態で残存する。この再付着
物層16は、ウェハを上面から電子顕微鏡で観察すると
王冠(クラウン)のように見えることから、アルミ・ク
ラウンとも呼ばれている。
The redeposited layer 16 is extremely difficult to remove, and even after the resist pattern 14 is removed by ashing, as shown in FIG. 4C, the redeposited layer 16 does not protrude from the open end of the via hole 15. To remain. The redeposited material layer 16 is also called an aluminum crown because it looks like a crown when the wafer is observed from above with an electron microscope.

【0008】この再付着物層16は、一部でも剥離また
は破損するとダスト源となる他、SiO2 層間絶縁膜1
2の最上面から多少なりとも突出している場合には上層
に形成される材料層のカバレッジ(被覆性)を悪化させ
ることもあり、半導体装置の歩留りを著しく低下させる
原因となる。
The reattachment layer 16 becomes a dust source when partly peeled off or damaged, and also the SiO 2 interlayer insulating film 1
If it protrudes to some extent from the uppermost surface of 2, the coverage (coverability) of the material layer formed in the upper layer may be deteriorated, which causes a significant decrease in the yield of the semiconductor device.

【0009】そこで、上述のような下地のスパッタ除去
を防止するために、従来から幾つかの対策が試みられて
いる。代表的な方法としては、(a)自己バイアス電位
dcを低下させた条件を採用すること、(b)オーバー
エッチング時に下地の配線材料層をエッチングし得る化
合物をエッチング・ガスに添加すること、(c)接続孔
の断面形状をテーパー化させること、等が挙げられる。
Therefore, in order to prevent the above-described removal of the spatter of the underlayer, some measures have been attempted conventionally. As a typical method, (a) adopting a condition in which the self-bias potential V dc is lowered, (b) adding a compound capable of etching the underlying wiring material layer to the etching gas during overetching, (C) The cross-sectional shape of the connection hole may be tapered.

【0010】このうち、上記(c)のテーパー化につい
ては、1990 Dry Process Sympo
sium抄録集,p.105〜109,演題番号V−3
に報告されている。この場合のSiO2 層間絶縁膜のエ
ッチングは、ウェハを約−50℃に冷却しながらCHF
3 ガスを用いて行う。つまり、過剰な炭素系ポリマーの
堆積により実質的なマスク幅が絶えず増大しながらエッ
チングが進行するので、ビアホールの側壁面が傾斜する
のである。側壁面が傾斜することにより、この面へのイ
オンの入射が可能となり、たとえ下地のAl系配線層に
由来するスパッタ生成物が再付着しても直ちに除去でき
る。また、かかる傾斜面に対してはスパッタ生成物の粒
子の入射角が小さくなるので、再付着そのものも生じに
くくなると考えられている。
Of these, regarding the taper of (c), the 1990 Dry Process Sympo
sium abstracts, p. 105-109, abstract number V-3
Has been reported to. In this case, the SiO 2 interlayer insulating film is etched by CHF while cooling the wafer to about −50 ° C.
3 Gas is used. That is, since the etching progresses while the mask width is constantly increasing due to the excessive carbon-based polymer deposition, the sidewall surface of the via hole is inclined. Since the side wall surface is inclined, ions can be incident on this side surface, and even if the sputtered product derived from the underlying Al-based wiring layer is redeposited, it can be immediately removed. Further, since the incident angle of the particles of the sputtered product becomes small with respect to such an inclined surface, it is considered that reattachment itself does not easily occur.

【0011】[0011]

【発明が解決しようとする課題】しかしながら、上述の
各対策はそれぞれ問題点を抱えている。まず、自己バイ
アス電位Vdcを低下させる方法は、入射イオン・エネル
ギーを下げることにより、下地の配線材料層のスパッタ
除去を防止しようとするものである。しかし、近年のド
ライエッチングでは低圧放電プラズマを用いて本質的な
異方性を達成しようとする考え方が主流であり、従来の
RFプラズマを基準として判断するとプラズマ中のイオ
ン密度が減少する傾向にある。これは、RFプラズマで
はガス圧が低くなればイオン密度も急激に減少してしま
うからである。したがって、エッチング機構が本質的に
イオン・アシスト反応にもとづいているシリコン化合物
層の場合、この方法では実用的なエッチング速度やスル
ープットを達成することができない。入力パワーや基板
バイアスを増大させて意図的にイオンを加速することも
できるが、このようにして高エネルギーを付与されたイ
オンは、基板にダメージを与える原因となる。
However, each of the above countermeasures has its own problems. First, the method of lowering the self-bias potential V dc is to reduce the incident ion energy to prevent sputter removal of the underlying wiring material layer. However, in the recent dry etching, the main idea is to use a low-pressure discharge plasma to achieve essential anisotropy, and if the conventional RF plasma is used as a reference, the ion density in the plasma tends to decrease. . This is because in the RF plasma, the ion density sharply decreases as the gas pressure decreases. Therefore, in the case of a silicon compound layer whose etching mechanism is essentially based on the ion-assisted reaction, this method cannot achieve a practical etching rate or throughput. Although it is possible to intentionally accelerate the ions by increasing the input power and the substrate bias, the ions to which high energy is applied in this way cause damage to the substrate.

【0012】オーバーエッチング時に下地の配線材料層
をエッチングし得るガスを使用する方法では、再付着は
確かに防止できる。しかし、配線材料層も除去されるこ
とから、接続孔のアスペクト比が増大し、その後の接続
孔の埋め込み等が困難となる。極端な場合には、配線材
料層が除去されてしまうこともあり得る。
In the method of using a gas capable of etching the underlying wiring material layer at the time of over-etching, re-deposition can surely be prevented. However, since the wiring material layer is also removed, the aspect ratio of the connection hole increases, and it becomes difficult to bury the connection hole thereafter. In an extreme case, the wiring material layer may be removed.

【0013】また、接続孔の断面形状をテーパー化させ
る技術では、有意なテーパー化を達成するために過剰な
炭素系ポリマーの生成が必要であり、パーティクル・レ
ベルを悪化させる懸念が大きい。しかも、接続孔の底面
積はマスクの開口面積よりも狭くなるため、該接続孔に
埋め込まれた導電材料層と下地の配線材料層とのコンタ
クト抵抗が増大するといった問題も生ずる。
Further, in the technique of tapering the cross-sectional shape of the connection hole, it is necessary to generate an excessive amount of carbon-based polymer in order to achieve a significant taper, and there is a great concern that the particle level will be deteriorated. Moreover, since the bottom area of the connection hole is smaller than the opening area of the mask, there arises a problem that the contact resistance between the conductive material layer embedded in the connection hole and the underlying wiring material layer increases.

【0014】したがって、接続孔の断面形状はやはり異
方性形状とし、しかも下地配線層の不必要なエッチン
グ、再付着、ダメージ等を防止しながら実用的なエッチ
ング速度も確保するという、極めて困難な選択を行わざ
るを得ない。本発明は、かかる困難を克服し、Al系配
線層上でシリコン化合物層の高異方性、高速、高選択、
低ダメージ・エッチングを行う方法を提供することを目
的とする。
Therefore, the cross-sectional shape of the connection hole is also anisotropic, and it is extremely difficult to secure a practical etching rate while preventing unnecessary etching, re-adhesion, damage, etc. of the underlying wiring layer. I have no choice but to make a choice. The present invention overcomes such difficulties and achieves high anisotropy, high speed, high selection of a silicon compound layer on an Al-based wiring layer,
An object is to provide a method of performing low damage etching.

【0015】[0015]

【課題を解決するための手段】上述の困難を克服するた
めに低圧放電プラズマに最も強く要求される事柄とは、
イオン化率の向上である。また、入射イオン・エネルギ
ーの制御性を有することも重要である。かかる観点に立
ち、本発明者らは近年次々と提案されている新しいタイ
プの高密度プラズマを用いることで上述の目的が達成さ
れるものと考え、、本発明を提案するに至ったものであ
る。
What is most required of the low-pressure discharge plasma in order to overcome the above-mentioned difficulties is that
It is the improvement of the ionization rate. It is also important to have controllability of incident ion energy. Based on this viewpoint, the present inventors believe that the above-mentioned object can be achieved by using new types of high-density plasma that have been proposed one after another in recent years, and have come to propose the present invention. .

【0016】すなわち本発明のドライエッチング方法
は、Al系配線層の上に積層されたシリコン化合物層を
選択的にエッチングする際に、前記エッチングをイオン
密度が1011イオン/cm3 以上のプラズマを生成可能
なエッチング装置内でフルオロカーボン系化合物を主体
とするエッチング・ガスを用い、前記Al系配線層の露
出面上に生成する反応層の少なくとも一部を維持し得る
入射イオン・エネルギー条件下で行うものである。
That is, according to the dry etching method of the present invention, when the silicon compound layer laminated on the Al-based wiring layer is selectively etched, the etching is performed with plasma having an ion density of 10 11 ions / cm 3 or more. Using an etching gas containing a fluorocarbon compound as a main component in an etching apparatus capable of generating ions, and under an incident ion energy condition capable of maintaining at least a part of the reaction layer formed on the exposed surface of the Al wiring layer. It is a thing.

【0017】かかる1011イオン/cm3 以上のイオン
密度を有するプラズマの具体例としては、ECRプラズ
マ、ヘリコン波プラズマ、ICP(Inductive
Coupling Plasma)、TCP(Tra
nsformer Coupled Plasma)、
ホロー・アノード型プラズマ、ヘリカル共振器プラズマ
等が知られている。
Specific examples of the plasma having an ion density of 10 11 ions / cm 3 or more include ECR plasma, helicon wave plasma, and ICP (Inductive).
Coupling Plasma), TCP (Tra
nsformer Coupled Plasma),
Hollow anode plasma, helical resonator plasma, etc. are known.

【0018】本発明はまた、前記エッチングの終了後
に、前記反応層を塩素系化合物を含むエッチング・ガス
を用いて除去するものである。
According to the present invention, the reaction layer is removed by using an etching gas containing a chlorine-based compound after the etching is completed.

【0019】本発明はまた、上述のように塩素系化合物
を含むエッチング・ガスを用いて反応層を除去した後、
さらに残留塩素を除去するものである。
According to the present invention, after the reaction layer is removed by using the etching gas containing the chlorine-based compound as described above,
It also removes residual chlorine.

【0020】本発明はまた、前記反応層の除去に引き続
いて高真空下で連続的にアッシングを行うことにより、
先のエッチングで用いられたレジスト・マスクと同時に
残留塩素を除去するものである。
According to the present invention, the removal of the reaction layer is followed by continuous ashing under high vacuum,
It removes residual chlorine at the same time as the resist mask used in the previous etching.

【0021】本発明はさらに、分子内に水素原子を持つ
化合物を含むガスを用いたプラズマ処理を前記反応層の
除去に引き続いて高真空下で連続的に行うことにより、
残留塩素を水素系化学種と反応させながら除去するもの
である。
In the present invention, further, plasma treatment using a gas containing a compound having a hydrogen atom in the molecule is continuously performed under high vacuum following removal of the reaction layer,
It removes residual chlorine while reacting with hydrogen-based species.

【0022】[0022]

【作用】プラズマを生成させるためには電子とガス原子
の衝突が不可欠であるが、従来型のプラズマに比べてこ
の衝突回数を増やすための何らかの工夫がなされている
プラズマが、本発明で用いるいわゆる高密度プラズマで
ある。ここで、従来型のプラズマとは、たとえば平行平
板電極間にRFパワーを印加してグロー放電を起こした
り、導波管へマイクロ波を供給してマイクロ波放電を起
こすことにより励起されるものである。これに対して高
密度プラズマは、たとえばマイクロ波電界と磁界の相互
作用にもとづく電子サイクロトロン共鳴、あるいはホイ
ッスラー・モードと呼ばれる磁界中のマイクロ波伝搬モ
ード等を利用することにより、ガスの解離を高度に促進
し、高いイオン密度を達成したものである。
In order to generate plasma, collision of electrons and gas atoms is indispensable, but a plasma which is devised to increase the number of collisions as compared with the conventional plasma is used in the present invention. It is a high-density plasma. Here, the conventional plasma is excited by, for example, applying RF power between parallel plate electrodes to cause glow discharge or supplying microwaves to the waveguide to cause microwave discharge. is there. On the other hand, the high-density plasma highly dissociates the gas by using, for example, electron cyclotron resonance based on the interaction between the microwave electric field and the magnetic field, or the microwave propagation mode in the magnetic field called the Whistler mode. It has been promoted and achieved a high ion density.

【0023】この高密度プラズマは、その実用化を想定
した場合に、入射イオン・エネルギーを制御できること
が必要である。これを実現するためには、高密度プラズ
マがいわゆるリモート・プラズマであること、つまり放
電によるプラズマの形成と入射イオン・エネルギーの制
御とを独立に行うことができるタイプのプラズマである
ことが、ほぼ必須の条件となる。
This high-density plasma needs to be capable of controlling incident ion energy when it is put to practical use. In order to realize this, it is almost the case that the high-density plasma is a so-called remote plasma, that is, it is a type of plasma capable of independently forming plasma by discharge and controlling incident ion energy. It is a mandatory condition.

【0024】フルオロカーボン系化合物を主体とするエ
ッチング・ガスを用いてイオン密度1011イオン/cm
3 以上の高密度プラズマを形成すると、低圧下でも従来
のRFプラズマと比べてフルオロカーボン系化合物の解
離が進み、大量のCFx + (しかも、x=1が多い)が
効率良く生成する。この豊富なイオンにアシストされな
がら、シリコン化合物層は実用的な速度でエッチングさ
れる。
Ion density of 10 11 ions / cm 2 using an etching gas mainly containing a fluorocarbon compound
When a high-density plasma of 3 or more is formed, the fluorocarbon-based compound dissociates even under a low pressure as compared with the conventional RF plasma, and a large amount of CF x + (more often x = 1) is efficiently generated. The silicon compound layer is etched at a practical rate while being assisted by the abundant ions.

【0025】エッチングが進行して下地のAl系配線層
が露出すると、この時点でエッチングを停止させること
ができる。これは、実験的に確認された事実であり、恐
らくはAlFx (典型的にはx=3)を少なくとも一部
含む蒸気圧の低い反応層でAl系配線層の露出面が被覆
されたためであると考えられる。しかも、本発明では入
射イオン・エネルギーを最適化して反応層のスパッタリ
ング率を最小限に抑えているため、この反応層を下地の
Al系配線層の表面保護膜として用いることができる。
これにより、たとえば深さの異なる接続孔を一括して開
口する場合にも、浅い接続孔においても過剰なオーバー
エッチングから下地のAl系配線層を保護することがで
きる。したがって、いわゆるアルミ・クラウンの発生を
防止することができる。
When the underlying Al-based wiring layer is exposed by the progress of etching, the etching can be stopped at this point. This is an experimentally confirmed fact, and it is probably because the exposed surface of the Al-based wiring layer is covered with a reaction layer having a low vapor pressure containing at least a part of AlF x (typically x = 3). it is conceivable that. Moreover, since the incident ion energy is optimized in the present invention to minimize the sputtering rate of the reaction layer, this reaction layer can be used as a surface protective film of the underlying Al-based wiring layer.
This makes it possible to protect the underlying Al-based wiring layer from excessive over-etching even in a shallow connection hole even when the connection holes having different depths are collectively opened. Therefore, it is possible to prevent the generation of so-called aluminum crown.

【0026】なお、上記反応層は、塩素系化合物を含む
エッチング・ガスを用いれば、AlClx の形で容易に
除去することができる。ただし、ここで塩素系化合物を
用いることにより、反応層の除去後にはエッチング反応
系内に塩素が必然的に残留する。この残留塩素は、ウェ
ハが一旦大気解放されて水分と接触すると、Al系配線
層のアフターコロージョンを引き起こす原因となり易
い。そこで、エッチング終了後のウェハを大気解放せず
に連続工程にて残留塩素を除去することが有効である。
The reaction layer can be easily removed in the form of AlCl x by using an etching gas containing a chlorine-based compound. However, by using a chlorine-based compound here, chlorine is inevitably left in the etching reaction system after the reaction layer is removed. The residual chlorine is likely to cause the after-corrosion of the Al-based wiring layer once the wafer is exposed to the atmosphere and comes into contact with moisture. Therefore, it is effective to remove residual chlorine in a continuous process without exposing the wafer after etching to the atmosphere.

【0027】この残留塩素の除去方法として、本発明で
は(a)エッチング・マスクとして用いたレジスト・マ
スクをアッシングする方法、および(b)分子内に水素
原子を有する化合物を用いてプラズマ処理を行う方法、
の2通りを提案する。(a)のアッシングを行う方法に
よれば、残留塩素を大量に吸蔵しているレジスト・パタ
ーンが除去され、ウェハ上の残留塩素が大幅に低減され
る。(b)のプラズマ処理によれば、分子内に水素原子
を有する化合物から生成するH* 等の水素系化学種と残
留塩素が反応し、残留塩素はHCl(塩化水素)の形で
速やかに除去される。
As a method of removing this residual chlorine, in the present invention, (a) a method of ashing the resist mask used as an etching mask, and (b) plasma treatment using a compound having a hydrogen atom in the molecule is carried out. Method,
We propose two ways. According to the ashing method of (a), the resist pattern storing a large amount of residual chlorine is removed, and the residual chlorine on the wafer is significantly reduced. According to the plasma treatment of (b), the hydrogen-based species such as H * generated from the compound having a hydrogen atom in the molecule reacts with residual chlorine, and the residual chlorine is rapidly removed in the form of HCl (hydrogen chloride). To be done.

【0028】[0028]

【実施例】以下、本発明の具体的な実施例について説明
する。
EXAMPLES Specific examples of the present invention will be described below.

【0029】実施例1 本実施例は、有磁場マイクロ波プラズマ・エッチング装
置を用いてAl−1%Si層上のSiO2 層間絶縁膜に
ビアホールを開口するプロセスにおいて、SiO2 層間
絶縁膜の2段階エッチングをc−C4 8 /CH2 2
混合ガス系およびc−C4 8 単独ガス系を順次用いて
行った後、Al−1%Si層の露出面に形成された反応
層をCl2 を用いたプラズマ処理により除去し、さらに
上記マイクロ波プラズマ・エッチング装置に高真空下で
接続されたインライン・アッシング装置内で残留塩素の
除去を兼ねたレジスト・アッシングを行った例である。
このプロセスを、図1および図2を参照しながら説明す
る。
[0029] Example 1 This example, in the process of opening a via hole in the SiO 2 interlayer insulating film on the Al-1% Si layer using a magnetic field microwave plasma etching apparatus, a SiO 2 interlayer insulating film 2 the step etching c-C 4 F 8 / CH 2 F 2
After the mixed gas system and was conducted sequentially using a c-C 4 F 8 alone gas system, the reaction layer formed on the exposed surface of the Al-1% Si layer is removed by plasma treatment using Cl 2, further above This is an example of performing resist ashing that also removes residual chlorine in an in-line ashing device connected to a microwave plasma etching device under high vacuum.
This process will be described with reference to FIGS.

【0030】本実施例でエッチング・サンプルとして使
用したウェハを、図1(a)に示す。このウェハは、A
l−1%Si層1上に厚さ約0.6μmのSiO2 層間
絶縁膜2が順次積層され、さらにその上に所定の形状に
パターニングされたレジスト・マスク3が形成されてな
るものである。上記レジスト・マスク3の厚さは約1.
0μm、ホール・パターンにしたがって開口された開口
部4の直径は約0.4μmである。
The wafer used as an etching sample in this example is shown in FIG. This wafer is A
An SiO 2 interlayer insulating film 2 having a thickness of about 0.6 μm is sequentially laminated on a 1-1% Si layer 1, and a resist mask 3 patterned in a predetermined shape is further formed thereon. . The resist mask 3 has a thickness of about 1.
0 μm, the diameter of the opening 4 opened according to the hole pattern is about 0.4 μm.

【0031】次に、上記ウェハを有磁場マイクロ波プラ
ズマ・エッチング装置にセットし、SiO2 層間絶縁膜
2の2段階エッチングを行った。ここで、2段階エッチ
ングとは、エッチング・プロセスを実質的に下地が露出
する直前までのジャストエッチング工程と、下地を完全
に露出させるオーバーエッチング工程とに分け、両工程
間でエッチング条件を切り換える方法である。
Next, the above wafer was set in a magnetic field microwave plasma etching apparatus, and the SiO 2 interlayer insulating film 2 was subjected to two-step etching. Here, the two-step etching is a method in which the etching process is divided into a just etching step until just before the underlying layer is exposed and an over-etching step in which the underlying layer is completely exposed, and the etching conditions are switched between the two steps. Is.

【0032】まず、一例として下記の条件でSiO2
間絶縁膜2をジャストエッチングした。 c−C4 8 流量 20 SCCM CH2 2 流量 10 SCCM ガス圧 0.25 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 300 W(800 kH
z) 電極温度 −50 ℃(アルコール系冷
媒使用)
First, as an example, the SiO 2 interlayer insulating film 2 was just-etched under the following conditions. c-C 4 F 8 flow rate 20 SCCM CH 2 F 2 flow rate 10 SCCM gas pressure 0.25 Pa microwave power 1200 W (2.45 GH
z) RF bias power 300 W (800 kHz)
z) Electrode temperature -50 ° C (using alcohol refrigerant)

【0033】ここで用いたc−C4 8 は、分子のC/
F比(C原子数とF原子数の比)が比較的高いフルオロ
カーボン系化合物であり、プラズマのイオン密度が10
10イオン/cm3 のオーダーである従来のマグネトロン
RIE装置内で放電させれば、大量のCFx + (主とし
てx=2)を解離生成する。しかし、本実施例で用いた
有磁場マイクロ波プラズマ装置中ではガスの解離が一層
進んで高密度ECRプラズマが形成されており、そのイ
オン密度は1011イオン/cm3 のオーダーに達してい
る。
C-C 4 F 8 used here is C / C of the molecule.
It is a fluorocarbon compound having a relatively high F ratio (ratio of the number of C atoms to the number of F atoms) and has an ion density of plasma of 10
When discharged in a conventional magnetron RIE device of the order of 10 ions / cm 3 , a large amount of CF x + (mainly x = 2) is generated by dissociation. However, in the magnetic field microwave plasma apparatus used in this example, gas dissociation further progressed to form high-density ECR plasma, and the ion density thereof reached the order of 10 11 ions / cm 3 .

【0034】ただし、このECRプラズマ中に生成して
いる化学種としては、CFx + がさらに解離した形のC
+ が多く、これに伴ってF* の生成量も増加してい
る。そこで、プラズマ中にH* を供給して過剰なF*
捕捉させる目的で、ガス系にCH2 2 を添加している
わけである。しかも、CH2 2 は炭素系ポリマーを堆
積させやすい。したがって、上記のガス系によれば、炭
素系ポリマーの堆積効果とF* の減少効果にもとづいて
レジスト選択性が向上する。
However, as the chemical species generated in this ECR plasma, CF x + is a further dissociated form of C.
There is a large amount of F + , and along with this, the amount of F * produced also increases. Therefore, CH 2 F 2 is added to the gas system for the purpose of supplying H * into the plasma and trapping excess F * . Moreover, CH 2 F 2 easily deposits a carbon-based polymer. Therefore, the above gas system improves the resist selectivity based on the carbon polymer deposition effect and the F * reduction effect.

【0035】上記のジャストエッチング工程では、RF
パワー密度が比較的低く設定され、これにより入射イオ
ン・エネルギーが必要最小限に弱められている。しか
し、高密度に生成するCF+ により、エッチングは異方
的に、しかも実用的な速度で進行した。ジャストエッチ
ングは、図1(b)に示されるように、下地のAl−1
%Si層1が露出する直前で停止させた。これにより、
ビアホール5が途中まで形成された。
In the just etching process described above, RF is used.
The power density is set relatively low, which reduces the incident ion energy to the minimum necessary. However, due to the high density of CF + generated, the etching proceeded anisotropically and at a practical speed. Just etching is performed as shown in FIG.
It was stopped immediately before the% Si layer 1 was exposed. This allows
The via hole 5 was formed halfway.

【0036】次に、SiO2 層間絶縁膜2の残余部2a
を除去するために、一例として下記の条件でオーバーエ
ッチングを行った。 c−C4 8 流量 30 SCCM ガス圧 0.25 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 220 W(800 kH
z) 電極温度 −50 ℃(アルコール系冷
媒使用)
Next, the remaining portion 2a of the SiO 2 interlayer insulating film 2 is formed.
In order to remove the above, for example, overetching was performed under the following conditions. c-C 4 F 8 Flow rate 30 SCCM Gas pressure 0.25 Pa Microwave power 1200 W (2.45 GH
z) RF bias power 220 W (800 kHz)
z) Electrode temperature -50 ° C (using alcohol refrigerant)

【0037】このオーバーエッチング工程では、ガス組
成からCH2 2 を除外してエッチング反応系のC/F
比を低下させているため、ジャストエッチング工程に比
べてプラズマ中のF* 生成量が増大した。このため、図
1(c)に示されるようにビアホール5の底面にAl−
1%Si層1が露出すると、その露出面には反応層6が
速やかに生成した。この反応層6は、上記のエッチング
条件下では蒸気圧が低く、かつスパッタリング率も低い
ため、イオンやラジカルの攻撃に対して高い耐性を発揮
した。したがって、オーバーエッチング中にもAl−1
%Si層1の表面は効果的に保護された。
In this over-etching process, CH 2 F 2 is excluded from the gas composition to remove the C / F of the etching reaction system.
Since the ratio was lowered, the amount of F * produced in the plasma increased as compared with the just etching process. Therefore, as shown in FIG. 1C, the Al-
When the 1% Si layer 1 was exposed, the reaction layer 6 was rapidly formed on the exposed surface. Since the reaction layer 6 has a low vapor pressure and a low sputtering rate under the above etching conditions, it exhibits high resistance to attack of ions and radicals. Therefore, even during overetching, Al-1
The surface of the% Si layer 1 was effectively protected.

【0038】ただし、この反応層6はこのまま残してお
くとコンタクト抵抗を増大させる虞れがある。そこで、
次に一例として下記の条件で上記反応層6を除去した。 Cl2 流量 100 SCCM ガス圧 2.0 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 90 W(800 kH
z) 電極温度 −10 ℃(アルコール系冷
媒使用) このエッチングにより、図1(d)に示されるように反
応層6が除去された。このとき、エッチング種として塩
素系化学種を用いているために、Al−1%Si層1が
AlClx の形で若干除去される可能性もあるが、この
エッチングは入射イオン・エネルギーの極めて弱い条件
下で短時間に行われるため、後工程でビアホール5の埋
め込みに実質的な影響を及ぼすことはなかった。むし
ろ、塩素系化学種の存在によりアルミ・クラウンの形成
が防止されるメリットの方が大きい。
However, if the reaction layer 6 is left as it is, the contact resistance may increase. Therefore,
Next, as an example, the reaction layer 6 was removed under the following conditions. Cl 2 flow rate 100 SCCM gas pressure 2.0 Pa microwave power 1200 W (2.45 GH
z) RF bias power 90 W (800 kHz)
z) Electrode temperature −10 ° C. (using alcohol-based coolant) By this etching, the reaction layer 6 was removed as shown in FIG. At this time, since the chlorine-based chemical species are used as the etching species, the Al-1% Si layer 1 may be slightly removed in the form of AlCl x , but this etching has extremely weak incident ion energy. Since it is performed for a short time under the conditions, there is no substantial influence on the filling of the via hole 5 in the subsequent process. Rather, the advantage of preventing the formation of aluminum crown due to the presence of chlorine-based species is greater.

【0039】なお、エッチング終了時のウェハ表面に
は、塩素が残留していた。
It should be noted that chlorine remained on the surface of the wafer at the end of etching.

【0040】そこで、ウェハを上記有磁場マイクロ波プ
ラズマ・エッチング装置に真空ロード・ロック機構を介
して接続されるインライン・アッシング装置へ移設し、
一例として下記の条件で上記レジスト・マスク3をアッ
シングした。 O2 流量 100 SCCM ガス圧 5.0 Pa RFバイアス・パワー 0 W アッシング時間 120 秒 本実施例において、ウェハはSiO2 層間絶縁膜2のエ
ッチング終了後に大気解放されておらず、したがってそ
の表面に水分がほとんど吸着されていない状態でアッシ
ング装置に搬入される。レジスト・マスク3の除去によ
り、ウェハ上の残留塩素の大部分が除去された。
Therefore, the wafer is transferred to an in-line ashing device connected to the above-mentioned magnetic field microwave plasma etching device via a vacuum load lock mechanism,
As an example, the resist mask 3 was ashed under the following conditions. O 2 flow rate 100 SCCM gas pressure 5.0 Pa RF bias power 0 W ashing time 120 seconds In this example, the wafer was not exposed to the atmosphere after the etching of the SiO 2 interlayer insulating film 2, and therefore the surface of the wafer was not exposed to moisture. Are transported to the ashing device in a state where they are hardly adsorbed. Removal of the resist mask 3 removed most of the residual chlorine on the wafer.

【0041】アッシング後、このウェハを大気中に試験
的に放置したが、72時間後でもアフターコロージョン
の発生は認められなかった。
After ashing, this wafer was left in the atmosphere for a test, but after-corrosion did not occur even after 72 hours.

【0042】実施例2 本実施例では、同じビアホール加工においてSiO2
間絶縁膜をc−C4 8 を用いて1段階でエッチング
し、Al−1%Si層の露出面に形成された反応層をB
Cl3 /Cl2 混合ガスを用いてエッチング除去した
後、H2 ガスを用いたプラズマ処理を行って残留塩素を
除去した。このプロセスを、図1(a)、図1(c)、
図1(d)および図3を参照しながら説明する。
Example 2 In this example, the SiO 2 interlayer insulating film was etched in one step using c—C 4 F 8 in the same via hole processing, and the reaction formed on the exposed surface of the Al-1% Si layer was performed. Layer B
After removal by etching using Cl 3 / Cl 2 mixed gas, residual chlorine was removed by plasma treatment using H 2 gas. This process is shown in FIG. 1 (a), FIG. 1 (c),
A description will be given with reference to FIG. 1 (d) and FIG.

【0043】まず、図1(a)に示すウェハを有磁場マ
イクロ波プラズマ・エッチング装置にセットし、一例と
して下記の条件でSiO2 層間絶縁膜2をエッチングし
た。 c−C4 8 流量 30 SCCM ガス圧 0.25 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 250 W(800 kH
z) 電極温度 −10 ℃(アルコール系冷
媒使用)
First, the wafer shown in FIG. 1A was set in a magnetic field microwave plasma etching apparatus, and as an example, the SiO 2 interlayer insulating film 2 was etched under the following conditions. c-C 4 F 8 Flow rate 30 SCCM Gas pressure 0.25 Pa Microwave power 1200 W (2.45 GH
z) RF bias power 250 W (800 kHz)
z) Electrode temperature -10 ° C (using alcohol refrigerant)

【0044】本実施例では、ガス系にCH2 2 が添加
されていないため、エッチング反応系内のF* 生成量が
実施例1に比べて多く、これによりAl−1%Si層1
が露出した時点で直ちに反応層6が形成できるようにな
されている。ただし、1段階エッチングであるから、実
用的な選択性を確保するために実施例1よりもRFパワ
ーを下げた。このエッチングにより、図1(c)に示さ
れるように異方性形状を有するビアホール5が形成さ
れ、その底面には反応層6が形成された。
In this example, since CH 2 F 2 was not added to the gas system, the amount of F * produced in the etching reaction system was larger than that in Example 1, and as a result, the Al-1% Si layer 1 was formed.
The reaction layer 6 can be formed immediately after the exposure. However, since it is a one-step etching, the RF power was lowered as compared with Example 1 in order to secure practical selectivity. By this etching, a via hole 5 having an anisotropic shape was formed as shown in FIG. 1C, and a reaction layer 6 was formed on the bottom surface thereof.

【0045】次に、上記反応層6を除去するため、一例
として下記の条件でエッチングを行った。 BCl3 流量 100 SCCM Cl2 流量 50 SCCM ガス圧 2.0 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 50 W(800 kH
z) 電極温度 −10 ℃(アルコール系冷
媒使用) このエッチングにおいて、反応層6は塩素系化学種の寄
与により速やかに除去された。なお、上記のガス組成
は、Al系材料層のエッチング・ガスとして広く知られ
た組成である。この組成によれば、残留酸素の影響でA
l−1%Si層1の表面に自然酸化膜が生成している場
合にも、BCl3 の還元作用にもとづいてこれを速やか
に除去することができる。
Next, in order to remove the reaction layer 6, etching was performed under the following conditions as an example. BCl 3 flow rate 100 SCCM Cl 2 flow rate 50 SCCM Gas pressure 2.0 Pa Microwave power 1200 W (2.45 GH
z) RF bias power 50 W (800 kHz)
z) Electrode temperature −10 ° C. (using alcohol-based coolant) In this etching, the reaction layer 6 was quickly removed due to the contribution of chlorine-based chemical species. The above gas composition is a composition widely known as an etching gas for the Al-based material layer. According to this composition, A
Even if a natural oxide film is formed on the surface of the 1-1% Si layer 1, it can be quickly removed based on the reducing action of BCl 3 .

【0046】次に、上記反応層6の除去に伴って発生し
た残留酸素を除去するために、一例として下記の条件で
プラズマ処理を行った。 H2 流量 30 SCCM ガス圧 0.25 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 20 W(800 kH
z) 電極温度 −10 ℃(アルコール系冷
媒使用) プラズマ処理時間 20 秒 このプラズマ処理過程では、残留塩素はH2 から生成す
るH* によりHCl(塩化水素)の形で除去された。こ
のウェハは、大気中に72時間放置してもアフターコロ
ージョンを生じなかった。
Next, in order to remove the residual oxygen generated by the removal of the reaction layer 6, plasma processing was performed under the following conditions as an example. H 2 flow rate 30 SCCM Gas pressure 0.25 Pa Microwave power 1200 W (2.45 GH
z) RF bias power 20 W (800 kHz)
z) Electrode temperature −10 ° C. (using alcohol-based refrigerant) Plasma treatment time 20 seconds In this plasma treatment process, residual chlorine was removed in the form of HCl (hydrogen chloride) by H * generated from H 2 . This wafer did not cause after-corrosion even when left in the atmosphere for 72 hours.

【0047】以上、本発明を2例の実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではない。たとえば、上述の実施例ではAl系配線
層としてAl−1%Si層を採り上げたが、近年のAl
系配線層はフォトリソグラフィにおける加工精度を向上
させる目的でほとんどの場合その表面に反射防止膜を有
している。本発明においても、TiON膜等の反射防止
膜を用いて良い。
Although the present invention has been described based on the two embodiments, the present invention is not limited to these embodiments. For example, although the Al-1% Si layer is taken as the Al-based wiring layer in the above-mentioned embodiment, the recent Al
In most cases, the system wiring layer has an antireflection film on its surface for the purpose of improving the processing accuracy in photolithography. Also in the present invention, an antireflection film such as a TiON film may be used.

【0048】層間絶縁膜としてはSiO2 からなる層間
絶縁膜を例示したが、PSG,BSG,BPSG,As
SG,AsPSG,AsBSG等からなる層間絶縁膜も
同様にエッチング可能である。反応層のエッチングに用
いられる塩素系化合物としてはCl2 ,BCl3 を例示
したが、これをHCl等に替えても良い。
As the interlayer insulating film, an interlayer insulating film made of SiO 2 has been exemplified, but PSG, BSG, BPSG, As.
An interlayer insulating film made of SG, AsPSG, AsBSG or the like can be similarly etched. Although Cl 2 and BCl 3 are exemplified as the chlorine-based compound used for etching the reaction layer, they may be replaced with HCl or the like.

【0049】残留塩素を除去するために用いられる分子
内に水素原子を持つ化合物としては、上述のH2 の他、
NH3 あるいはCH4 等の各種炭化水素を用いても良
い。
Examples of the compound having a hydrogen atom in the molecule used for removing residual chlorine include the above H 2 and
Various hydrocarbons such as NH 3 or CH 4 may be used.

【0050】上述の実施例では、高密度プラズマとして
ECRプラズマを採り上げたが、ホローアノード型プラ
ズマでは1012イオン/cm3 、ヘリコン波プラズマや
TCPでは1012〜1013イオン/cm3 のオーダーの
イオン密度が報告されており、これらのいずれを利用し
ても良い。その他、使用するエッチング装置、エッチン
グ条件、サンプル・ウェハの構成、プラズマ処理条件等
が適宜変更可能であることは、言うまでもない。
In the above-mentioned embodiment, ECR plasma is adopted as the high density plasma, but it is of the order of 10 12 ions / cm 3 for hollow anode type plasma and 10 12 to 10 13 ions / cm 3 for helicon wave plasma and TCP. Ion densities have been reported and any of these may be used. Needless to say, the etching apparatus to be used, the etching conditions, the structure of the sample wafer, the plasma processing conditions, and the like can be changed as appropriate.

【0051】[0051]

【発明の効果】以上の説明からも明らかなように、本発
明ではいわゆる高密度プラズマ中に生成する大量のCF
+ をエッチングに利用し、かつその入射エネルギーを最
適化することにより、下地のAl系配線層に対して高選
択比を維持しながら、実用的な速度でシリコン化合物層
の異方的エッチングを行うことができる。さらに、この
ときの選択性確保に寄与する反応層をエッチング除去し
た後、残留塩素を除去する工程を設けることにより、ア
フターコロージョンも効果的に抑制することができる。
As is apparent from the above description, in the present invention, a large amount of CF generated in so-called high density plasma.
By utilizing + for etching and optimizing its incident energy, anisotropic etching of the silicon compound layer is performed at a practical speed while maintaining a high selection ratio with respect to the underlying Al wiring layer. be able to. Further, after-removal can be effectively suppressed by providing a step of removing residual chlorine after etching and removing the reaction layer that contributes to ensuring selectivity at this time.

【0052】したがって本発明は、多層配線構造を有す
る半導体装置の信頼性および歩留りを向上させる上で極
めて有効であり、半導体装置の微細化、高集積化、高性
能化、高信頼化等に貢献するものである。
Therefore, the present invention is extremely effective in improving the reliability and yield of a semiconductor device having a multilayer wiring structure, and contributes to miniaturization, high integration, high performance and high reliability of the semiconductor device. To do.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明のドライエッチング方法をビアホール加
工に適用したプロセス例をその工程順にしたがって示す
模式的な断面図であり、(a)はAl−1%Si層上に
SiO2 層間絶縁膜とレジスト・マスクが順次形成され
た状態、(b)はSiO2 層間絶縁膜のジャストエッチ
ングが終了した状態、(c)はAl−1%Si層の露出
面上に反応層が形成された状態、(d)は反応層の除去
に伴って塩素が残留した状態をそれぞれ表す。
FIG. 1 is a schematic cross-sectional view showing an example of a process in which a dry etching method of the present invention is applied to via hole processing in the order of steps, (a) showing an SiO 2 interlayer insulating film on an Al-1% Si layer. A state where a resist mask is sequentially formed, a state where (b) is a state where the just etching of the SiO 2 interlayer insulating film is completed, and a state where a reaction layer is formed on the exposed surface of the Al-1% Si layer is shown (c). (D) represents a state in which chlorine remains as the reaction layer is removed.

【図2】アッシングにより図1(d)のレジスト・マス
クと残留塩素が除去された状態を示す模式的な断面図で
ある。
FIG. 2 is a schematic cross-sectional view showing a state where the resist mask of FIG. 1D and residual chlorine are removed by ashing.

【図3】水素原子を有する化合物を用いたプラズマ処理
により図1(d)の残留塩素が除去された状態を示す模
式的な断面図である。
FIG. 3 is a schematic cross-sectional view showing a state in which residual chlorine of FIG. 1 (d) is removed by plasma treatment using a compound having hydrogen atoms.

【図4】従来のビアホール加工における問題点を説明す
るための模式的な断面図であり、(a)はAl系配線層
上にSiO2 層間絶縁膜とレジスト・マスクが順次形成
された状態、(b)はオーバーエッチング時にAl系配
線層の表面がスパッタされて再付着物層が形成された状
態、(c)はレジスト・パターン除去後に再付着物層が
残った状態をそれぞれ表す。
FIG. 4 is a schematic cross-sectional view for explaining a problem in conventional via hole processing, FIG. 4A is a state in which an SiO 2 interlayer insulating film and a resist mask are sequentially formed on an Al-based wiring layer, (B) shows a state where the surface of the Al-based wiring layer is sputtered to form a reattachment layer during overetching, and (c) shows a state where the reattachment layer remains after removing the resist pattern.

【符号の説明】[Explanation of symbols]

1・・・Al−1%Si層 2・・・SiO2 層間絶縁膜 3・・・レジスト・マスク 4・・・開口部 5・・・ビアホール 6・・・反応層1 ··· Al-1% Si layer 2 ... SiO 2 interlayer insulation film 3 ... resist mask 4 ... opening 5 ... via hole 6 ... reaction layer

Claims (5)

【特許請求の範囲】[Claims] 【請求項1】 アルミニウム系配線層の上に積層された
シリコン化合物層を選択的にエッチングするドライエッ
チング方法において、 前記エッチングは、イオン密度が1011イオン/cm3
以上のプラズマを生成可能なエッチング装置内でフルオ
ロカーボン系化合物を主体とするエッチング・ガスを用
い、前記アルミニウム系配線層の露出面上に生成する反
応層の少なくとも一部を維持し得る入射イオン・エネル
ギー条件下で行うことを特徴とするドライエッチング方
法。
1. A dry etching method for selectively etching a silicon compound layer laminated on an aluminum-based wiring layer, wherein the etching has an ion density of 10 11 ions / cm 3
Incident ion energy capable of maintaining at least a part of the reaction layer formed on the exposed surface of the aluminum-based wiring layer by using an etching gas mainly containing a fluorocarbon compound in the above-described etching apparatus capable of generating plasma A dry etching method which is performed under conditions.
【請求項2】 前記エッチングの終了後に、前記反応層
を塩素系化合物を含むエッチング・ガスを用いて除去す
ることを特徴とする請求項1記載のドライエッチング方
法。
2. The dry etching method according to claim 1, wherein after the etching, the reaction layer is removed by using an etching gas containing a chlorine-based compound.
【請求項3】 前記エッチングの終了後に、前記反応層
を塩素系化合物を含むエッチング・ガスを用いて除去
し、しかる後に残留塩素を除去することを特徴とする請
求項1記載のドライエッチング方法。
3. The dry etching method according to claim 1, wherein after the etching is finished, the reaction layer is removed by using an etching gas containing a chlorine-based compound, and then residual chlorine is removed.
【請求項4】 前記残留塩素は、前記反応層の除去に引
き続いて高真空下で連続的にアッシングを行うことによ
り、前記エッチングで用いられたレジスト・マスクと共
に除去することを特徴とする請求項3記載のドライエッ
チング方法。
4. The residual chlorine is removed together with the resist mask used in the etching by continuously performing ashing in a high vacuum subsequent to the removal of the reaction layer. 3. The dry etching method described in 3.
【請求項5】 前記残留塩素は、分子内に水素原子を持
つ化合物を含むガスを用いたプラズマ処理を前記反応層
の除去に引き続いて高真空下で連続的に行うことによ
り、水素系化学種と反応させながら除去することを特徴
とする請求項3記載のドライエッチング方法。
5. The residual chlorine is produced by performing a plasma treatment using a gas containing a compound having a hydrogen atom in a molecule and continuously performing the plasma treatment under a high vacuum following the removal of the reaction layer. 4. The dry etching method according to claim 3, wherein the dry etching is performed while reacting with.
JP06111593A 1993-02-25 1993-02-25 Dry etching method Expired - Fee Related JP3271359B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP06111593A JP3271359B2 (en) 1993-02-25 1993-02-25 Dry etching method
US08/197,300 US5429710A (en) 1993-02-25 1994-02-16 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP06111593A JP3271359B2 (en) 1993-02-25 1993-02-25 Dry etching method

Publications (2)

Publication Number Publication Date
JPH06252107A true JPH06252107A (en) 1994-09-09
JP3271359B2 JP3271359B2 (en) 2002-04-02

Family

ID=13161763

Family Applications (1)

Application Number Title Priority Date Filing Date
JP06111593A Expired - Fee Related JP3271359B2 (en) 1993-02-25 1993-02-25 Dry etching method

Country Status (2)

Country Link
US (1) US5429710A (en)
JP (1) JP3271359B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999062111A1 (en) * 1998-05-22 1999-12-02 Tokyo Electron Limited Etching method
JP2002526919A (en) * 1998-09-30 2002-08-20 ラム リサーチ コーポレーション Method for plasma etching dielectric material
JP2008244144A (en) * 2007-03-27 2008-10-09 Toshiba Corp Manufacturing method of semiconductor device
KR20180087155A (en) * 2017-01-24 2018-08-01 도쿄엘렉트론가부시키가이샤 Plasma processing method including cleaning of inside of chamber main body of plasma processing apapratus

Families Citing this family (75)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5880036A (en) * 1992-06-15 1999-03-09 Micron Technology, Inc. Method for enhancing oxide to nitride selectivity through the use of independent heat control
US6184150B1 (en) 1992-09-08 2001-02-06 Applied Materials Inc. Oxide etch process with high selectivity to nitride suitable for use on surfaces of uneven topography
US5880037A (en) * 1992-09-08 1999-03-09 Applied Materials, Inc. Oxide etch process using a mixture of a fluorine-substituted hydrocarbon and acetylene that provides high selectivity to nitride and is suitable for use on surfaces of uneven topography
EP0608628A3 (en) * 1992-12-25 1995-01-18 Kawasaki Steel Co Method of manufacturing semiconductor device having multilevel interconnection structure.
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US6264812B1 (en) 1995-11-15 2001-07-24 Applied Materials, Inc. Method and apparatus for generating a plasma
JP2884054B2 (en) * 1995-11-29 1999-04-19 工業技術院長 Fine processing method
US5767017A (en) * 1995-12-21 1998-06-16 International Business Machines Corporation Selective removal of vertical portions of a film
US6139647A (en) * 1995-12-21 2000-10-31 International Business Machines Corporation Selective removal of vertical portions of a film
KR0179792B1 (en) * 1995-12-27 1999-04-15 문정환 Method of forming slope contact hole in semiconductor device with high density plasma etching apparatus
US6254746B1 (en) 1996-05-09 2001-07-03 Applied Materials, Inc. Recessed coil for generating a plasma
US6368469B1 (en) 1996-05-09 2002-04-09 Applied Materials, Inc. Coils for generating a plasma and for sputtering
KR100489918B1 (en) * 1996-05-09 2005-08-04 어플라이드 머티어리얼스, 인코포레이티드 Coils for generating a plasma and for sputtering
US6190513B1 (en) 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
US6254737B1 (en) 1996-10-08 2001-07-03 Applied Materials, Inc. Active shield for generating a plasma for sputtering
US6514390B1 (en) 1996-10-17 2003-02-04 Applied Materials, Inc. Method to eliminate coil sputtering in an ICP source
US5961793A (en) * 1996-10-31 1999-10-05 Applied Materials, Inc. Method of reducing generation of particulate matter in a sputtering chamber
TW358964B (en) * 1996-11-21 1999-05-21 Applied Materials Inc Method and apparatus for improving sidewall coverage during sputtering in a chamber having an inductively coupled plasma
US5882535A (en) * 1997-02-04 1999-03-16 Micron Technology, Inc. Method for forming a hole in a semiconductor device
US6599399B2 (en) 1997-03-07 2003-07-29 Applied Materials, Inc. Sputtering method to generate ionized metal plasma using electron beams and magnetic field
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6103070A (en) * 1997-05-14 2000-08-15 Applied Materials, Inc. Powered shield source for high density plasma
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6652717B1 (en) 1997-05-16 2003-11-25 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6579426B1 (en) 1997-05-16 2003-06-17 Applied Materials, Inc. Use of variable impedance to control coil sputter distribution
US6375810B2 (en) 1997-08-07 2002-04-23 Applied Materials, Inc. Plasma vapor deposition with coil sputtering
US6345588B1 (en) 1997-08-07 2002-02-12 Applied Materials, Inc. Use of variable RF generator to control coil voltage distribution
US6235169B1 (en) 1997-08-07 2001-05-22 Applied Materials, Inc. Modulated power for ionized metal plasma deposition
US6565717B1 (en) 1997-09-15 2003-05-20 Applied Materials, Inc. Apparatus for sputtering ionized material in a medium to high density plasma
US6042700A (en) * 1997-09-15 2000-03-28 Applied Materials, Inc. Adjustment of deposition uniformity in an inductively coupled plasma source
US6023038A (en) * 1997-09-16 2000-02-08 Applied Materials, Inc. Resistive heating of powered coil to reduce transient heating/start up effects multiple loadlock system
JPH11145281A (en) * 1997-11-06 1999-05-28 Mitsubishi Electric Corp Method for manufacturing semiconductor device
US6207353B1 (en) 1997-12-10 2001-03-27 International Business Machines Corporation Resist formulation which minimizes blistering during etching
KR100311487B1 (en) * 1997-12-16 2001-11-15 김영환 Method for etching of oxidation film
US6280579B1 (en) 1997-12-19 2001-08-28 Applied Materials, Inc. Target misalignment detector
US6232219B1 (en) * 1998-05-20 2001-05-15 Micron Technology, Inc. Self-limiting method of reducing contamination in a contact opening, method of making contacts and semiconductor devices therewith, and resulting structures
TW405223B (en) * 1998-07-28 2000-09-11 United Microelectronics Corp Method for avoiding the poisoning at the trench of the dual damascene structure and the dielectric hole
US5968278A (en) * 1998-12-07 1999-10-19 Taiwan Semiconductor Manufacturing Company Ltd. High aspect ratio contact
US6140015A (en) * 1998-12-10 2000-10-31 International Business Machines Corporation Photoresist compositions with pendant polar-functionalized aromatic groups and acid-labile branching
US6228774B1 (en) * 1998-12-29 2001-05-08 Lam Research Corporation High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
US6124074A (en) 1999-03-11 2000-09-26 International Business Machines Corporation Photoresist compositions with cyclic olefin polymers and hydrophobic non-steroidal multi-alicyclic additives
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
JP4108228B2 (en) * 1999-07-15 2008-06-25 富士通株式会社 Manufacturing method of semiconductor device
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US6251560B1 (en) 2000-05-05 2001-06-26 International Business Machines Corporation Photoresist compositions with cyclic olefin polymers having lactone moiety
US6627391B1 (en) 2000-08-16 2003-09-30 International Business Machines Corporation Resist compositions containing lactone additives
KR100379976B1 (en) * 2000-11-27 2003-04-16 삼성전자주식회사 Etching gas composition of silicone oxides and method of etching silicone oxides using the same
US7261992B2 (en) * 2000-12-21 2007-08-28 International Business Machines Corporation Fluorinated silsesquioxane polymers and use thereof in lithographic photoresist compositions
US6696216B2 (en) 2001-06-29 2004-02-24 International Business Machines Corporation Thiophene-containing photo acid generators for photolithography
US6756180B2 (en) 2002-10-22 2004-06-29 International Business Machines Corporation Cyclic olefin-based resist compositions having improved image stability
US20040224524A1 (en) * 2003-05-09 2004-11-11 Applied Materials, Inc. Maintaining the dimensions of features being etched on a lithographic mask
US7090963B2 (en) * 2003-06-25 2006-08-15 International Business Machines Corporation Process for forming features of 50 nm or less half-pitch with chemically amplified resist imaging
US7045455B2 (en) * 2003-10-23 2006-05-16 Chartered Semiconductor Manufacturing Ltd. Via electromigration improvement by changing the via bottom geometric profile
US7235342B2 (en) * 2004-01-28 2007-06-26 International Business Machines Corporation Negative photoresist composition including non-crosslinking chemistry
WO2005088393A1 (en) * 2004-02-11 2005-09-22 International Business Machines Corporation Use of mixed bases to enhance patterned resist profiles on chrome or sensitive substrates
US7011923B2 (en) 2004-04-07 2006-03-14 International Business Machines Corporation Negative photoresist and method of using thereof
US7129016B2 (en) * 2004-11-12 2006-10-31 International Business Machines Corporation Positive resist containing naphthol functionality
US7183036B2 (en) * 2004-11-12 2007-02-27 International Business Machines Corporation Low activation energy positive resist
US7326523B2 (en) * 2004-12-16 2008-02-05 International Business Machines Corporation Low refractive index polymers as underlayers for silicon-containing photoresists
US7375172B2 (en) * 2005-07-06 2008-05-20 International Business Machines Corporation Underlayer compositions containing heterocyclic aromatic structures
US20070231736A1 (en) * 2006-03-28 2007-10-04 Chen Kuang-Jung J Bottom antireflective coating composition and method for use thereof
US7300741B2 (en) * 2006-04-25 2007-11-27 International Business Machines Corporation Advanced chemically amplified resist for sub 30 nm dense feature resolution
US7816069B2 (en) * 2006-06-23 2010-10-19 International Business Machines Corporation Graded spin-on organic antireflective coating for photolithography
US8034533B2 (en) * 2008-01-16 2011-10-11 International Business Machines Corporation Fluorine-free heteroaromatic photoacid generators and photoresist compositions containing the same
US20090181319A1 (en) * 2008-01-16 2009-07-16 International Business Machines Corporation Aromatic fluorine-free photoacid generators and photoresist compositions containing the same
US8182978B2 (en) 2009-02-02 2012-05-22 International Business Machines Corporation Developable bottom antireflective coating compositions especially suitable for ion implant applications
US20100203450A1 (en) 2009-02-11 2010-08-12 International Business Machines Corporation Photoresist compositions and methods of use
JP5555451B2 (en) * 2009-07-14 2014-07-23 ルネサスエレクトロニクス株式会社 Semiconductor device
US8343706B2 (en) 2010-01-25 2013-01-01 International Business Machines Corporation Fluorine-free fused ring heteroaromatic photoacid generators and resist compositions containing the same
US8323871B2 (en) * 2010-02-24 2012-12-04 International Business Machines Corporation Antireflective hardmask composition and a method of preparing a patterned material using same
US20120122031A1 (en) 2010-11-15 2012-05-17 International Business Machines Corporation Photoresist composition for negative development and pattern forming method using thereof
US8932796B2 (en) 2011-11-10 2015-01-13 International Business Machines Corporation Hybrid photoresist composition and pattern forming method using thereof
US8999624B2 (en) 2012-06-29 2015-04-07 International Business Machines Corporation Developable bottom antireflective coating composition and pattern forming method using thereof
US10345700B2 (en) 2014-09-08 2019-07-09 International Business Machines Corporation Negative-tone resist compositions and multifunctional polymers therein

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5176790A (en) * 1991-09-25 1993-01-05 Applied Materials, Inc. Process for forming a via in an integrated circuit structure by etching through an insulation layer while inhibiting sputtering of underlying metal

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999062111A1 (en) * 1998-05-22 1999-12-02 Tokyo Electron Limited Etching method
US6753263B1 (en) 1998-05-22 2004-06-22 Tokyo Electron Limited Etching method
JP2002526919A (en) * 1998-09-30 2002-08-20 ラム リサーチ コーポレーション Method for plasma etching dielectric material
JP2008244144A (en) * 2007-03-27 2008-10-09 Toshiba Corp Manufacturing method of semiconductor device
KR20180087155A (en) * 2017-01-24 2018-08-01 도쿄엘렉트론가부시키가이샤 Plasma processing method including cleaning of inside of chamber main body of plasma processing apapratus
JP2018120924A (en) * 2017-01-24 2018-08-02 東京エレクトロン株式会社 Plasma processing method including cleaning of inside of chamber main body of plasma processing device
TWI751264B (en) * 2017-01-24 2022-01-01 日商東京威力科創股份有限公司 Plasma processing method including cleaning inside of chamber body of plasma processing device
US11342167B2 (en) 2017-01-24 2022-05-24 Tokyo Electron Limited Plasma processing method including cleaning of inside of chamber main body of plasma processing apparatus

Also Published As

Publication number Publication date
JP3271359B2 (en) 2002-04-02
US5429710A (en) 1995-07-04

Similar Documents

Publication Publication Date Title
JP3271359B2 (en) Dry etching method
US6805139B1 (en) Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
JP3259380B2 (en) Method for manufacturing semiconductor device
EP0880799B1 (en) Methods for etching semiconductor wafers
US6177353B1 (en) Metallization etching techniques for reducing post-etch corrosion of metal lines
KR101029947B1 (en) A method for plasma etching performance enhancement
US5416048A (en) Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
US6114250A (en) Techniques for etching a low capacitance dielectric layer on a substrate
KR100854609B1 (en) A method of etching a feature
US20050022839A1 (en) Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US6225698B1 (en) Method for making semiconductor devices having gradual slope contacts
US20070193602A1 (en) Systems and Methods for Photoresist Strip and Residue Treatment in Integrated Circuit Manufacturing
JPH0982687A (en) Manufacture of semiconductor device
JP2002525840A (en) In situ integrated oxide etching process especially useful for copper dual damascene
JPH09148314A (en) Etching process of silicified titanium
WO2001029879A2 (en) Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
JP4451934B2 (en) Method and integrated circuit for etching a conductive layer
KR101075045B1 (en) A method for plasma etching performance enhancement
JP3440735B2 (en) Dry etching method
JPH08186111A (en) Forming method for connecting hole
US5827436A (en) Method for etching aluminum metal films
JP3319083B2 (en) Plasma processing method
JP3279016B2 (en) Dry etching method
JPH0774147A (en) Method and apparatus for dry etching
JPH0697127A (en) Formation of wiring

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20011225

LAPS Cancellation because of no payment of annual fees