JP3319083B2 - Plasma processing method - Google Patents

Plasma processing method

Info

Publication number
JP3319083B2
JP3319083B2 JP25861493A JP25861493A JP3319083B2 JP 3319083 B2 JP3319083 B2 JP 3319083B2 JP 25861493 A JP25861493 A JP 25861493A JP 25861493 A JP25861493 A JP 25861493A JP 3319083 B2 JP3319083 B2 JP 3319083B2
Authority
JP
Japan
Prior art keywords
plasma
wafer
etching
electrostatic chuck
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP25861493A
Other languages
Japanese (ja)
Other versions
JPH07115085A (en
Inventor
哲治 長山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP25861493A priority Critical patent/JP3319083B2/en
Publication of JPH07115085A publication Critical patent/JPH07115085A/en
Application granted granted Critical
Publication of JP3319083B2 publication Critical patent/JP3319083B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は、ドライエッチング、C
VD等のプラズマ処理方法に関し、特に単極式静電チャ
ックを用いてウェハ(基板)をウェハ・ステージに吸着
させた状態でプラズマ処理を行った後、このプラズマ処
理の結果に悪影響を与えることなく、該単極式静電チャ
ックの残留電荷除去を短時間で行う方法に関する。
The present invention relates to dry etching, C
Regarding a plasma processing method such as VD, in particular, after performing a plasma processing in a state where a wafer (substrate) is attracted to a wafer stage using a monopolar electrostatic chuck, the result of the plasma processing is not adversely affected. And a method for removing residual charges from the single-pole electrostatic chuck in a short time.

【0002】[0002]

【従来の技術】静電チャックは、絶縁部材中に埋設され
た内部電極に直流電圧を印加し、この絶縁部材とその上
に載置されたウェハとの間に発現するクーロン力を利用
してウェハを吸着固定させる機構である。この静電チャ
ックを備えたウェハ・ステージは、ウェハとの間の熱伝
達効率やウェハ平坦性の補正に有利であることから、近
年の低温エッチング装置に広く採用されている。また、
プラズマCVD装置においては、パーティクル低減の観
点からウェハの垂直保持を実現するための機構として採
用されている。
2. Description of the Related Art An electrostatic chuck applies a DC voltage to an internal electrode buried in an insulating member, and utilizes a Coulomb force developed between the insulating member and a wafer mounted thereon. This is a mechanism for adsorbing and fixing the wafer. The wafer stage provided with the electrostatic chuck is widely used in recent low-temperature etching apparatuses because it is advantageous for correcting the heat transfer efficiency between the wafer and the flatness of the wafer. Also,
In a plasma CVD apparatus, it is employed as a mechanism for realizing vertical holding of a wafer from the viewpoint of particle reduction.

【0003】静電チャックには、ウェハが導体,半導
体,誘電体のいずれであるか、またウェハをアースする
か否かにより幾つかの異なる方式が知られているが、近
年主流となりつつあるのは単極式と呼ばれる方式であ
る。これは、ウェハが導体または半導体である場合に、
絶縁部材中の単一の内部電極に所定の極性の直流電圧を
印加し、対向アースはプラズマを経由して処理チャンバ
の壁を通じてとる方式である。この方式には、原理上プ
ラズマが生成しないとウェハをウェハ・ステージに吸着
できない事情があるものの、MOSデバイスのゲート酸
化膜の耐圧劣化を生じにくいという重要なメリットがあ
る。
[0003] Several different types of electrostatic chucks are known depending on whether the wafer is a conductor, a semiconductor, or a dielectric, and whether or not the wafer is grounded. Is a system called a monopolar system. This is where the wafer is a conductor or semiconductor
In this method, a DC voltage having a predetermined polarity is applied to a single internal electrode in an insulating member, and the opposite ground is taken through a wall of a processing chamber via plasma. This method has an important advantage that the gate oxide film of the MOS device is hardly deteriorated in the withstand voltage, although the wafer cannot be attracted to the wafer stage unless plasma is generated in principle.

【0004】この単極式静電チャックを用いた場合、プ
ラズマ処理終了後に直流電圧の印加を停止しても、絶縁
部材の表面に電荷が残留する。そこで、ウェハをステー
ジから脱着するためには適当なガスを供給して再びプラ
ズマを生成させ、このプラズマを通じて残留電荷を放電
させなければならない。このとき、ウェハの吸着に用い
た直流電圧と逆極性の直流電圧を内部電極に印加し、残
留電荷を強制的に除去して電荷除去時間を短縮すること
も行われている。
[0004] In the case of using the single-pole electrostatic chuck, even if the application of the DC voltage is stopped after the plasma processing is completed, electric charges remain on the surface of the insulating member. Therefore, in order to detach the wafer from the stage, it is necessary to supply an appropriate gas to generate plasma again, and to discharge residual charges through the plasma. At this time, a DC voltage having a polarity opposite to that of the DC voltage used for chucking the wafer is applied to the internal electrodes to forcibly remove residual charges to shorten the charge removing time.

【0005】[0005]

【発明が解決しようとする課題】しかしながら、上述の
ように逆極性の直流電圧を印加する方法は、電荷の中和
点が正確に判定できれば残留電荷除去の迅速化に大いに
効果のある方法であるが、実際にこの判定をウェハの脱
着に支障を来さない範囲内で行うことは難しく、ウェハ
に逆極性の電荷が誘導されて再び吸着状態となることが
多い。しかも、残留電荷の中和速度はウェハの物性や吸
着面の表面状態にも依存するため、逆電位の印加時間を
測定をもってこれを判断することにも限界がある。
However, the method of applying a DC voltage of the opposite polarity as described above is a method which is very effective in speeding up the removal of residual charges if the neutralization point of the charges can be accurately determined. However, it is difficult to actually make this determination within a range that does not hinder the attachment / detachment of the wafer. In many cases, charges of the opposite polarity are induced on the wafer, and the wafer is again attracted. In addition, since the neutralization rate of the residual charge also depends on the physical properties of the wafer and the surface state of the suction surface, there is a limit in determining the reverse potential application time by measuring the application time.

【0006】この問題を解決するために、たとえば特開
平4−162443号公報には、定電圧電源に接続され
所定電位の電圧が印加される電極と、定電流電源に接続
され逆電位の電圧が印加される電極とを備え、これら両
電極間の電圧(残留電荷に比例)の減少過程をモニタし
て吸着力の低下を検出するようになされた静電チャック
装置が開示されている。しかし、装置構成や制御の複雑
化は避けられない。
To solve this problem, for example, Japanese Unexamined Patent Publication No. 4-162443 discloses an electrode connected to a constant voltage power supply to which a voltage of a predetermined potential is applied, and an electrode connected to a constant current power supply having a voltage of an opposite potential. There is disclosed an electrostatic chuck device that includes an electrode to be applied and monitors a process of decreasing a voltage (proportional to a residual charge) between the two electrodes to detect a decrease in an attraction force. However, complication of the device configuration and control is inevitable.

【0007】さらにプラズマCVDにおいては、本願出
願人が実験的に見出したところによると、成膜直後に単
極式静電チャックの印加電圧の極性を切り換えて残留電
荷除去プラズマを照射した場合に照射時間と共にウェハ
上のパーティクル・レベルが悪化する。この原因のひと
つとして、成膜中に静電チャックのクーロン力の効果に
よりウェハの近傍に浮遊しているプラズマの反応生成物
あるいはチャンバの内壁面から剥落した反応生成物のパ
ーティクルが、印加電圧の極性反転に伴ってウェハの表
面に吸引されることが考えられる。
Further, in the plasma CVD, the applicant of the present application has found experimentally that when the polarity of the voltage applied to the monopolar electrostatic chuck is switched immediately after film formation and the residual charge removal plasma is irradiated, the plasma is irradiated. The particle level on the wafer deteriorates over time. One of the causes is that the reaction product particles of the plasma floating near the wafer due to the effect of the Coulomb force of the electrostatic chuck during the film formation or the reaction product particles peeled off from the inner wall surface of the chamber cause the applied voltage to decrease. It is conceivable that the film is attracted to the surface of the wafer as the polarity is reversed.

【0008】このように、逆極性の電圧印加は新たな問
題を惹起させる可能性が大きい。
As described above, there is a great possibility that application of a voltage having the opposite polarity causes a new problem.

【0009】また、残留電荷除去時にそれ以前のプラズ
マ処理に用いられた処理ガスの残留分からラジカルが発
生し、このラジカルにより既に形成されたパターンの異
方性形状が劣化するという問題も指摘されている。これ
は、通常の残留電荷除去プロセスが基板バイアスを印加
せず、ラジカル反応が優勢となる条件下で行われている
ことと関連している。
Further, it has been pointed out that radicals are generated from the residual processing gas used in the plasma treatment before removing residual charges, and the radicals deteriorate the anisotropic shape of the pattern already formed. I have. This is related to the fact that the normal residual charge removal process does not apply a substrate bias, and is performed under conditions where a radical reaction prevails.

【0010】たとえば、フルオロカーボン系ガスを用い
てSiO2 層間絶縁膜にコンタクト・ホールを開口した
後にO2 プラズマを用いて残留電荷除去を行うと、残留
フルオロカーボン系ガスの分解がO2 により促進され、
生成した大量のF* がコンタクト・ホールの異方性形状
を劣化させることが知られている。
For example, if a residual hole is removed using O 2 plasma after opening a contact hole in the SiO 2 interlayer insulating film using a fluorocarbon-based gas, the decomposition of the residual fluorocarbon-based gas is promoted by O 2 ,
It is known that a large amount of generated F * deteriorates the anisotropic shape of the contact hole.

【0011】同様の問題は、3層レジスト・プロセスに
おける下層レジスト層のエッチングにおいても発生す
る。すなわち、エッチングに用いられたO2 ガスをその
まま残留電荷の除去にも用いると、生成したO* により
下層レジスト・パターンの異方性形状が劣化する。そこ
で本発明は、逆極性の電圧印加を行うことなく、しかも
既存の装置を改造せずに、異方性形状を劣化させること
なく短時間で単極式静電チャックの残留電荷除去を行う
ことが可能なプラズマ処理方法を提供することを目的と
する。
A similar problem occurs in the etching of the lower resist layer in the three-layer resist process. That is, if the O 2 gas used for etching is also used for removing residual charges as it is, the generated O * deteriorates the anisotropic shape of the lower resist pattern. Therefore, the present invention is to remove the residual charge of a monopolar electrostatic chuck in a short time without deteriorating the anisotropic shape without applying a reverse polarity voltage and without modifying an existing device. It is an object of the present invention to provide a plasma processing method capable of performing the following.

【0012】[0012]

【課題を解決するための手段】本発明のプラズマ処理方
法は、上述の目的を達するために提案されるものであ
り、イオン密度とイオン入射エネルギーとが独立に制御
可能なプラズマ処理装置のプラズマ・チャンバ内で単極
式静電チャックを備えた基板ステージ上に基板を吸着さ
せ、処理ガスのプラズマを用いて該基板に対し所定のプ
ラズマ処理を行う第1の工程と、前記単極式静電チャッ
クへの直流電圧印加を停止し、前記チャンバ内に残留電
荷除去用ガスのプラズマを生成させ、前記基板ステージ
自己バイアスを生成させながら該単極式静電チャック
の残留電荷を除去する第2の工程とを有するものであ
る。
A plasma processing method according to the present invention is proposed to achieve the above-mentioned object, and independently controls ion density and ion incident energy.
A first step of adsorbing a substrate on a substrate stage having a monopolar electrostatic chuck in a plasma chamber of a possible plasma processing apparatus and performing predetermined plasma processing on the substrate using plasma of a processing gas; Stopping applying a DC voltage to the monopolar electrostatic chuck, generating a plasma of a residual charge removing gas in the chamber, and generating a self-bias on the substrate stage. And a second step of removing the residual charges.

【0013】かかるプラズマ処理装置としては、原理的
にイオン密度とイオン入射エネルギーとが独立に制御可
能なタイプの装置が挙げられ、ECR(電子サイクロト
ロン共鳴)プラズマ装置、ヘリコン波プラズマ装置、I
CP(Inductively Coupled Pl
asma)、TCP(Transformer Cou
pled Plasma)、ホロー・アノード型プラズ
マ装置、ヘリカル共振器プラズマ装置等をその代表例と
して挙げることができる。また、これらの装置を用途別
に分ければ、ほぼドライエッチング装置もしくはプラズ
マCVD装置のいずれかとなる。
Examples of such a plasma processing apparatus include an apparatus in which the ion density and the ion incident energy can be controlled independently in principle, such as an ECR (Electron Cyclotron Resonance) plasma apparatus, a helicon wave plasma apparatus, and an ICR plasma apparatus.
CP (Inductively Coupled Pl)
asma), TCP (Transformer Cou)
Pled Plasma), a hollow anode type plasma device, a helical resonator plasma device, and the like can be given as typical examples. Further, when these apparatuses are classified according to their uses, they are almost either dry etching apparatuses or plasma CVD apparatuses.

【0014】ここで、前記残留電荷除去用ガスは、前記
第1の工程を終了した後、プラズマ放電を継続させた状
態で前記プラズマ・チャンバ内へ供給して良い。この場
合、前記第1の工程では前記基板上の所定の材料層を実
質的にその層厚分だけ除去するジャストエッチングを行
い、前記第2の工程では前記単極式静電チャックの残留
電荷除去と同時に、前記第1の工程で用いた処理ガスの
残留分を利用したオーバーエッチングを行うことができ
る。
Here, the residual charge removing gas may be supplied into the plasma chamber with the plasma discharge continued after the first step is completed. In this case, in the first step, just etching for removing a predetermined material layer on the substrate substantially by the thickness thereof is performed, and in the second step, the residual charge removal of the monopolar electrostatic chuck is performed. At the same time, over-etching can be performed using the residual processing gas used in the first step.

【0015】あるいは、前記第1の工程と前記第2の工
程との間に、プラズマを一旦消滅させ、前記処理ガスを
前記プラズマ・チャンバ外へ排気する第3の工程を設け
ても良い。この場合、次に用いる残留電荷除去ガスは当
然前記処理ガスとは異なるガスであり、先のプラズマ処
理の結果に影響を与えない不活性ガスを適宜選択して用
いることができる。
Alternatively, between the first step and the second step, there may be provided a third step of once extinguishing the plasma and exhausting the processing gas out of the plasma chamber. In this case, the residual charge removing gas to be used next is naturally a gas different from the processing gas, and an inert gas which does not affect the result of the plasma processing can be appropriately selected and used.

【0016】[0016]

【作用】本発明のポイントは、単極式静電チャックの残
留電荷の除去をその内部電極への逆極性の直流電圧印加
により行うのではなく、自己バイアス印加により行う点
にある。この原理を、図1および図2を参照しながら説
明する。これらの図面は、低温エッチングが可能な有磁
場マイクロ波プラズマ・エッチング装置のウェハ載置電
極7と単極式静電チャック1、および関連する周辺部材
を図示したものである。
The point of the present invention is that the removal of the residual charge of the monopolar electrostatic chuck is performed not by applying a DC voltage of the opposite polarity to its internal electrode but by applying a self-bias . This principle will be described with reference to FIGS. These drawings illustrate a wafer mounting electrode 7, a monopolar electrostatic chuck 1, and related peripheral members of a magnetic field microwave plasma etching apparatus capable of low-temperature etching.

【0017】上記単極式静電チャック1は、絶縁ブロッ
ク2に埋設される内部電極3に高周波遮断フィルタ4と
スイッチ5を介して接続される直流電源6から直流電圧
を印加することにより、ウェハWを吸着するようになさ
れている。図1と図2では、上記直流電源6の極性が逆
である。図1では、スイッチ5がONの場合に内部電極
3に蓄積される電荷は負(−)なので、絶縁部材2の表
面に誘導される電荷は正(+)となる。図2ではその逆
となる。ただし、これらの図面には、スイッチ5がOF
Fとされ、絶縁部材2の表面に残留した電荷のみが図示
されている。
The single-electrode electrostatic chuck 1 is constructed by applying a DC voltage from a DC power supply 6 connected to a high-frequency cutoff filter 4 and a switch 5 to an internal electrode 3 embedded in an insulating block 2 so that the wafer can be mounted on a wafer. W is adsorbed. 1 and 2, the polarity of the DC power supply 6 is opposite. In FIG. 1, when the switch 5 is ON, the electric charge accumulated in the internal electrode 3 is negative (−), and thus the electric charge induced on the surface of the insulating member 2 is positive (+). The opposite is true in FIG. However, in these drawings, the switch 5 is
F, only charges remaining on the surface of the insulating member 2 are shown.

【0018】なお、対向アースはプラズマPを経由し、
図示されないプラズマ・チャンバ壁を通じてとられてい
る。
The opposite ground passes through the plasma P,
It is taken through the plasma chamber wall, not shown.

【0019】また、上記ウェハ載置電極7は、スイッチ
9およびブロッキング・コンデンサ10を介して接続さ
れるRF電源11により、基板バイアスを印加可能とさ
れている。なお、ウェハ載置電極7には低温エッチング
に対応するため、冷媒を循環させるための冷却配管8が
埋設されている。
The wafer mounting electrode 7 can be applied with a substrate bias by an RF power supply 11 connected via a switch 9 and a blocking capacitor 10. Note that a cooling pipe 8 for circulating a coolant is buried in the wafer mounting electrode 7 in order to cope with low-temperature etching.

【0020】いま、スイッチ9をONとしてRF電源1
1を接続した状態で、上述のごとく残留電荷を有する静
電チャック1上に残留電荷除去用ガスのプラズマPを生
成させると、基板バイアスの印加によりウェハに負の自
己バイアスがかかり、プラズマ中の正イオンがイオン・
シースSから入射する。ただし、このときの実効的なイ
オン入射は残留電荷が正(+)であれば図1に示される
ように相対的に少なくなり、残留電荷が負(−)であれ
ば図2に示されるように相対的に多くなる。つまり、残
留電荷の極性に応じてイオン入射量が自動的に制御され
ながら残留電荷が緩和されるので、残留電荷除去用プラ
ズマの照射時間を大幅に短縮することができる。
When the switch 9 is turned on and the RF power supply 1
When the plasma P of the residual charge removing gas is generated on the electrostatic chuck 1 having the residual charge as described above in a state where the substrate 1 is connected, a negative self-bias is applied to the wafer by applying the substrate bias, and Positive ions are ions
The light enters from the sheath S. However, the effective ion incidence at this time becomes relatively small as shown in FIG. 1 if the residual charge is positive (+), and as shown in FIG. 2 if the residual charge is negative (−). Relatively more. In other words, since the amount of incident ions is automatically controlled in accordance with the polarity of the residual charges, the residual charges are alleviated, so that the irradiation time of the residual charge removing plasma can be significantly reduced.

【0021】この時間短縮により、スループットが大き
く改善される。しかも、残留電荷除去時にも基板バイア
スが印加されてイオン・アシスト機構が働くので、ラジ
カル反応のみが優勢となることがない。したがって、既
に形成されたパターンの異方性形状の劣化が抑制でき
る。
[0021] Through this time reduction, the throughput is greatly improved. In addition, the substrate bias is applied even when the residual charge is removed, and the ion assist mechanism operates, so that only the radical reaction does not become dominant. Therefore, the deterioration of the anisotropic shape of the already formed pattern can be suppressed.

【0022】このスループットの改善効果は、プラズマ
処理と残留電荷除去工程とを連続放電にて行い、さらに
は残留電荷除去をオーバーエッチングと兼ねて行うこと
により、一層向上する。もちろん、オーバーエッチング
時の形状異方性は、基板バイアス印加により確保され
る。なお本発明は、残留電荷除去時のプラズマ照射時間
の短縮および基板バイアス印加により、それ以前のプラ
ズマ処理に用いられた処理ガスの残留分による影響が本
来低減されてなるものであるが、プラズマ処理後に一旦
この処理ガスを排気することにより、さらに徹底的に低
減することができる。したがって、たとえばコンタクト
・ホールや下層レジスト層のエッチングにおいても、従
来のように残留電荷除去時に異方性形状が劣化すること
がない。
The effect of improving the throughput is further improved by performing the plasma treatment and the residual charge removing step by continuous discharge, and by removing the residual charge also by performing over-etching. Of course, the shape anisotropy at the time of over-etching is ensured by applying the substrate bias. In the present invention, the influence of the residual processing gas used in the previous plasma processing is originally reduced by shortening the plasma irradiation time for removing the residual charges and applying the substrate bias. By exhausting the processing gas once later, it is possible to further reduce the exhaust gas. Therefore, for example, even in the etching of the contact hole and the lower resist layer, the anisotropic shape does not deteriorate when the residual charge is removed as in the conventional case.

【0023】[0023]

【実施例】以下、本発明の具体的な実施例について説明
する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, specific embodiments of the present invention will be described.

【0024】実施例1 本実施例は、コンタクト・ホール加工においてSiO2
層間絶縁膜をフルオロカーボン系ガスのプラズマを用い
てジャストエッチングした後、放電および基板バイアス
印加を継続させたままHeガスを導入し、Heガスによ
る残留電荷除去とフルオロカーボン系ガスの残留分によ
るオーバーエッチングとを同時に行った例である。この
プロセスにおける単極式静電チャックの電荷の状態を図
3ないし図5、ウェハの加工状態を図6ないし図8を参
照しながら説明する。
Embodiment 1 In this embodiment, SiO 2 is used for forming contact holes.
After just etching the interlayer insulating film using the fluorocarbon-based gas plasma, He gas is introduced while the discharge and the substrate bias are continuously applied, and the residual charge is removed by the He gas and the over-etching is performed by the residual portion of the fluorocarbon-based gas. Are performed at the same time. The charge state of the monopolar electrostatic chuck in this process will be described with reference to FIGS. 3 to 5, and the processing state of the wafer will be described with reference to FIGS. 6 to 8.

【0025】まず、図6にエッチング前のサンプル・ウ
ェハの状態を示す。このウェハは、予め下層配線として
不純物拡散領域22が形成されたSi基板21上に厚さ
約1μmのSiO2 層間絶縁膜23が積層され、さらに
その上に所定のパターンにレジスト・マスク24が形成
されたものである。上記レジスト・マスク24はネガ型
3成分系化学増幅系フォトレジスト材料(シプレー社
製:商品名SAL−601)を用いてKrFエキシマ・
レーザ・リソグラフィにより形成されており、上記不純
物拡散領域22に臨む直径約0.35μmの開口部を有
している。
First, FIG. 6 shows a state of a sample wafer before etching. In this wafer, an SiO 2 interlayer insulating film 23 having a thickness of about 1 μm is laminated on a Si substrate 21 on which an impurity diffusion region 22 has been previously formed as a lower wiring, and a resist mask 24 having a predetermined pattern is further formed thereon. It was done. The resist mask 24 is made of KrF excimer by using a negative type three-component chemically amplified photoresist material (trade name: SAL-601, manufactured by Shipley Co., Ltd.).
It is formed by laser lithography and has an opening having a diameter of about 0.35 μm facing the impurity diffusion region 22.

【0026】このウェハを、図3に示される状態に設定
された有磁場マイクロ波プラズマ・エッチング装置のウ
ェハ載置電極7の単極式静電チャック1に吸着させた。
これらの構成については前述したとおりである。ここで
は、内部電極3に負の直流電圧が印加されている。この
状態で、一例として下記の条件でSiO2 層間絶縁膜2
3をジャストエッチングした。
This wafer was attracted to the monopolar electrostatic chuck 1 of the wafer mounting electrode 7 of the magnetic field microwave plasma etching apparatus set in the state shown in FIG.
These configurations are as described above. Here, a negative DC voltage is applied to the internal electrode 3. In this state, as an example, the SiO 2 interlayer insulating film 2 is formed under the following conditions.
3 was just etched.

【0027】 c−C4 8 流量 15 SCCM CH2 2 流量 10 SCCM ガス圧 0.27 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 300 W(800 kH
z) ウェハ載置電極温度 −50 ℃(アルコール系冷
媒使用) エッチング時間 72 秒
C-C 4 F 8 flow rate 15 SCCM CH 2 F 2 flow rate 10 SCCM gas pressure 0.27 Pa microwave power 1200 W (2.45 GH)
z) RF bias power 300 W (800 kHz)
z) Wafer mounting electrode temperature -50 ° C (using alcohol-based refrigerant) Etching time 72 seconds

【0028】エッチング中は、RFバイアスにより誘導
されるウェハの自己バイアスに引きつけられてイオン・
シースSからCFx + が入射するため、SiO2 層間絶
縁膜23は図7に示されるように異方的にエッチングさ
れた。ただし、上記エッチング時間はジャストエッチン
グ条件を与えるものであり、ウェハ上の一部には膜厚の
10%程度の残余部23bが残っていた。
During etching, ions are attracted to the wafer's self-bias induced by the RF bias.
Since CF x + is incident from the sheath S, the SiO 2 interlayer insulating film 23 was anisotropically etched as shown in FIG. However, the above etching time gives just etching conditions, and a residual portion 23b of about 10% of the film thickness remains on a part of the wafer.

【0029】次に、上記残余部23bを除去するための
オーバーエッチングを兼ねた残留電荷除去工程に入る。
すなわち、図4に示されるようにスイッチ5をOFFと
して直流電圧印加を停止し、内部電極3の負電荷を消滅
させる。この結果、絶縁部材2の表面の正電荷が残留し
た状態となる。この間、ECR放電およびRF電源11
による基板バイアス印加は継続させておき、エッチング
・チャンバ内へHeガスを導入する。このときの放電条
件の一例を以下に示す。
Next, the process enters a residual charge removing step also serving as over-etching for removing the residual portion 23b.
That is, as shown in FIG. 4, the switch 5 is turned off to stop the application of the DC voltage, and the negative charge of the internal electrode 3 is extinguished. As a result, the positive charge on the surface of the insulating member 2 remains. During this time, ECR discharge and RF power 11
Is applied, and He gas is introduced into the etching chamber. An example of the discharge conditions at this time is shown below.

【0030】 He流量 15 SCCM ガス圧 0.67 Pa マイクロ波パワー 900 W(2.45 GH
z) RFバイアス・パワー 200 W(800 kH
z) ウェハ載置電極温度 −50 ℃(ただし初期温
度) 放電時間 60 秒
He flow rate 15 SCCM Gas pressure 0.67 Pa Microwave power 900 W (2.45 GH)
z) RF bias power 200 W (800 kHz)
z) Wafer mounting electrode temperature -50 ° C (however, initial temperature) Discharge time 60 seconds

【0031】この放電により、プラズマPを通じた残留
電荷除去が進行した。また、この放電の初期には残留フ
ルオロカーボン系ガスから生成したCFx + もまだウェ
ハWへ入射しているので、これにより残余部23bのエ
ッチングが進行し、図8に示されるように異方性形状を
有するコンタクト・ホール23aが形成された。ただ
し、ウェハWへのイオン入射量はジャストエッチング時
よりは大幅に少ない。このイオ入射量は、図5に示され
るように、残留電荷の減少に伴ってさらに減少する。
By this discharge, the removal of residual charges through the plasma P progressed. In addition, since CF x + generated from the residual fluorocarbon-based gas is still incident on the wafer W at the beginning of this discharge, the etching of the remaining portion 23b proceeds, and as shown in FIG. A contact hole 23a having a shape was formed. However, the amount of ions incident on the wafer W is much smaller than during the just etching. As shown in FIG. 5, the amount of incident ions further decreases as the residual charge decreases.

【0032】かかる機構により、本実施例ではオーバー
エッチング後に基板バイアスを印加せずに残留電荷除去
を行う従来のプロセスに比べ、プロセス時間が30秒程
度も短縮され、スループットが大きく改善された。
By such a mechanism, in the present embodiment, the process time is reduced by about 30 seconds as compared with the conventional process in which the residual charge is removed without applying a substrate bias after overetching, and the throughput is greatly improved.

【0033】なお、上記オーバーエッチング中にはウェ
ハWと静電チャック1との密着性が次第に低下するの
で、ウェハ温度は−50℃から徐々に上昇した。しか
し、ジャストエッチングまでの段階で形状異方性および
レジスト選択性が確保されていることから、実質的な悪
影響は生じなかった。
Since the adhesion between the wafer W and the electrostatic chuck 1 gradually decreases during the overetching, the wafer temperature gradually increases from -50.degree. However, since the shape anisotropy and the resist selectivity were ensured at the stage until the just etching, no substantial adverse effect occurred.

【0034】実施例2 本実施例は、ECR−CVDによる素子分離用トレンチ
の絶縁膜埋め込みプロセスにおいて、SiH4 /N2
混合ガスを用いてSiO2 絶縁膜を堆積させた後、放電
および基板バイアス印加を継続させたままHeガスを導
入して残留電荷除去を行った。このプロセスにおけるウ
ェハの加工状態を、図9および図10を参照しながら説
明する。
Embodiment 2 This embodiment is directed to a process of embedding an insulating film in a trench for element isolation by ECR-CVD using SiH 4 / N 2 O.
After depositing the SiO 2 insulating film using the mixed gas, the residual charge was removed by introducing He gas while continuing the discharge and the application of the substrate bias. The processing state of the wafer in this process will be described with reference to FIGS.

【0035】図9は、CVDを行う前のウェハ、すなわ
ちSi基板31に通常のシャロー・トレンチ・エッチン
グにより深さ約0.5μmの素子分離用トレンチ32が
形成されたウェハを示している。このウェハをECR−
CVD装置のウェハ載置電極の単極式静電チャックに吸
着させた。これらの部材の図示は省略するが、その構成
は基本的には前述したエッチング装置用の構成と同じで
ある。ただし、この場合の単極式静電チャックはウェハ
の冷却ではなく垂直保持を目的としている。また、ウェ
ハ載置電極には冷却配管の代わりにヒータが内蔵されて
おり、該電極を通じた基板バイアス印加は異方性堆積を
行うために行われる。
FIG. 9 shows a wafer before performing CVD, that is, a wafer in which an element isolation trench 32 having a depth of about 0.5 μm is formed in a Si substrate 31 by ordinary shallow trench etching. This wafer is ECR-
The wafer was attached to a single-electrode electrostatic chuck of a wafer mounting electrode of a CVD apparatus. Although illustration of these members is omitted, the configuration is basically the same as the configuration for the etching apparatus described above. However, in this case, the monopolar electrostatic chuck is intended not for cooling the wafer but for vertical holding. Further, a heater is built in the wafer mounting electrode instead of the cooling pipe, and a substrate bias is applied through the electrode to perform anisotropic deposition.

【0036】堆積条件の一例を以下に示す。 SiH4 流量 17 SCCM N2 O流量 35 SCCM ガス圧 1×10-3 Pa マイクロ波パワー 1000 W(2.45 GH
z) RFバイアス・パワー 500 W(13.56 M
Hz) ウェハ載置電極温度 250 ℃ 堆積時間 600 秒 このCVDにより、図10に示されるように、素子分離
用トレンチ32がSiO2 絶縁膜33により埋め込まれ
た。
An example of the deposition conditions is shown below. SiH 4 flow rate 17 SCCM N 2 O flow rate 35 SCCM Gas pressure 1 × 10 −3 Pa Microwave power 1000 W (2.45 GH)
z) RF bias power 500 W (13.56 M
Hz) Wafer mounting electrode temperature 250 ° C. Deposition time 600 seconds By this CVD, the element isolation trench 32 was filled with the SiO 2 insulating film 33 as shown in FIG.

【0037】次に、残留電荷除去工程に入る。すなわ
ち、単極式静電チャックの内部電極への印加を停止し、
内部電極の電荷を消滅させる。この間、ECR放電およ
びRF電源による基板バイアス印加は継続させておき、
CVDチャンバ内へHeガスを導入する。このときの放
電条件の一例を以下に示す。 He流量 50 SCCM ガス圧 1×10-3 Pa マイクロ波パワー 800 W(2.45 GH
z) RFバイアス・パワー 300 W(13.56 M
Hz) ウェハ載置電極温度 250 ℃ 放電時間 5 秒 この放電により、基板バイアス印加を行わない場合に比
べて約半分の所要時間で残留電荷除去が終了した。な
お、本実施例はCVDプロセスであるから、この過程に
おける形状異方性やウェハ温度変化に関する問題は一切
起こらなかった。
Next, a residual charge removing step is started. That is, the application to the internal electrode of the monopolar electrostatic chuck is stopped,
The charge of the internal electrode is extinguished. During this time, the ECR discharge and the application of the substrate bias by the RF power supply are continued,
He gas is introduced into the CVD chamber. An example of the discharge conditions at this time is shown below. He flow rate 50 SCCM Gas pressure 1 × 10 −3 Pa Microwave power 800 W (2.45 GH
z) RF bias power 300 W (13.56 M
Hz) Wafer mounting electrode temperature 250 ° C. Discharge time 5 seconds By this discharge, the residual charge removal was completed in about half the required time as compared with the case where no substrate bias was applied. Since the present embodiment is a CVD process, there was no problem with respect to shape anisotropy or wafer temperature change in this process.

【0038】実施例3 本実施例は、3層レジスト・プロセスにおける下層レジ
スト・エッチングにおいて、O2 ガスを用いてオーバー
エッチングまでを行った後、放電を一旦終了させてO2
ガスを排気し、Arガスのプラズマを用いて残留電荷除
去を行った例である。このプロセスにおけるウェハの加
工状態を、図11および図12を参照しながら説明す
る。
[0038] Example 3 This example is the lower layer resist etching in a three-layer resist process, after up to over-etching using O 2 gas, discharge once to terminate the O 2
This is an example in which gas is exhausted and residual charge is removed using plasma of Ar gas. The processing state of the wafer in this process will be described with reference to FIGS.

【0039】図11に、エッチング前のウェハの状態を
示す。ここで、予めシャロー・トレンチ型の素子分離領
域42が形成されたSi基板41上にゲート酸化膜を介
して1層目W−ポリサイド膜からなるゲート電極45が
形成されている。このゲート電極45の下層側は不純物
を含有する多結晶Si層43、上層側はWSix (タン
グステン・シリサイド)層44から構成される。上記ゲ
ート電極45の上にはSiO2 層間絶縁膜46を介して
2層目W−ポリサイド膜49が形成され、さらにウェハ
全体は下層レジスト層50により平坦化されている。こ
の下層レジスト層50の上に、SRAMのビット線パタ
ーンにしたがってSOG(スピン・オン・グラス)中間
層51および上層レジスト層52が形成されている。上
記2層目W−ポリサイド膜49の下層側は不純物を含有
する多結晶Si層47、上層側はWSix 層48から構
成される。また、上記SOG中間層51は、上層レジス
ト層52をマスクとしてRIE(反応性イオン・エッチ
ング)を行うことにより形成されている。
FIG. 11 shows the state of the wafer before etching. Here, a gate electrode 45 made of a first-layer W-polycide film is formed via a gate oxide film on a Si substrate 41 on which shallow trench type element isolation regions 42 are formed in advance. Polycrystalline Si layer 43 lower side of the gate electrode 45 containing an impurity, the upper layer side composed of WSi x (tungsten silicide) layer 44. A second W-polycide film 49 is formed on the gate electrode 45 via an SiO 2 interlayer insulating film 46, and the entire wafer is planarized by a lower resist layer 50. On this lower resist layer 50, an SOG (spin-on-glass) intermediate layer 51 and an upper resist layer 52 are formed in accordance with the bit line pattern of the SRAM. Polycrystalline Si layer 47 lower side of the second layer W- polycide film 49 containing an impurity, the upper side is composed of WSi x layer 48. The SOG intermediate layer 51 is formed by performing RIE (Reactive Ion Etching) using the upper resist layer 52 as a mask.

【0040】このウェハを、前出の図3に示される状態
に設定された有磁場マイクロ波プラズマ・エッチング装
置のウェハ載置電極7の単極式静電チャック1に吸着さ
せた。この状態で、一例として下記の条件で下層レジス
ト層縁膜23をエッチングした。 O2 流量 10 SCCM ガス圧 0.27 Pa マイクロ波パワー 1200 W(2.45 GH
z) RFバイアス・パワー 300 W(800 kH
z) ウェハ載置電極温度 −70 ℃(アルコール系冷
媒使用) なお、下層レジスト層50は大きな段差を被覆して形成
されているので、上記エッチングはオーバーエッチング
50%相当の時間にて行った。このエッチングにより、
図12に示されるように、良好な異方性形状を有する下
層レジスト・パターン50aが形成された。
This wafer was attracted to the monopolar electrostatic chuck 1 of the wafer mounting electrode 7 of the magnetic field microwave plasma etching apparatus set in the state shown in FIG. In this state, as an example, the lower resist layer edge film 23 was etched under the following conditions. O 2 flow rate 10 SCCM Gas pressure 0.27 Pa Microwave power 1200 W (2.45 GH
z) RF bias power 300 W (800 kHz)
z) Wafer mounting electrode temperature -70 ° C (using alcohol-based refrigerant) Since the lower resist layer 50 is formed so as to cover a large step, the above-described etching was performed for a time corresponding to 50% of over-etching. By this etching,
As shown in FIG. 12, the lower resist pattern 50a having a good anisotropic shape was formed.

【0041】次に、O2 供給とECR放電を停止してチ
ャンバ内を5秒間排気し、到達真空度を1×10-4Pa
とした。次に、残留電荷除去を行うため、一例として下
記の条件でECR放電を行った。 Ar流量 50 SCCM ガス圧 0.67 Pa マイクロ波パワー 900 W(2.45 GH
z) RFバイアス・パワー 50 W(800 kH
z) ウェハ載置電極温度 −70 ℃(ただし初期温
度) 放電時間 5 秒 本実施例によっても、基板バイアスを印加しない場合に
比べてほぼ半分の所要時間で残留電荷除去を行うことが
できた。なお、この残留電荷除去はO2 排気後に行われ
るため、下層レジスト・パターン50aの異方性形状に
は何ら悪影響が及ぶことはなかった。
Next, the supply of O 2 and the ECR discharge were stopped, the chamber was evacuated for 5 seconds, and the ultimate vacuum was set to 1 × 10 −4 Pa
And Next, in order to remove residual charges, ECR discharge was performed under the following conditions as an example. Ar flow rate 50 SCCM Gas pressure 0.67 Pa Microwave power 900 W (2.45 GH
z) RF bias power 50 W (800 kHz)
z) Wafer mounting electrode temperature −70 ° C. (however, initial temperature) Discharge time 5 seconds Also according to the present embodiment, it was possible to remove the residual charge in approximately half the required time as compared with the case where no substrate bias was applied. Since the removal of the residual charges is performed after O 2 evacuation, the anisotropic shape of the lower resist pattern 50a was not affected at all.

【0042】以上、本発明を3例の実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではない。たとえば残留電荷除去時に印加する基板
バイアスを時間と共に減衰させることにより、入射イオ
ン・エネルギーを漸減させるようにしても良い。この
他、サンプル・ウェハの構成、エッチング条件、残留電
荷除去時の放電条件、排気条件、使用するエッチング装
置やCVD装置の種類、残留電荷除去時の直流電圧の極
性等の細部が適宜変更可能であることは、言うまでもな
い。
Although the present invention has been described based on three embodiments, the present invention is not limited to these embodiments. For example, the incident ion energy may be gradually reduced by attenuating the substrate bias applied at the time of removing residual charges with time. In addition, details such as the configuration of the sample wafer, etching conditions, discharge conditions for removing residual charges, exhaust conditions, types of etching equipment and CVD equipment to be used, and the polarity of the DC voltage for removing residual charges can be changed as appropriate. It goes without saying that there is something.

【0043】[0043]

【発明の効果】以上の説明からも明らかなように、本発
明によれば単極式静電チャックの残留電荷除去を迅速
に、しかもプラズマ処理の結果に悪影響を与えることな
く行うことができ、ドライエッチングやプラズマCVD
におけるスループットが改善される。さらに、本発明の
残留電荷除去は既存の装置を改造せずに行うことがで
き、また何ら複雑な操作手順を伴うものではない。した
がって、経済性やプロセス信頼性の向上にも大きく寄与
するものである。
As is clear from the above description, according to the present invention, it is possible to quickly remove the residual charge of the single-electrode electrostatic chuck and without adversely affecting the result of the plasma processing. Dry etching and plasma CVD
Is improved. Moreover, the residual charge removal of the present invention can be performed without modifying existing devices, and does not involve any complicated operating procedures. Therefore, it greatly contributes to improvement of economy and process reliability.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明の残留電荷除去の原理を説明する模式的
断面図である。
FIG. 1 is a schematic cross-sectional view illustrating the principle of residual charge removal according to the present invention.

【図2】本発明の残留電荷除去の原理を説明する模式的
断面図である。
FIG. 2 is a schematic cross-sectional view illustrating the principle of removing residual charges according to the present invention.

【図3】本発明を適用したコンタクト・ホール加工にお
いて、エッチング中の単極式静電チャックの状態を示す
模式的断面図である。
FIG. 3 is a schematic cross-sectional view showing a state of a monopolar electrostatic chuck during etching in contact hole processing to which the present invention is applied.

【図4】図3のエッチング終了後、連続して残留電荷除
去を行っている途中の単極式静電チャックの状態を示す
模式的断面図である。
FIG. 4 is a schematic cross-sectional view showing a state of a monopolar electrostatic chuck in which residual charges are continuously removed after the etching of FIG. 3 is completed.

【図5】図4の残留電荷除去がさらに進んだ段階の単極
式静電チャックの状態を示す模式的断面図である。
5 is a schematic cross-sectional view showing the state of the monopolar electrostatic chuck at a stage where the removal of the residual charges in FIG. 4 has further progressed.

【図6】本発明を適用したコンタクト・ホール加工にお
いて、エッチング前のウェハの状態を示す模式的断面図
である。
FIG. 6 is a schematic cross-sectional view showing a state of a wafer before etching in contact hole processing to which the present invention is applied.

【図7】図6のSiO2 層間絶縁膜がジャストエッチン
グされた状態を示す模式的断面図である。
FIG. 7 is a schematic cross-sectional view showing a state where the SiO 2 interlayer insulating film of FIG. 6 is just etched.

【図8】図7のSiO2 層間絶縁膜がオーバーエッチン
グされた状態を示す模式的断面図である。
8 is a schematic cross-sectional view showing a state where the SiO 2 interlayer insulating film of FIG. 7 has been over-etched.

【図9】本発明を適用したトレンチ埋め込みにおいて、
ECR−CVDを行う前のウェハの状態を示す模式的断
面図である。
FIG. 9 is a view showing a state in which trenches are buried according to the present invention;
FIG. 4 is a schematic cross-sectional view showing a state of a wafer before performing ECR-CVD.

【図10】図9の素子分離用トレンチがSiO2 絶縁膜
で埋め込まれた状態を示す模式的断面図である。
10 is a schematic cross-sectional view showing a state in which the element isolation trench of FIG. 9 is buried with an SiO 2 insulating film.

【図11】本発明を適用した下層レジスト層のエッチン
グにおいて、エッチング前のウェハの状態を示す模式的
断面図である。
FIG. 11 is a schematic cross-sectional view showing a state of a wafer before etching in etching a lower resist layer to which the present invention is applied.

【図12】図11の下層レジスト層がエッチングされた
状態を示す模式的断面図である。
FIG. 12 is a schematic cross-sectional view showing a state where a lower resist layer of FIG. 11 is etched.

【符号の説明】[Explanation of symbols]

1 ・・・単極式静電チャック 3 ・・・内部電極 6 ・・・直流電源 7 ・・・ウェハ載置電極 11 ・・・RF電源 P ・・・プラズマ S ・・・イオン・シース 23 ・・・SiO2 層間絶縁膜 23a ・・・コンタクト・ホール 32 ・・・素子分離用トレンチ 33 ・・・SiO2 絶縁膜 50 ・・・下層レジスト層 50a ・・・下層レジスト・パターンDESCRIPTION OF SYMBOLS 1 ... Monopolar electrostatic chuck 3 ... Internal electrode 6 ... DC power supply 7 ... Wafer mounting electrode 11 ... RF power supply P ... Plasma S ... Ion sheath 23. ··· SiO 2 interlayer insulating film 23a ··· Contact hole 32 ··· Element isolation trench 33 ··· SiO 2 insulating film 50 ··· Lower resist layer 50a ··· Lower resist pattern

フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 H01L 21/68 Continuation of the front page (58) Field surveyed (Int.Cl. 7 , DB name) H01L 21/3065 H01L 21/68

Claims (4)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 イオン密度とイオン入射エネルギーとが
独立に制御可能なプラズマ処理装置のプラズマ・チャン
バ内で単極式静電チャックを備えた基板ステージ上に基
板を吸着させ、処理ガスのプラズマを用いて該基板に対
し所定のプラズマ処理を行う第1の工程と、 前記単極式静電チャックへの直流電圧印加を停止し、前
記チャンバ内に残留電荷除去用ガスのプラズマを生成さ
せ、前記基板ステージに自己バイアスを生成させながら
該単極式静電チャックの残留電荷を除去する第2の工程
とを有することを特徴とするプラズマ処理方法。
1. The ion density and the ion incident energy are
In a plasma chamber of an independently controllable plasma processing apparatus , a substrate is adsorbed on a substrate stage provided with a monopolar electrostatic chuck, and a predetermined plasma process is performed on the substrate using plasma of a processing gas. Stopping the DC voltage application to the monopolar electrostatic chuck, generating a plasma of a residual charge removing gas in the chamber, and generating a self-bias on the substrate stage. A second step of removing the residual charge of the single-electrode electrostatic chuck.
【請求項2】 前記残留電荷除去用ガスは、前記第1の
工程の終了後にプラズマ放電を継続させた状態で前記プ
ラズマ・チャンバ内へ供給することを特徴とする請求項
1記載のプラズマ処理方法。
2. The plasma processing method according to claim 1, wherein the residual charge removing gas is supplied into the plasma chamber in a state where plasma discharge is continued after the first step. .
【請求項3】 前記第1の工程では前記基板上の所定の
材料層を実質的にその層厚分だけ除去するジャストエッ
チングを行い、 前記第2の工程では前記単極式静電チャックの残留電荷
除去と同時に、前記第1の工程で用いた処理ガスの残留
分を利用して前記所定の材料層の残余部を除去するオー
バーエッチングを行うことを特徴とする請求項2記載の
プラズマ処理方法。
3. In the first step, just etching for removing a predetermined material layer on the substrate substantially by the thickness thereof is performed, and in the second step, the remaining of the monopolar electrostatic chuck is performed. 3. The plasma processing method according to claim 2, wherein, at the same time as the charge is removed, over-etching is performed to remove a remaining portion of the predetermined material layer by using a remaining amount of the processing gas used in the first step. .
【請求項4】 前記第1の工程と前記第2の工程との間
に、プラズマを一旦消滅させ、前記処理ガスを前記プラ
ズマ・チャンバ外へ排気する第3の工程を設けることを
特徴とする請求項1記載のプラズマ処理方法。
4. A method according to claim 1, further comprising a third step of temporarily extinguishing the plasma and exhausting the processing gas out of the plasma chamber between the first step and the second step. The plasma processing method according to claim 1.
JP25861493A 1993-10-15 1993-10-15 Plasma processing method Expired - Fee Related JP3319083B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP25861493A JP3319083B2 (en) 1993-10-15 1993-10-15 Plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP25861493A JP3319083B2 (en) 1993-10-15 1993-10-15 Plasma processing method

Publications (2)

Publication Number Publication Date
JPH07115085A JPH07115085A (en) 1995-05-02
JP3319083B2 true JP3319083B2 (en) 2002-08-26

Family

ID=17322728

Family Applications (1)

Application Number Title Priority Date Filing Date
JP25861493A Expired - Fee Related JP3319083B2 (en) 1993-10-15 1993-10-15 Plasma processing method

Country Status (1)

Country Link
JP (1) JP3319083B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007214171A (en) * 2006-02-07 2007-08-23 Hitachi High-Technologies Corp Etching method

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10144668A (en) * 1996-11-14 1998-05-29 Tokyo Electron Ltd Plasma treating method
KR19980055955A (en) * 1996-12-28 1998-09-25 김영환 Manufacturing method of semiconductor device
US6057244A (en) * 1998-07-31 2000-05-02 Applied Materials, Inc. Method for improved sputter etch processing
KR100384789B1 (en) * 2000-10-16 2003-05-22 주식회사 하이닉스반도체 A Plasma Etching apparatus for fabricating semiconductor
US7511936B2 (en) * 2005-07-20 2009-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for dynamic plasma treatment of bipolar ESC system
JP5174319B2 (en) * 2005-11-11 2013-04-03 株式会社日立ハイテクノロジーズ Etching processing apparatus and etching processing method
US7659184B2 (en) * 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
JP5680985B2 (en) * 2011-02-16 2015-03-04 株式会社アルバック Plasma processing method and plasma processing apparatus

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007214171A (en) * 2006-02-07 2007-08-23 Hitachi High-Technologies Corp Etching method

Also Published As

Publication number Publication date
JPH07115085A (en) 1995-05-02

Similar Documents

Publication Publication Date Title
JP3259380B2 (en) Method for manufacturing semiconductor device
US5980768A (en) Methods and apparatus for removing photoresist mask defects in a plasma reactor
US20060043066A1 (en) Processes for pre-tapering silicon or silicon-germanium prior to etching shallow trenches
US5772906A (en) Mechanism for uniform etching by minimizing effects of etch rate loading
JP2003234331A (en) Plasma etching method and apparatus
US5997757A (en) Method of forming connection hole
US20050079717A1 (en) Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
JPH06252107A (en) Dry etching method
JPH0982687A (en) Manufacture of semiconductor device
EP0954877B1 (en) Method for reducing plasma-induced charging damage
JP2002543613A (en) Techniques for etching low capacitance dielectric layers
US6432832B1 (en) Method of improving the profile angle between narrow and wide features
JPH0547712A (en) Plasma processing method and apparatus therefor
WO2006057236A1 (en) Substrate processing method and method for manufacturing semiconductor device
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
JP3277394B2 (en) Method for manufacturing semiconductor device
JP4558296B2 (en) Plasma ashing method
JP2003023000A (en) Production method for semiconductor device
JP4351806B2 (en) Improved technique for etching using a photoresist mask.
JP3319083B2 (en) Plasma processing method
JPH0729879A (en) Preparation of semiconductor device
JP4216922B2 (en) Oxide film etching method
JP4577328B2 (en) Manufacturing method of semiconductor device
JP4388645B2 (en) Plasma etching method
JP3351003B2 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20020521

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080621

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090621

Year of fee payment: 7

LAPS Cancellation because of no payment of annual fees