KR101075045B1 - A method for plasma etching performance enhancement - Google Patents

A method for plasma etching performance enhancement Download PDF

Info

Publication number
KR101075045B1
KR101075045B1 KR1020107021194A KR20107021194A KR101075045B1 KR 101075045 B1 KR101075045 B1 KR 101075045B1 KR 1020107021194 A KR1020107021194 A KR 1020107021194A KR 20107021194 A KR20107021194 A KR 20107021194A KR 101075045 B1 KR101075045 B1 KR 101075045B1
Authority
KR
South Korea
Prior art keywords
etching
etch
trench
layer
gas
Prior art date
Application number
KR1020107021194A
Other languages
Korean (ko)
Other versions
KR20100108467A (en
Inventor
즈쏭 황
루민 리
레자 사다디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US10/295,601 external-priority patent/US6833325B2/en
Priority claimed from US10/674,675 external-priority patent/US7169695B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20100108467A publication Critical patent/KR20100108467A/en
Application granted granted Critical
Publication of KR101075045B1 publication Critical patent/KR101075045B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

에칭 마스크를 통하여 층에서의 피처를 에칭하는 방법이 개시되어 있다. 보호층을 패시베이션 가스 혼합물을 이용하여 에칭 마스크의 노출면과, 피처의 수직측벽에 형성한다. 하나 이상의 에칭 케미칼과 하나 이상의 패시베이션 케미칼을 포함하는 반응성 에칭 혼합물을 이용하여 에칭 마스크를 통하여 피처를 에칭한다. A method of etching a feature in a layer through an etching mask is disclosed. A protective layer is formed on the exposed side of the etching mask and the vertical sidewalls of the feature using a passivation gas mixture. The feature is etched through the etch mask using a reactive etch mixture comprising at least one etch chemical and at least one passivation chemical.

Description

플라즈마 에칭 성능 강화를 위한 방법{A METHOD FOR PLASMA ETCHING PERFORMANCE ENHANCEMENT}A METHOD FOR PLASMA ETCHING PERFORMANCE ENHANCEMENT

본 발명은 플라즈마를 이용하여 에칭 마스크에 의해 정의되는 구조체를 통하여 에칭함으로써 반도체 웨이퍼 상의 구조체를 얻는 방법에 관한 것이다. The present invention relates to a method of obtaining a structure on a semiconductor wafer by etching through a structure defined by an etching mask using plasma.

반도체 플라즈마 에칭 애플리케이션에서는, 통상, 플라즈마 에처 (etcher) 를 이용하여, Si 웨이퍼 상의 원하는 박막 및/또는 막 스택 (도전체 또는 유전성 절연체) 의 배선패턴 및 회로 내에 포토레지스트 마스크 패턴을 전사한다. 이는 마스크 패턴의 개구 영역에서 포토레지스트 재료들의 하부에 있는 막 (및 막 스택들) 을 에칭제거하여 실시한다. 이러한 에칭 반응은 반응기 챔버라고도 하는 진공 엔클러저에 포함된 반응성 혼합물에 전기방전을 여기시켜 생성된 케미칼적 활성종 및 전기적 하전입자들 (이온들) 에 의해 개시된다. 또한, 이온들은 가스 혼합물 및 웨이퍼 재료 간에 생성되는 전기장을 통하여 웨이퍼 재료를 향하여 가속되어, 이방성 에칭이라는 방식에 의해 이온 궤도의 방향을 따라 에칭 재료들의 지향성 제거를 발생시킨다. 에칭 시퀀스의 마무리 단계에서는, 마스크 재료를 스트립하여 제거함으로써, 그 제거된 위치를 대신하여, 그 원래 의도된 마스크 패턴의 측면 패턴 복제부가 남겨진다. 이 에칭 방법은 도 1 의 (a) 내지 (c) 에 도시되어 있다. 이 방법에서는, 도 1(a) 에 나타낸 바와 같이, 플라즈마 에칭 처리를 이용하여 하부 산화물 유전체 박막 (108) 의 면에 포토레지스트 마스크 패턴 (104) 을 직접 전사한다. 이러한 에칭은 도 1(b) 에 나타낸 바와 같이, 컨택트 홀 (112) 을 생성하고, 포토레지스트 (104) 를 부식시키고 손상을 준다. 이후, 포토레지스트가 제거된 다음, 도 1(c) 에 나타낸 바와 같이, 산화물 (108) 에 컨택트홀 (112) 이 남겨진다. 에칭 처리 동안, 통상적으로, 마스크 재료는 패턴전사와 교환되어 침식되거나 및/또는 손상을 받는다. 그 결과, 또한, 일부 손상 및 부식 부분이 하부층들에 전사되어, 찰흔, CD 확대 등과 같은 원하지 않는 패턴 변형이 남겨질 수 있다. In semiconductor plasma etching applications, plasma resists are typically used to transfer photoresist mask patterns into circuits and circuits of desired thin film and / or film stacks (conductors or dielectric insulators) on Si wafers. This is done by etching away the film (and film stacks) underlying the photoresist materials in the opening region of the mask pattern. This etching reaction is initiated by chemically active species and electrically charged particles (ions) generated by exciting an electrical discharge in a reactive mixture contained in a vacuum enclosure, also called a reactor chamber. In addition, ions are accelerated toward the wafer material through an electric field generated between the gas mixture and the wafer material, causing directivity removal of the etching materials along the direction of the ion trajectory in a manner called anisotropic etching. In the finishing phase of the etching sequence, the mask material is stripped off, leaving the side pattern replica of the originally intended mask pattern in place of the removed position. This etching method is shown in Figs. 1A to 1C. In this method, as shown in Fig. 1A, the photoresist mask pattern 104 is directly transferred to the surface of the lower oxide dielectric thin film 108 using a plasma etching process. This etching creates contact holes 112 and corrodes and damages the photoresist 104, as shown in FIG. 1 (b). Thereafter, after the photoresist is removed, a contact hole 112 is left in the oxide 108, as shown in FIG. 1 (c). During the etching process, the mask material is typically exchanged with pattern transfer to erode and / or be damaged. As a result, some damaged and corroded portions may also be transferred to the underlying layers, leaving unwanted pattern deformations such as scratches, CD enlargement, and the like.

따라서, 에칭 방법의 목적은 포토레지스트 마스크 부식을 감소시켜 포토레지스트 마스크 패턴으로부터의 패턴전사의 정확성을 증가시키는 것을 포함한다. 이러한 목적을 위하여, 반응성 에칭 혼합물에 패시베이션 가스를 포함시키는 것이 제안되고 있다. 패시베이션 가스는 이러한 가스의 존재에 의해 에칭될 박막 재료들의 제거 레이트에 비하여 마스크 재료들의 에칭 손상 및 부식을 선택적으로 감소하도록 하는 방식으로 선택될 수 있다. 패시베이션 가스는 배리어로서 기능하는 마스크 재료의 표면 상에 에칭 리타데이션 코팅이 생성되어 에칭 반응의 속도가 저하되도록 선택될 수 있다. 설계에 의해, 패시베이션 가스는 에칭될 막구조의 수직면들 상에 에칭 리타데이션 코팅을 추가로 바람직하게 형성하도록 선택되어, 이온 충격이 없을 경우 에칭 반응이 진행될 수 없다. 하전입자들의 수직 궤도의 특성에 의하여, 그에 따라, 에칭은 수직방향으로만 진행할 수 있고 측면방향으로는 에칭이 거의 진행하지 않아, 이방성 에칭 프로파일을 생성한다. 따라서, 에칭 혼합물의 패시베이션 가스의 존재는 비교적 높은 에너지 지향적 이온 충격을 이용하여 보다 우수한 에칭 마스크 보호 및 보다 높은 이방성 에칭 프로파일의 이점을 갖는다는 점에서 매우 중요하다. Thus, the purpose of the etching method includes reducing photoresist mask corrosion to increase the accuracy of pattern transfer from the photoresist mask pattern. For this purpose, it has been proposed to include passivation gases in reactive etch mixtures. The passivation gas may be selected in such a way as to selectively reduce etch damage and corrosion of the mask materials relative to the removal rate of the thin film materials to be etched by the presence of such gas. The passivation gas may be selected such that an etch retardation coating is created on the surface of the mask material that acts as a barrier to slow down the etch reaction. By design, the passivation gas is selected to further preferably form an etch retardation coating on the vertical surfaces of the film structure to be etched, so that the etching reaction cannot proceed without ion bombardment. Due to the nature of the vertical trajectory of the charged particles, the etching can therefore proceed only in the vertical direction and the etching hardly progresses in the lateral direction, thereby creating an anisotropic etching profile. Thus, the presence of the passivation gas of the etch mixture is very important in that it uses the relatively high energy oriented ion bombardment to have the advantages of better etch mask protection and higher anisotropic etch profile.

반응성 가스 혼합물이 에칭 가스 및 폴리머 형성자를 포함한 상태에서 이 폴리머 형성자가 패시베이션 가스로서 기능하는 것은 이미 제안되어 있다. 이 경우, 에칭 가스는 전기방전의 여기에 의해 반응종을 크게 방출하는데, 이 반응종은 자발반응의 메카니즘에 의해 에칭될 박막 재료 뿐만 아니라 마스크 재료도 에칭한다. 자발 반응의 특성에 의해, 에칭 반응은 수직 뿐만 아니라 측면에도 진행하여 등방성 에칭 프로파일을 생성한다. 폴리머 형성자의 공존은, 에칭 구조체의 표면과 마스크 재료들의 표면에 폴리머 성막물의 생성을 통해, 이온 충격과 함께 마스크 재료 및 에칭 이방성에 대하여 동시에 높은 에칭 선택성을 발생시키는데 이용될 수 있다. It has already been proposed that this polymer former functions as a passivation gas with the reactive gas mixture comprising an etching gas and a polymer former. In this case, the etching gas releases the reactive species largely by excitation of the electric discharge, which etches the mask material as well as the thin film material to be etched by the mechanism of spontaneous reaction. Due to the nature of the spontaneous reaction, the etching reaction proceeds not only vertically but also laterally to produce an isotropic etching profile. Coexistence of the polymer former can be used to generate high etch selectivity simultaneously with respect to the mask material and etch anisotropy with ion bombardment, through the generation of polymer deposits on the surface of the etch structure and the surface of the mask materials.

또한, 반응 가스 혼합물이 폴리머 형성자 가스 및 에칭 인에이블러 (enabler) 가스를 포함하는 것도 이미 제안되어 있다. 에칭 인에이블러 가스의 기능은 폴리머 형성자 가스가 전기방전의 존재하에서 폴리머 형성자 가스와 반응하여 반응성 종을 밀도높게 방출할 수 있게 하는 것이다. 다른 방법으로는, 에칭 재료 및 마스킹 재료의 표면들에, 적절하게 선택된 패시베이션 가스를 직접 케미칼반응시킴으로써, 에칭 재료들 뿐만 아니라 마스킹 재료 상에도 리타데이션 코팅을 형성할 수 있다.It has also already been proposed that the reaction gas mixture comprises a polymer former gas and an etch enabler gas. The function of the etch enabler gas is to enable the polymer former gas to react with the polymer former gas in the presence of an electrical discharge to densely release reactive species. Alternatively, the retardation coating can be formed on the masking material as well as on the etching materials by direct chemical reaction of the appropriately selected passivation gas to the surfaces of the etching material and the masking material.

통상적으로, 상술한 방법의 공통적인 단점은, 상이한 양태의 에칭 요구사항에 대한 최적의 조건들이 일치하지 않으며, 가스를 혼합시킴으로써 각각의 전구체 가스의 몇몇 고유특성들이 상호반응들에 의해 손상될 수 있다는 점이다. 통상적으로 대부분의 에칭 조건 최적화는 별도의 에칭 케미칼을 분리시킬 경우 최적화될 수 없는 단일 에칭 조건으로의 복잡한 트레이드 오프들을 수반한다. Typically, a common drawback of the method described above is that optimal conditions for different aspects of etching requirements do not match, and that by mixing the gases some intrinsic properties of each precursor gas may be compromised by interactions. Is the point. Most etch condition optimizations typically involve complex tradeoffs to a single etch condition that cannot be optimized when separating separate etch chemicals.

발명의 명칭이 "이방성 실리콘 에칭 방법"이고 1996년 3월 26일자로 특허된 미국특허 5,501,893 호에는, 여러 에칭 방법이 교시되어 있다. 이 방법은 에칭 가스와 폴리머 형성자 가스를 2 개의 상이한 단계들로 분리하며, 각각의 단계는 한 종류의 케미칼을, 그 외의 케미칼 없이 순수하게 포함한다. 낮은 이온 에칭 충격 에너지에서는, 활성화 에너지가 마스크재료들의 표면에서 보다 에칭 재료의 표면에서의 반응이 조금 더 낮은 경우, 몇몇 에칭 자발반응에서 마스크 재료들에 대한 높은 선택도를 달성할 수 있기 때문에, 상기 방법에 의해, 낮은 이온 충격 에너지에서 신속한 에칭 레이트가 가능하다. 한편, 에칭 처리로부터 폴리머 형성자를 제거함으로써, 측면 에칭이 발생하는 것을 방지하는 리타데이션 층이 없기 때문에, 에칭 처리는 에칭이 진행하는 기간동안 필수적으로 등방성으로 된다. 또한, 에칭 혼합물에 패시베이션 가스가 없는 경우에는, 여기에 더 높은 이온 에너지를 이용하는 것을 원하는 경우, 충분한 에칭 선택성을 구하는 것이 어려워진다. 예를 들면, 많은 에칭 애플리케이션은 높은 이온 충격 에너지로부터 극소차원 구조체에서 높은 애스펙트비 구조체를 얻는 이점을 가질 수 있다. Various etching methods are taught in US Pat. No. 5,501,893, entitled "Anisotropic Silicon Etching Method" and issued March 26, 1996. This method separates the etching gas and the polymer former gas into two different stages, each stage containing one type of chemical purely without any other chemicals. At low ion etch impact energy, since the activation energy is slightly lower at the surface of the etch material than at the surface of the mask materials, it is possible to achieve high selectivity for the mask materials in some etch spontaneous reactions. By the method, a fast etching rate is possible at low ion bombardment energy. On the other hand, by removing the polymer former from the etching process, since there is no retardation layer that prevents side etching from occurring, the etching process becomes essentially isotropic during the period in which the etching proceeds. In addition, when there is no passivation gas in the etching mixture, it is difficult to obtain sufficient etching selectivity if it is desired to use higher ion energy here. For example, many etching applications may have the advantage of obtaining high aspect ratio structures in microdimensional structures from high ion bombardment energy.

추가로 제공되는 방법들은 마스크 재료들의 전체적인 내에칭성을 향상시키는 스택형 마스크 방식을 포함한다. 이것은 도 2 의 (a) 내지 (f) 에 도시되어 있다. 도 2(a) 에서는, 산화물층 (204) 이 제공된다. 도 2(b) 는 그 산화물층 위에 위치되는 하드마스크 층 (208) 을 나타낸다. 하드마스크 층 (208) 상에는, 도 2(c) 에 나타낸 바와 같이, 포토레지스트 마스크 (212) 가 위치된다. 이 포토레지스트 마스크 (212) 를 이용하여, 하드마스크 (208) 를 패터닝하여, 패터닝된 하드마스크층 (214) 을 형성한 다음, 도 2(d) 에 도시된 바와 같이, 포토레지스트 층 (212) 을 제거할 수 있다. 도 2(e) 에 도시된 바와 같이, 패터닝된 하드마스크 층 (214) 을 마스크로서 이용하여 산화물층 (204) 내에 컨택트홀 (216) 을 에칭한다. 이후, 도 2(f) 에 도시된 바와 같이, 하드마스크를 제거하고 산화물층 (204) 내에 컨택트 (216) 를 남겨둔다. Further provided methods include a stacked mask scheme that improves the overall etching resistance of the mask materials. This is shown in Figs. 2A to 2F. In FIG. 2A, an oxide layer 204 is provided. 2 (b) shows a hardmask layer 208 positioned over the oxide layer. On the hardmask layer 208, a photoresist mask 212 is located, as shown in FIG. 2 (c). Using this photoresist mask 212, the hard mask 208 is patterned to form the patterned hard mask layer 214, and then the photoresist layer 212 is shown in Fig. 2D. Can be removed. As shown in FIG. 2E, the contact hole 216 is etched in the oxide layer 204 using the patterned hardmask layer 214 as a mask. Thereafter, as shown in FIG. 2 (f), the hard mask is removed and the contact 216 is left in the oxide layer 204.

이러한 방법의 이점은 하부막들에 패턴 (회로 및 배선) 을 전사할 곳에 보다 비활성인 마스크를 가짐으로써, 에칭 성능이 보다 강화되고 에칭 및 포토리소그래피에 대한 요구사항이 보다 감소된다는 점이다. 이러한 방법의 단점은 신규 처리단계 및 신규 툴 세트들을 처리 플로우 내에 도입함으로써, 비용이 보다 높아지고 전체적인 스루풋이 낮아진다는 점이다. 또한, 추가 처리 복잡성 자체도 어려움을 도입한다. 예를 들어, 유연체 컨택트 에칭 애플리케이션에 이용되는 Si 하드마스크는 포토레지스트 마스크로서 쉽게 스트립되지 않는다. The advantage of this method is that having a mask which is more inactive where the pattern (circuit and wiring) is to be transferred to the underlying films, the etching performance is further enhanced and the requirements for etching and photolithography are further reduced. The disadvantage of this method is that the introduction of new processing steps and new tool sets into the processing flow results in higher costs and lower overall throughput. In addition, the additional processing complexity itself introduces difficulties. For example, Si hardmasks used in flexible contact etch applications are not easily stripped as photoresist masks.

측면 CD 손실 또는 손상 없이 에칭층에 마스크 패턴을 전사하는 것에 더하여, 이미 에칭 층에 제공된 측면 패턴의 측면 CD 는 에칭 층을 에칭하는 처리동안 많은 에칭 애플리케이션시 보전될 필요가 있을 수 있다. 통상적으로, 이들 에칭 층 패턴은 에칭 마스크 재료들에 의해 보호되지 않는다. 에칭 애플리케이션의 이러한 분류의 설명은 플라즈마 에칭에 의한 듀얼 다마신 (Damascene) 구조체의 형성의 예를 이용하여 주어진다. In addition to transferring the mask pattern to the etch layer without side CD loss or damage, the side CD of the side pattern already provided in the etch layer may need to be preserved in many etching applications during the process of etching the etch layer. Typically, these etch layer patterns are not protected by etch mask materials. A description of this classification of etch applications is given using an example of the formation of dual damascene structures by plasma etching.

보다 쉬운 설명을 위하여, 도 9a 는 종래기술의 듀얼 다마신 처리에 이용되는 웨이퍼 (110) 상의 스택 (900) 단면도를 나타낸다. 컨택트 (904) 는 웨이퍼 (910) 를 통하여 유전체층 (908) 에 위치될 수 있다. 실리콘 질화물 또는 실리콘 탄화물로 이루어질 수 있는 배리어 층 (912) 은 구리 확산을 방지하기 위하여 컨택트 (904) 상에 위치될 수 있다. 비아 레벨 실리콘 산화물 유전체층 (916) 은 배리어 층 (912) 상에 위치될 수 있다. 트렌치 정지 층 (920; 실리콘 탄화물 또는 실리콘 질화물) 은 비아 레벨 유전체 (916) 위에 위치될 수 있다. 트렌치 레벨 실리콘 산화물 유전체층 (924) 은 트렌치 정지 층 (920) 상에 위치될 수 있다. 반사방지 층 (ARL; 928) 은 트렌치 유전체층 (924) 상에 위치될 수 있다. 패터닝된 레지스트 층 (932) 은 ARL (928) 상에 위치될 수 있다. ARL (928) 은 실리콘 질화물, SiON, 또는 고굴절율 및 고흡광계수를 가진 그 외의 다른 재료로부터 형성될 수 있다. For easier explanation, FIG. 9A shows a cross-sectional view of the stack 900 on the wafer 110 used for dual damascene processing in the prior art. Contact 904 may be located in dielectric layer 908 through wafer 910. Barrier layer 912, which may be made of silicon nitride or silicon carbide, may be located on contact 904 to prevent copper diffusion. Via level silicon oxide dielectric layer 916 may be located on barrier layer 912. Trench stop layer 920 (silicon carbide or silicon nitride) may be positioned over via level dielectric 916. Trench level silicon oxide dielectric layer 924 may be located on trench stop layer 920. An antireflective layer (ARL) 928 may be located on the trench dielectric layer 924. Patterned resist layer 932 may be located on ARL 928. ARL 928 may be formed from silicon nitride, SiON, or other materials with high refractive index and high extinction coefficient.

도 10 은 듀얼 다마신 구조체 내에 스택 (900) 을 형성하는 종래기술에 이용되는 처리의 하이레벨 플로우 차트를 나타낸다. 스택 (900) 은 에칭을 수행받을 수 있으며, 이는 배리어 층 (912) 아래로 비아 (940) 를 에칭한다 (단계 1004). 비아 (940) 의 에칭은 측벽을 형성하는 크러스트 (944; crust) 를 형성할 수 있다. 크러스트 (944) 와 레지스트 (932) 는 제거될 수 있으며, 후속하여, 신규 레지스트 층 (960) 으로 재패터닝되는데, 도 9c 에 도시된 바와 같이, 이 신규 레지스트 층은 패터닝되어 트렌치가 형성된다 (단계 1008). 스택은 에칭을 수행받을 수 있으며, 도 9d 에 도시된 바와 같이, 이는 중간 트렌치 에칭 정지 층 (920) 아래까지 트렌치 (964) 를 에칭한다 (단계 1012). 트렌치 (964) 의 에칭은 비아 레벨 유전체층 (916) 의 부분에 면형성부 (972; facet) 를 형성한다. 이러한 면형성은 듀얼 다마신 구조체에 대한 손상부로서 고려될 수 있다. 중간 트렌치 에칭 정지 층 (920) 은 이러한 면형성을 감소시키는데 이용될 수 있다. 또한, 트렌치 (964) 의 에칭은 신규 크러스트 (968) 를 형성할 수 있는데, 이 신규 크러스트는 측벽을 형성한다. 이후, 레지스트 층 (160) 과 크러스트는 스트립될 수 있다 (단계 1016). 스택 (900) 은 배리어 층 에칭을 수행받을 수 있으며 (단계 1020), 이 에칭은 구리 컨택트 (904) 까지 비아 (940) 를 개구하여, 도 9e 에 나타낸 구조체를 제공한다. 도 9f 에 나타낸 바와 같이, 금속 배리어 층 (974) 은 구리 컨택트 상에 성막될 수 있다 (단계 1024). 이후, 구리 시드 층 (976) 을 이용하여 비아와 트렌치의 내부를 코팅할 수 있다. 구리 (978) 를 가지고 트렌치와 비아를 충전시키는데는 전해도금을 이용할 수 있는데 이 구리는 트렌치 유전체층 (924) 아래까지 폴리싱된다. 구리 (978) 는 다음 레벨에 대한 구리 접속부로서 이용될 수 있으며, 이러한 처리들을 반복하여 구리 접속부들과 유전체층의 다중레벨을 형성한다. 10 shows a high level flow chart of the process used in the prior art to form a stack 900 in a dual damascene structure. Stack 900 may be subjected to etching, which etches via 940 under barrier layer 912 (step 1004). Etching the via 940 can form a crust 944 forming the sidewalls. The crust 944 and resist 932 can be removed and subsequently repatterned with a new resist layer 960, as shown in FIG. 9C, the new resist layer is patterned to form a trench (step 1008). The stack may be etched, as shown in FIG. 9D, which etches trench 964 down to the intermediate trench etch stop layer 920 (step 1012). Etching trench 964 forms a facet 972 in the portion of via level dielectric layer 916. Such faceting can be considered as damage to the dual damascene structure. Intermediate trench etch stop layer 920 may be used to reduce this planarity. In addition, etching of trench 964 may form a new crust 968, which forms a sidewall. The resist layer 160 and the crust can then be stripped (step 1016). The stack 900 can be subjected to a barrier layer etch (step 1020), which opens the via 940 up to the copper contact 904, providing the structure shown in FIG. 9E. As shown in FIG. 9F, a metal barrier layer 974 may be deposited on copper contacts (step 1024). The copper seed layer 976 can then be used to coat the interior of the vias and trenches. Electroplating may be used to fill the trenches and vias with copper 978, which is polished down to the trench dielectric layer 924. Copper 978 can be used as a copper connection for the next level, repeating these processes to form multiple levels of copper connections and dielectric layer.

중간 트렌치 에칭 정지 층을 이용하여 면형성을 감소시킬 수 있었지만, 중간 트렌치 에칭 정지 층을 제공하여 에칭하는 것은 추가 처리 단계들을 요구하여 시간과 비용을 증가시킨다. Although planarization can be reduced using an intermediate trench etch stop layer, providing and etching an intermediate trench etch stop layer requires additional processing steps, increasing time and cost.

또한, 집적회로는 통상적으로 실리콘 이산화물 (Si02) 로부터 형성되는 유전체층을 이용하여 반도체 구조체의 여러 층들 상에 도전성 배선들을 절연시킨다. 반도체 회로는 보다 고속이고 소형으로 될수록, 동작주파수가 증가하고 반도체 장치 내의 도전성 배선들 사이의 거리가 감소된다. 이러한 점은 회로에 증가된 레벨의 결합 용량을 도입시키는데, 이는 반도체 디바이스의 동작을 느리게 하는 결함을 갖는다. 따라서, 이러한 증가하는 결합 용량 레벨들에 대항하여 도전성 배선들을 효과적으로 절연시킬 수 있는 유전체층을 이용하는 것이 중요하게 된다.Also, integrated circuits typically insulate conductive wires on various layers of a semiconductor structure using a dielectric layer formed from silicon dioxide (Si0 2 ). As the semiconductor circuit becomes faster and smaller, the operating frequency increases and the distance between the conductive wires in the semiconductor device decreases. This introduces an increased level of coupling capacity into the circuit, which has the drawback of slowing the operation of the semiconductor device. Therefore, it is important to use a dielectric layer that can effectively insulate the conductive wires against these increasing coupling capacitance levels.

통상적으로, 집적회로 내에서의 결합용량은 유전체층을 형성하는데 이용되는 재료의 유전상수 (k) 에 직접 비례한다. 상술한 바와 같이, 통상적으로, 종래의 집적회로들의 유전체층들은 Si02 로부터 형성되어졌으며, 이는 약 4.0인 유전상수를 가진다. 반도체 장치에서의 배선밀도와 동작 주파수의 증가의 결과로서, Si02 로부터 형성되는 유전체층들은 증가된 결합 용량 레벨들을 방지하기 위해 요구되는 정도까지 도전성 배선들을 효과적으로 절연시킬 수 없다. Typically, the coupling capacitance in an integrated circuit is directly proportional to the dielectric constant k of the material used to form the dielectric layer. As mentioned above, typically, dielectric layers of conventional integrated circuits have been formed from Si0 2 , which has a dielectric constant of about 4.0. As a result of the increase in wiring density and operating frequency in the semiconductor device, the dielectric layers formed from SiO 2 cannot effectively insulate the conductive wirings to the extent required to prevent increased coupling capacitance levels.

집적회로에서의 결합용량 레벨들을 감소시키기 위하여, 반도체 산업은 집적 회로 내에 유전체층들을 형성하는데 이용하기 적합하고 Si02 의 유전상수보다 낮은 유전상수를 가지는 재료들을 개발하는 연구에 관심이 집중되어 있다. 때때로 "로우-k 재료 (low-k materials)" 라 하는 많은 유망한 재료들이 개발되고 있다. 본 명세서 및 청구범위에서는, 로우-k 재료를 4 미만의 유전상수 (k) 를 가지는 재료로서 정의한다. 약 3.7 의 유전상수를 가지는 저유전율 유전체의 일 예로는 플루오로실리케이트 유리가 있다. 이는 Si02 내에 도핑된 약 7% 내지 9% 의 플루오르화물로 구성된다. In order to reduce the coupling capacitance levels in integrated circuits, the semiconductor industry is focused on the development of materials suitable for use in forming dielectric layers in integrated circuits and having a dielectric constant lower than that of Si0 2 . Many promising materials, sometimes referred to as "low-k materials", are being developed. In this specification and claims, low-k materials are defined as materials having a dielectric constant k of less than four. One example of a low dielectric constant dielectric having a dielectric constant of about 3.7 is fluorosilicate glass. It consists of about 7% to 9% fluoride doped in Si0 2 .

또 다른 로우-k 재료의 관심 분야는 유기실리케이트 유리, 또는 OSG 를 포함하는 화합물들이다. 예를 들어, 이러한 유기실리케이트 유전체는 이에 한정되는 것은 아니지만, 미국 캘리포니아 산호세에 있는 Novellus 사의 CORALTM, 캘리포니아 산타클라라의 어플라이드 머티리얼즈 사의 Black DiamondTM, 네덜란드의 ASM International N. V. 사의 AuroraTM, 캘리포니아 산타클라라의 스미토모 케미칼 아메리카 사의 Sumika Film®, 및 뉴저지 모리스타운의 Allied Signal 사의 HOSPTM 을 포함한다. 유기실리케이트 유리 재료는 실리콘 이산화물 격자 내에 카본원자와 산소원자가 포함되어 있어 밀도가 낮아지므로, 재료의 유전상수도 낮아진다. 이러한 막의 유전상수는 통상적으로 3.0 미만이다. Another area of interest for low-k materials is organosilicate glass, or compounds comprising OSG. For example, such organosilicate genomes include, but are not limited to, CORAL ™ from Novellus, San Jose, California, Black Diamond from Applied Materials, Santa Clara, California, Aurora from ASM International NV, the Netherlands, and Santa Clara, California. Sumitomo Chemical America, Inc. Sumika Film ®, and includes Allied Signal, Inc. HOSP TM Morristown, New Jersey. Since the organosilicate glass material contains carbon atoms and oxygen atoms in the silicon dioxide lattice, the density is low, so that the dielectric constant of the material is also low. The dielectric constant of such membranes is typically less than 3.0.

보다 쉬운 설명을 위하여, 도 11a 는 트렌치 정지 층 없이 저유전율 유전체를 이용하는 다마신 구조체의 제조시 웨이퍼의 부분단면도를 나타낸다. 컨택트 (1104) 는 웨이퍼 (1110) 상의 저유전율 유전체층 (1108) 내에 위치될 수 있다. 제 2 컨택트 (1106) 도 또한 저유전율 유전체층 (1108) 내에 위치될 수 있다. 유전체 배리어 층 (1112) 은 통상적으로, 이에 한정되는 것은 아니지만, 실리콘 질화물, 또는 실리콘 탄화물을 포함하며, 컨택트 (1104) 상에 위치되어 구리 확산을 방지할 수 있다. 저유전율 유전체층 (1120) 은 배리어 층 (1112) 상에 위치될 수 있다. 반사방지층 (ARL; 1128) 은 저유전율 유전체층 (1120) 상에 위치될 수 있다. 패터닝된 레지스트 층 (1132) 은 ARL (1128) 상에 위치될 수 있다. 패터닝된 레지스트 층 (1132) 은 패터닝되어 비아 (1140) 를 제공하는데, 이 비아는 저유전율 유전체층 (1120) 내에 에칭된다. 도 11b 에 나타낸 바와 같이, 레지스트 층 (1132) 은 제거된 다음 제 2 패터닝된 레지스트 층 (1160) 이 ARL (1128) 상에 위치된다. 제 2 레지스트 층 (1160) 은 패터닝되어 트렌치 (1164) 를 제공하는데, 이 트렌치는 저유전율 유전체층 (1120) 내에 에칭된다. For easier explanation, FIG. 11A shows a partial cross-sectional view of the wafer in the fabrication of a damascene structure using a low dielectric constant without a trench stop layer. Contact 1104 may be located in low dielectric constant dielectric layer 1108 on wafer 1110. Second contact 1106 may also be located within low-k dielectric layer 1108. Dielectric barrier layer 1112 typically includes, but is not limited to, silicon nitride, or silicon carbide, and may be positioned on contact 1104 to prevent copper diffusion. Low dielectric constant dielectric layer 1120 may be located on barrier layer 1112. An antireflective layer (ARL) 1128 may be located on the low dielectric constant dielectric layer 1120. Patterned resist layer 1132 may be located on ARL 1128. Patterned resist layer 1132 is patterned to provide vias 1140, which are etched into low dielectric constant dielectric layer 1120. As shown in FIG. 11B, the resist layer 1132 is removed and then a second patterned resist layer 1160 is placed on the ARL 1128. The second resist layer 1160 is patterned to provide a trench 1164, which is etched into the low dielectric constant dielectric layer 1120.

중간 트렌치 에칭 정지 층의 부재와 저유전율 유전체의 이용에 의해, 이 예에서는, 면형성부 (1172) 가 증가될 수 있다. 이러한 면형성에 의해, 비아와 트렌치 내에 충전되는데 이용되는 구리가 제 2 컨택트 (1106) 와 너무 근접할 수 있다. 또한, 이는 비아의 바닥 크기를 증가시킬 수 있다. By the absence of an intermediate trench etch stop layer and the use of a low dielectric constant dielectric, in this example, the planar portion 1172 can be increased. By such planarization, the copper used to fill in the vias and trenches may be too close to the second contact 1106. This may also increase the bottom size of the vias.

보다 쉬운 이해를 위하여, 도 12a 는 트렌치 정지 층 없이 저유전율 유전체를 이용한 다마신 구조체의 제조시 웨이퍼의 부분 단면도를 나타낸다. 제 1 컨택트 (1204) 와 제 2 컨택트 (1206) 는 웨이퍼 (1210) 상의 저유전율 유전체층 (1208) 내에 위치될 수 있다. 유전체 배리어 층 (1212) 은 이에 한정되는 것은 아니지만 통상적으로 실리콘 질화물 또는 실리콘 탄화물로 이루어지며, 제 1 컨택트 (1204) 와 제 2 컨택트 (1206) 상에 위치되어 구리 확산을 방지할 수 있다. 저유전율 유전체층 (1220) 은 배리어 층 (1212) 상에 위치될 수 있다. 제 1 비아 (1240) 와 제 2 비아 (1244) 는 저유전율 유전체층 (1220) 내에 에칭될 수 있다. 하부 반사방지코팅 (BARC) 층 (1228) 은 저유전율 유전체층 (1220) 상에서 스피닝 (spin) 될 수 있다. 이러한 BARC 상의 스피닝은 적어도 부분적으로 비아 (1240, 1244) 들을 충전시키고 비아의 측벽과 플러그를 형성하기 쉽다. 통상적으로, 보다 가는 비아는 보다 넓은 비아들이 충전되는 깊이보다 더 높은 깊이로 BARC 로 충전된다. 또한, 보다 멀리 이격되어 확산되어 있는 비아들은 보다 근접하게 밀집되어 있는 비아들보다 더 높게 충전될 수 있다. 그 결과, 균일한 높이까지 충전된 바이들을 갖기가 어려워질 수 있다. For easier understanding, FIG. 12A shows a partial cross-sectional view of the wafer in the fabrication of a damascene structure using a low dielectric constant dielectric without a trench stop layer. The first contact 1204 and the second contact 1206 may be located in the low dielectric constant dielectric layer 1208 on the wafer 1210. The dielectric barrier layer 1212 is typically, but not limited to, made of silicon nitride or silicon carbide and may be positioned on the first contact 1204 and the second contact 1206 to prevent copper diffusion. Low dielectric constant layer 1220 may be located on barrier layer 1212. First via 1240 and second via 1244 may be etched into low-k dielectric layer 1220. Lower antireflective coating (BARC) layer 1228 may be spun on low dielectric constant dielectric layer 1220. Spinning on this BARC is at least partially easy to fill vias 1240 and 1244 and form plugs and sidewalls of the vias. Typically, thinner vias are filled with BARC to a depth higher than the depth at which wider vias are filled. Also, vias that are spaced farther apart may be filled higher than vias that are more closely packed. As a result, it may be difficult to have vias filled up to a uniform height.

도 12b 는 트렌치 (1248, 1252) 가 에칭되어진 이후의 웨이퍼의 부분단면도를 나타낸다. 비아 내의 BARC 제공은 펜스부 (fence; 1256, 1260) 를 형성하며, 또한, 면형성부 (1262, 1264) 를 형성한다. 면형성정도 및 면형성크기는 BARC 의 높이에 의존한다. 따라서, 불균일한 BARC높이에 의해 불균일한 펜스부와 면형성부를 형성할 수 있다. 펜스부는 전자이동 (electro-migration), 보이드 및 그 외의 결함들을 형성할 수 있는 스트레스 위치일 수 있으며, 결과적인 반도체 장치의 신뢰성을 감소시킬 수 있다. 12B shows a partial cross-sectional view of the wafer after trenches 1248 and 1252 have been etched. BARC provision in the vias form fences 1256 and 1260 and also form planarization parts 1262 and 1264. The degree of face formation and the size of face formation depend on the height of the BARC. Therefore, the nonuniform fence portion and the surface forming portion can be formed by the nonuniform BARC height. The fence portion may be a stress location that may form electro-migration, voids and other defects, and may reduce the reliability of the resulting semiconductor device.

또한, 플러그 충전과 스트립이 처리 플로우에 추가 비용과 복잡도를 증가시킨다. 또한, 이러한 플러그들은 추후의 유전체 재료들에 유전체적 악영향을 줄 수 있다. 플러그 충전이 없는 경우에는, 면형성과 같은 메카니즘에 의한 부식에 의해 비아 홀들의 CD 의 억제 및 증가를 어렵게 할 수 있다. 본 발명의 목적은 층 또는 층들의 스택에서의 피처 (feature) 를 에칭하기 위한 일반적인 방법을 제공하여, 마스크 재료 뿐만 아니라 정지층들에 대해서도 높은 에칭 이방성과 높은 선택도를 동시에 가지고 마스크 재료에 의해 형성되는 측면 패턴의 높은 정확도의 복제를 실현하는 것이다. 또한, 본 발명은 에칭 층 측면 패턴들에 대한 하부 측면 CD 의 손실이나 손상 없이 희생 충전재에 의해 보호되는 불충분하게 보호되거나 전혀 보호되지 않고 에칭 마스크에 의해 커버되지 않는 에칭층에 이미 제공된 측면 패턴을 에칭하기 위한 일반적인 방법을 제공하기 위한 것이다. In addition, plug filling and strips add additional cost and complexity to the processing flow. In addition, these plugs can adversely affect dielectric materials in the future. In the absence of plug filling, corrosion by mechanisms such as surface formation can make it difficult to suppress and increase the CD of the via holes. It is an object of the present invention to provide a general method for etching a feature in a layer or stack of layers, formed by the mask material simultaneously with high etch anisotropy and high selectivity not only for the mask material but also for the stop layers. It is to realize a high accuracy copy of the side pattern. In addition, the present invention etches the side pattern already provided in the etch layer which is insufficiently protected or not protected at all by the sacrificial filler without loss or damage of the lower side CD to the etch layer side patterns and not covered by the etch mask. It is to provide a general method for doing so.

본 발명의 목적은 층 또는 층들의 스택에서의 피처 (feature) 를 에칭하기 위한 일반적인 방법을 제공하여, 마스크 재료 뿐만 아니라 정지층들에 대해서도 높은 에칭 이방성과 높은 선택도를 동시에 가지고 마스크 재료에 의해 형성되는 측면 패턴의 높은 정확도의 복제를 실현하는 것이다. 또한, 본 발명은 에칭 층 측면 패턴들에 대한 하부 측면 CD 의 손실이나 손상 없이 희생 충전재에 의해 보호되는 불충분하게 보호되거나 전혀 보호되지 않고 에칭 마스크에 의해 커버되지 않는 에칭층에 이미 제공된 측면 패턴을 에칭하기 위한 일반적인 방법을 제공하기 위한 것이다.  It is an object of the present invention to provide a general method for etching a feature in a layer or stack of layers, formed by the mask material simultaneously with high etch anisotropy and high selectivity not only for the mask material but also for the stop layers. It is to realize a high accuracy copy of the side pattern. In addition, the present invention etches the side pattern already provided in the etch layer which is insufficiently protected or not protected at all by the sacrificial filler without loss or damage of the lower side CD to the etch layer side patterns and not covered by the etch mask. It is to provide a general method for doing so.

상술한 본 발명의 목적을 달성하기 위하여, 에칭 마스크를 통하여 층의 피처를 에칭하기 위한 방법이 제공된다. 패시베이션 가스 혼합물을 이용하여 에칭 마스크의 노출면들과 피처의 수직 측벽들 상에 보호층을 형성한다. 하나 이상의 에칭 케미칼과 하나 이상의 패시베이션 케미칼을 포함하는 반응성 에칭 혼합물을 이용하여 에칭 마스크를 통하여 피처를 에칭한다. In order to achieve the above object of the present invention, a method for etching a feature of a layer through an etching mask is provided. The passivation gas mixture is used to form a protective layer on the exposed surfaces of the etch mask and the vertical sidewalls of the feature. The feature is etched through the etch mask using a reactive etch mixture comprising at least one etch chemical and at least one passivation chemical.

본 발명의 또 다른 실시형태에서, 에칭 마스크 아래에 있는 층을 에칭하기 위한 장치가 제공되며, 여기서, 층은 기판에 의해 지지된다. 플라즈마 처리 챔버 엔클로저를 형성하는 챔버벽을 포함하는 플라즈마 처리 챔버, 플라즈마 처리 챔버 엔클로저 내에서 기판을 지지하기 위한 기판 지지부, 플라즈마 처리 챔버 엔클로저 내에서의 압력을 조정하기 위한 압력 레귤레이터, 플라즈마를 지속시키기 위하여 플라즈마 처리 챔버 엔클로저에 전력을 급전하는 하나 이상의 전극, 플라즈마 처리 챔버 엔클로저 내에 가스를 제공하는 가스 도입구, 및 플라즈마 처리 챔버 엔클로저로부터 가스를 배기하는 가스 배기구가 제공된다. 성막 가스 소스와 에천트 가스 소스가 제공된다. 플라즈마 처리 챔버의 가스 도입구와 성막 가스 소스 사이의 유체 연결부의 제 1 제어 밸브, 및 플라즈마 처리 챔버의 가스 도입구와 에천트 가스 소스 사이의 유체 연결부의 제 2 밸브가 제공된다. 제 1 제어 밸브, 제 2 제어 밸브 및 하나 이상의 전극에 제어가능하게 접속되고 하나 이상의 프로세서 및 컴퓨터 판독가능 매체를 포함하는 제어기가 제공된다. 컴퓨터 판독가능 매체는 하나 이상의 성막 단계 동안 제 1 제어 밸브를 개방하여, 성막 가스 소스로부터 플라즈마 처리 챔버 엔클로저로 성막 가스를 제공하기 위한 컴퓨터 판독가능 코드, 하나 이상의 성막 단계 동안 제 2 제어 밸브를 폐쇄하여 에천트 가스 소스로부터 에칭 가스가 플라즈마 처리 챔버 엔클로저에 진입하는 것을 방지하기 위한 컴퓨터 판독가능 코드, 하나 이상의 에칭 단계 동안 제 2 제어 밸브를 개방하여 에천트 가스 소스로부터 플라즈마 처리 챔버로 에칭 가스를 제공하기 위한 컴퓨터 판독가능 코드, 및 하나 이상의 에칭 단계 동안 하나 이상의 전극에 에너지를 공급하여 기판 상에 250볼트보다 큰 바이어스를 제공하기 위한 컴퓨터 판독가능 코드를 포함한다. In another embodiment of the present invention, an apparatus for etching a layer under an etch mask is provided, wherein the layer is supported by a substrate. A plasma processing chamber comprising a chamber wall forming a plasma processing chamber enclosure, a substrate support for supporting a substrate in the plasma processing chamber enclosure, a pressure regulator for adjusting pressure in the plasma processing chamber enclosure, to sustain the plasma One or more electrodes for powering the plasma processing chamber enclosure, a gas inlet for providing gas within the plasma processing chamber enclosure, and a gas exhaust port for exhausting gas from the plasma processing chamber enclosure are provided. A deposition gas source and an etchant gas source are provided. A first control valve of the fluid connection between the gas inlet of the plasma processing chamber and the deposition gas source and a second valve of the fluid connection between the gas inlet of the plasma processing chamber and the etchant gas source are provided. A controller is provided controlably connected to a first control valve, a second control valve and one or more electrodes, the controller comprising one or more processors and computer readable media. The computer readable medium may be configured to open a first control valve during one or more deposition steps, thereby providing computer readable code for providing deposition gas from the deposition gas source to the plasma processing chamber enclosure, closing the second control valve during the one or more deposition steps. Computer readable code for preventing the etching gas from entering the plasma processing chamber enclosure from the etchant gas source, opening the second control valve during one or more etching steps to provide the etching gas from the etchant gas source to the plasma processing chamber. And computer readable code for energizing one or more electrodes during the one or more etching steps to provide a bias greater than 250 volts on the substrate.

본 발명의 또 다른 실시형태에서, 듀얼 다마신 피처를 형성하기 위한 방법이 제공된다. 비아들이 에칭층에 형성된다. 트렌치 패터닝된 마스크가 에칭층 상에 제공된다. 트렌치가 에칭되며, 여기서 트렌치 에칭은 비아들의 측벽 상에 보호측벽을 형성하고 트렌치 패터닝된 마스크를 통하여 트렌치를 에칭하는 사이클을 포함한다. 이후, 마스크는 스트립된다. In another embodiment of the present invention, a method for forming dual damascene features is provided. Vias are formed in the etch layer. A trench patterned mask is provided on the etch layer. The trench is etched, where the trench etching includes a cycle of forming a protective sidewall on the sidewalls of the vias and etching the trench through the trench patterned mask. The mask is then stripped off.

본 발명의 또 다른 양태는, 에칭 마스크 아래에 있는 층을 에칭하기 위한 장치가 제공되며, 여기서 층은 기판에 의해 지지된다. 플라즈마 처리 챔버가 제공된다. 플라즈마 처리 챔버는 플라즈마 처리 챔버 엔클로저를 형성하는 챔버 벽, 플라즈마 처리 챔버 엔클로저 내에서 기판을 지지하기 위한 기판 지지부, 플라즈마 처리 챔버 엔클로저에서의 압력을 조정하기 위한 압력 레귤레이터, 플라즈마를 지속시키기 위하여 플라즈마 처리 챔버 엔클로저에 전력을 급전하는 하나 이상의 전극, 플라즈마 처리 챔버 엔클로저 내에 가스를 제공하기 위한 가스 도입구, 및 플라즈마 처리 챔버 엔클로저로부터 가스를 배기하기 위한 배기구를 포함한다. 성막 가스 소스와 에천트 가스 소스가 제공된다. 제 1 제어 밸브는 플라즈마 처리 챔버의 가스 도입구와 성막 가스 소스 사이의 유체 연결부에 있다. 제 2 제어 밸브는 플라즈마 처리 챔버와 에천트 가스 소스 사이의 유체 연결부에 있다. 제어기는 제 1 제어밸브, 제 2 제어밸브 및 하나 이상의 전극에 제어가능하게 접속된다. 제어기는 하나 이상의 프로세서와 컴퓨터 판독가능 매체를 포함한다. 컴퓨터 판독가능 매체는 하나 이상의 성막 단계 동안 제 1 제어 밸브를 개방하여, 성막 가스 소스로부터 플라즈마 처리 챔버 엔클로저로 성막 가스를 제공하기 위한 컴퓨터 판독가능 코드, 하나 이상의 성막 단계 동안 제 2 제어 밸브를 폐쇄하여 에천트 가스 소스로부터 에칭 가스가 플라즈마 처리 챔버 엔클로저에 진입하는 것을 방지하기 위한 컴퓨터 판독가능 코드, 및 하나 이상의 에칭 단계 동안 제 2 제어 밸브를 개방하여 에천트 가스 소스로부터 플라즈마 처리 챔버로 에칭 가스를 제공하기 위한 컴퓨터 판독가능 코드를 포함한다. Another aspect of the invention is an apparatus for etching a layer under an etch mask, wherein the layer is supported by a substrate. A plasma processing chamber is provided. The plasma processing chamber includes a chamber wall forming a plasma processing chamber enclosure, a substrate support for supporting a substrate within the plasma processing chamber enclosure, a pressure regulator for adjusting the pressure in the plasma processing chamber enclosure, a plasma processing chamber for sustaining the plasma. One or more electrodes for powering the enclosure, a gas inlet for providing gas within the plasma processing chamber enclosure, and an exhaust for exhausting gas from the plasma processing chamber enclosure. A deposition gas source and an etchant gas source are provided. The first control valve is at the fluid connection between the gas inlet of the plasma processing chamber and the deposition gas source. The second control valve is at the fluid connection between the plasma processing chamber and the etchant gas source. The controller is controllably connected to the first control valve, the second control valve and the one or more electrodes. The controller includes one or more processors and computer readable media. The computer readable medium may be configured to open a first control valve during one or more deposition steps, thereby providing computer readable code for providing deposition gas from the deposition gas source to the plasma processing chamber enclosure, closing the second control valve during the one or more deposition steps. Computer readable code for preventing etch gas from entering the plasma processing chamber enclosure from the etchant gas source, and opening the second control valve during one or more etching steps to provide the etching gas from the etchant gas source to the plasma processing chamber. Computer readable code for

본 발명의 이들 특징 및 그 외의 다른 특징을, 다음 도면과 함께 본 발명의 상세한 설명부로부터 보다 자세히 설명한다. These and other features of the present invention will be described in more detail from the detailed description of the invention in conjunction with the following drawings.

본 발명은 층 또는 층들의 스택에서의 피처 (feature) 를 에칭하기 위한 일반적인 방법을 제공하여, 마스크 재료 뿐만 아니라 정지층들에 대해서도 높은 에칭 이방성과 높은 선택도를 동시에 가지고 마스크 재료에 의해 형성되는 측면 패턴의 높은 정확도의 복제를 실현한다. 또한, 본 발명은 에칭 층 측면 패턴들에 대한 하부 측면 CD 의 손실이나 손상 없이 희생 충전재에 의해 보호되는 불충분하게 보호되거나 전혀 보호되지 않고 에칭 마스크에 의해 커버되지 않는 에칭층에 이미 제공된 측면 패턴을 에칭하기 위한 일반적인 방법을 제공한다.  The present invention provides a general method for etching a feature in a layer or stack of layers, the side being formed by the mask material simultaneously with high etch anisotropy and high selectivity not only for the mask material but also for the stop layers. Achieve high accuracy replication of patterns. In addition, the present invention etches the side pattern already provided in the etch layer which is insufficiently protected or not protected at all by the sacrificial filler without loss or damage of the lower side CD to the etch layer side patterns and not covered by the etch mask. It provides a general method for doing this.

본 발명은 첨부한 도면들을 통하여, 범위한정에 의한 것이 아닌 예를 들어 설명하며 도면중, 유사한 부재번호는 유사한 구성요소를 의미한다.
도 1 의 (a) 내지 (c) 는 종래의 처리를 통한 컨택트홀 피처의 형성을 나타내는 개략도이다.
도 2 의 (a) 내지 (f) 는 또 다른 종래의 처리를 통한 컨택트홀 피처의 형성을 나타내는 개략도이다.
도 3 은 본 발명의 패시베이션 및 에칭 처리의 플로우차트를 나타낸다.
도 4a 내지 도 4f 는 본 발명의 처리를 이용한 컨택트 홀의 형성을 나타내는 개략도이다.
도 5 는 본 발명을 실시하는데 이용될 수 있는 시스템의 개략도를 나타낸다.
도 6 은 본 발명을 이용하여 형성되는 높은 애스팩트 비를 가진 복수의 컨택트 홀 패턴들의 현미경 사진을 나타낸다.
도 7 은 종래의 처리를 이용하여 형성되는 높은 애스팩트 비를 가진 복수의 컨택트 홀 패턴을 현미경 사진을 나타낸다.
도 8a 및 도 8b 는 본 발명을 실시하는데 이용될 수 있는 컴퓨터 시스템의 개략도를 나타낸다.
도 9a 내지 도 9f 는 듀얼 다마신 피처가 종래의 처리를 이용하여 상부에 형성되어 있는 스택의 단면도를 나타낸다.
도 10 은 듀얼 다마신 구조체를 형성하기 위해 종래기술에서 이용되는 처리의 하이 레벨 플로우 차트를 나타낸다.
도 11a 및 도 11b 는 종래의 처리에 따라서, 트렌치 정지 층 없이 저유전율 유전체를 이용한 다마신 구조체의 제조시의 웨이퍼 부분 단면도를 나타낸다.
도 12a 및 도 12b 는 또 다른 종래의 처리에 따라서, 트렌치 정지 층 없이 저유전율 유전체를 이용한 다마신 구조체의 제조시의 웨이퍼 부분 단면도를 나타낸다.
도 13 은 제 1 비아 방식을 이용하여 듀얼 다마신 피처를 형성하기 위한 예를 나타내는 하이 레벨 플로우 차트이다.
도 14a 내지 도 14e 는 듀얼 다마신 구조체가 상부에 형성되어 있는 기판의 부분 단면도를 나타낸다.
도 15a 는 본 발명에 따라서 에칭되어진 듀얼 다마신 피처의 단면도를 나타내는 광학현미경사진이다.
도 15b 는 듀얼 다마신 피처의 평면 사시도 및 측면 사시도를 나타낸다.
BRIEF DESCRIPTION OF THE DRAWINGS The present invention is described by way of example and not by way of limitation, in the accompanying drawings, in which like reference numerals refer to like elements.
1A to 1C are schematic diagrams showing formation of contact hole features through conventional processing.
2 (a) to 2 (f) are schematic diagrams showing the formation of contact hole features through another conventional process.
3 shows a flowchart of the passivation and etching process of the present invention.
4A to 4F are schematic diagrams showing formation of contact holes using the treatment of the present invention.
5 shows a schematic diagram of a system that can be used to practice the present invention.
6 shows micrographs of a plurality of contact hole patterns with high aspect ratios formed using the present invention.
7 shows a micrograph of a plurality of contact hole patterns with high aspect ratios formed using conventional processing.
8A and 8B show schematic diagrams of computer systems that can be used to practice the present invention.
9A-9F illustrate cross-sectional views of a stack in which dual damascene features are formed on top using conventional processing.
10 shows a high level flow chart of the process used in the prior art to form a dual damascene structure.
11A and 11B illustrate a partial cross-sectional view of a wafer in the manufacture of a damascene structure using a low dielectric constant dielectric without a trench stop layer, in accordance with conventional processing.
12A and 12B show a partial cross-sectional view of a wafer in the fabrication of a damascene structure using a low dielectric constant dielectric without a trench stop layer, according to another conventional process.
13 is a high level flow chart illustrating an example for forming dual damascene features using a first via scheme.
14A-14E show partial cross-sectional views of a substrate having a dual damascene structure formed thereon.
15A is an optical micrograph showing a cross sectional view of a dual damascene feature etched in accordance with the present invention.
15B shows a top perspective view and a side perspective view of a dual damascene feature.

이하, 첨부한 도면에 나타낸 바와 같이, 본 발명의 바람직한 실시형태를 통하여 본 발명을 자세히 설명한다. 이하 설명에서는, 본 발명의 보다 완벽한 이해를 제공하기 위하여 다수의 구체적인 세부사항을 설명한다. 그러나, 본 발명은 일부 또는 전체의 이들 구체적인 세부사항 없이도 수행될 수 있다는 것은 당업자에게 있어 자명하다. 그 외의 경우, 본 발명이 불필요하게 모호해지는 것을 피하기 위하여, 알려진 처리 단계 및/또는 구조체들에 대한 설명은 자세히 설명하지 않는다. Hereinafter, as shown in the accompanying drawings, the present invention will be described in detail through preferred embodiments of the present invention. In the following description, numerous specific details are set forth in order to provide a more complete understanding of the invention. However, it will be apparent to one skilled in the art that the present invention may be practiced without some or all of these specific details. In other instances, descriptions of known processing steps and / or structures have not been described in detail in order to avoid unnecessarily obscuring the present invention.

상기 본 발명은 인시츄 패시베이션 처리를 에칭 처리에 결합하고 통합시킴으로써 용이성 및 비용효율을 지나치게 희생하지 않으면서도 전체적인 에칭 성능을 강화시키는 신규 에칭 방법에 대한 것이다. The present invention is directed to a novel etching method that combines and integrates an in situ passivation process into an etch process to enhance overall etch performance without sacrificing ease and cost efficiency.

이 신규 방법에서는, 인 시츄 플라즈마 케미칼 처리를 이용하여 에칭 진행 동안, 포토레지스트 마스크 뿐만 아니라 에칭 피처의 수직 측벽을 강화 및/또는 리페어 (repair) 한다. 이러한 신규 에칭 시퀀스 동안, 웨이퍼가 원하는 기간동안 에칭 플라즈마에 노출되기 이전 및/또는 이후에 플라즈마 케미칼 처리 단계를 단기간 동안 개시한다. 플라즈마 패시베이션 처리는, 재료코팅 박막이 마스크 패턴 상에 형성되어 추후 에칭 부식으로부터 마스크를 보호하는 방식으로 선택된다. 바람직하게는, 이러한 박막 코팅은 마스크 재료보다 더 높은 내에칭성을 가지며 최종 제거의 용이함을 위하여 추후 스트립 처리와 호환가능한 재료로 이루어진다. 예를들어, 다른 원소들을 매우 적게 함유하거나 전혀 함유하지 않는 카본이 풍부한 박막을 이용하여 포토레지스트 마스크를 코팅함으로써, 보호 마스크 피처가 후속하는 에칭 처리에 의해 쉽게 부식되지 않게 할 수 있다. 즉, 마스크는 유사 하드마스크와 같은 양태를 띠도록 마스크 패턴의 표면 조성을 변경시킴으로써, 비정질 카본 하드마스크의 특징을 가진 어떤 바람직한 에칭을 수행한다. 또한, 다른 방법으로, 패시베이션 처리를 이용하여, 마스크 패턴 상의 박막 코팅 형성이 이전 에칭 처리에 의해 손상받고 부식된 마스크 패턴을 크게 보상하거나 및/또는 리페어할 수 있다. 후속 에칭 반응에 대한 코팅의 상대적인 비활성은 에칭 단계에서 얻어지는 미세한 밸런스를 변경시키지 않는 점에서 유용하다. In this novel method, an in-situ plasma chemical treatment is used to strengthen and / or repair the vertical sidewalls of the etch features as well as the photoresist mask during the etching process. During this new etch sequence, the plasma chemical treatment step is initiated for a short time before and / or after the wafer is exposed to the etch plasma for the desired time period. The plasma passivation treatment is selected in such a way that a thin film of material coating is formed on the mask pattern to protect the mask from further etching erosion. Preferably, such thin film coatings are made of a material that is more etch resistant than the mask material and compatible with later strip processing for ease of final removal. For example, by coating a photoresist mask with a carbon rich thin film containing very little or no other elements, the protective mask feature can be prevented from being easily corroded by subsequent etching treatment. That is, the mask performs some desirable etching with the characteristics of an amorphous carbon hardmask by changing the surface composition of the mask pattern to behave like a pseudo hardmask. Alternatively, using a passivation treatment, thin film coating formation on the mask pattern can greatly compensate and / or repair a mask pattern damaged and eroded by a previous etching treatment. The relative inactivity of the coating for subsequent etching reactions is useful in that it does not change the fine balance obtained in the etching step.

에칭 가스 혼합물은 에칭 케미칼에서의 패시베이션 가스와 관련되는 이점을 손실하지 않도록 하나 이상의 패시베이션 종 및 에천트 종을 포함한다. 복수의 다른 처리 조건들에 함께 패시베이션 구성요소들에 대한 에칭비율은 포토레지스트 선택도, 에칭 이방성 및 에칭 레이트 등과 같은 최적의 처리 결과들을 달성하도록 미세하게 밸런싱된다. 전기방전전력은 높게 유지되며 하전입자들의 에너지 또한 높게 유지되어, 소형 크기의 구조체에서도 높은 에칭 레이트와 우수한 에칭 이방성을 얻을 수 있다. 패시베이션 및 에칭 시퀀스는 에칭 작업이 완료할 때까지 반복될 수 있지만 반드시 요구되는 것은 아니다. The etch gas mixture includes one or more passivation species and etchant species so as not to lose the benefits associated with the passivation gas in the etch chemical. The etch rate for the passivation components together with a plurality of different processing conditions is finely balanced to achieve optimal processing results such as photoresist selectivity, etch anisotropy and etch rate, and the like. The electric discharge power is kept high and the energy of the charged particles is also kept high, so that a high etching rate and excellent etching anisotropy can be obtained even in a compact structure. The passivation and etch sequences can be repeated until the etching operation is complete but is not required.

보다 쉬운 이해를 위하여, 도 3 은 본 발명의 일 실시형태의 플로우차트를 나타낸다. 포토레지스트 마스크는 에칭될 층 상에 제공된다 (단계 304). 도 4a 내지 도 4f 는 처리의 개략도를 나타낸다. 도 4a 는 기판상에 위치된, 에칭될 산화물층 (408) 상에 제공되는 포토레지스트 마스크 (404) 를 나타낸다. 기판은 처리 챔버에 위치된다 (단계 306). For easier understanding, FIG. 3 shows a flowchart of one embodiment of the present invention. A photoresist mask is provided on the layer to be etched (step 304). 4A-4F show schematic diagrams of the process. 4A shows a photoresist mask 404 provided over an oxide layer 408 to be etched, positioned on a substrate. The substrate is placed in a processing chamber (step 306).

도 5 는 본 발명의 바람직한 실시형태에 이용될 수 있는 처리 챔버 (500) 의 개략도를 나타낸다. 이 실시형태에서, 플라즈마 처리 챔버 (500) 는 한정 링 (confinement rings; 502), 상부전극 (504), 하부전극 (508), 가스 소스 (510) 및 배기 펌프 (520) 를 포함한다. 가스 소스 (510) 는 패시베이션 가스 소스 (512), 에천트 가스 소스 (514) 및 추가 가스 소스 (516) 를 포함한다. 플라즈마 처리 챔버 (500) 내에서는, 산화물층이 상부에 성막되어 있는 기판 웨이퍼 (580) 가 하부전극 (508) 상에 위치결정된다. 하부전극 (508) 은 기판 웨이퍼 (580) 를 유지시키기 위한 적절한 기판 척 메카니즘 (예를 들면, 정전척, 기계적 클램핑 등) 을 포함한다. 반응기 상단부 (528) 는 하부 전극 (508) 에 바로 대향하여 배치된 상부전극 (504) 을 통합한다. 상부전극 (504), 하부전극 (508) 및 한정 링 (502) 은 한정된 플라즈마 볼륨 (540) 을 정의한다. 가스 소스 (510) 에 의해 가스 도입구 (543) 를 통하여 이 한정된 플라즈마 볼륨에 가스를 공급하고, 배기펌프 (520) 에 의해 한정된 플라즈마 볼륨으로부터 한정 링 (502) 과 배기구를 통하여 가스를 배기한다. 배기펌프 (520) 는 플라즈마 처리 챔버를 위한 가스 배출구를 형성한다. 제 1 RF 소스 (544) 는 상부전극 (504) 에 전기적으로 접속되어 있다. 제 2 RF 소스 (548) 는 하부 전극 (508) 에 전기적으로 접속되어 있다. 챔버 벽 (552) 은 내부에 한정 링 (502), 상부전극 (504) 및 하부전극 (508) 이 배치되어 있는 플라즈마 엔클로저를 정의한다. 제 1 RF 소스 (544) 와 제 2 RF 소스 (548) 는 27 MHz 전원 및 2 MHz 전원을 포함할 수 있다. RF 전력을 전극에 접속하는 상이한 조합도 가능할 수 있다. 본 발명의 바람직한 실시형태에서는, 캘리포니아 프레몬트의 LAM 리서치 코포레이션에서 제조된 변형 Exelan 2300 DFC (듀얼 주파수 한정) 를 이용할 수 있다. 제어기 (535) 는 제 1 RF 소스 (544), 제 2 RF 소스 (548), 배기 펌프 (520), 성막 가스 소스 (512) 에 연결된 제 1 제어 밸브 (537), 에천트 가스 소스 (514) 에 연결된 제 2 제어 밸브 (539), 및 추가 가스 소스 (516) 에 연결된 제 3 제어 밸브 (541) 에 제어가능하게 접속되어 있다. 가스 도입구 (543) 는 가스 소스 (512, 514, 516) 로부터의 가스를 플라즈마 처리 엔클로저에 제공한다. 가스 도입구 (543) 에 샤워 헤드가 연결될 수도 있다. 가스 도입구 (543) 는 각각의 가스 소스 마다 하나의 도입구, 또는 각각의 가스 소스마다의 별도의 도입구, 또는 각각의 가스 소스 마다의 복수의 도입구, 또는 그 외의 가능한 조합으로 될 수 있다. 5 shows a schematic diagram of a processing chamber 500 that can be used in a preferred embodiment of the present invention. In this embodiment, the plasma processing chamber 500 includes confinement rings 502, an upper electrode 504, a lower electrode 508, a gas source 510 and an exhaust pump 520. Gas source 510 includes a passivation gas source 512, an etchant gas source 514, and an additional gas source 516. In the plasma processing chamber 500, a substrate wafer 580 on which an oxide layer is deposited is positioned on the lower electrode 508. The lower electrode 508 includes a suitable substrate chuck mechanism (eg, electrostatic chuck, mechanical clamping, etc.) for holding the substrate wafer 580. The reactor top 528 incorporates an upper electrode 504 disposed directly opposite the lower electrode 508. The upper electrode 504, the lower electrode 508 and the confinement ring 502 define a confined plasma volume 540. The gas source 510 supplies gas to the limited plasma volume through the gas inlet 543, and exhausts the gas from the plasma volume defined by the exhaust pump 520 through the confinement ring 502 and the exhaust port. The exhaust pump 520 forms a gas outlet for the plasma processing chamber. The first RF source 544 is electrically connected to the upper electrode 504. The second RF source 548 is electrically connected to the lower electrode 508. Chamber wall 552 defines a plasma enclosure in which a confinement ring 502, an upper electrode 504, and a lower electrode 508 are disposed. The first RF source 544 and the second RF source 548 can include a 27 MHz power supply and a 2 MHz power supply. Different combinations of connecting RF power to the electrodes may also be possible. In a preferred embodiment of the present invention, a modified Exelan 2300 DFC (dual frequency limited) manufactured by LAM Research Corporation of Fremont, California can be used. The controller 535 includes a first RF source 544, a second RF source 548, an exhaust pump 520, a first control valve 537 connected to the deposition gas source 512, an etchant gas source 514. Controlably connected to a second control valve 539 connected to the second control valve 541 and to a third control valve 541 connected to the additional gas source 516. Gas inlet 543 provides gas from gas sources 512, 514, 516 to the plasma processing enclosure. A shower head may be connected to the gas inlet 543. The gas inlet 543 may be one inlet for each gas source, or a separate inlet for each gas source, or a plurality of inlets for each gas source, or other possible combinations. .

도 4b 에 나타낸 바와 같이, 보호층 (412) 이 포토레지스트 마스크 (404) 상에 형성된다 (단계308). 성막은, 성막량이 마스크 재료 상에 바람직하게 더 많이 형성되도록 비대칭적인 것이 바람직하다. 선택된 CVD 처리의 선택적 특성 뿐만 아니라 성막 소스에 대한 위치의 조준선 (line-of-sight) 이 처리를 보조하는 것이 바람직하다. 즉, 성막 케미칼은, 재료들의 케미칼적 비활성에서의 차이에 의해 코팅이 마스크 재료들 상에 바람직하게 형성되도록 하는 방식으로 선택되는 것이 바람직하다. 도 4b 를 통하여 알 수 있는 바와 같이, 보호층 (412) 은, 포토레지스트 마스크의 하부측 산화물면 및 포토레지스트 마스크의 측벽상에서보다 포토레지스트 마스크 (404) 상에서 더욱 두껍게 형성된다. 바람직한 실시형태에서, 이러한 성막은 화학적 기상증착 (CVD) 처리를 이용하여 에칭 챔버에서 인시츄 방식으로 수행되며 이 화학적 기상증착 처리는 포토레지스트의 측벽 상에 얇은 보호층도 성막한다. 바람직하게는, 성막은 이러한 성막의 선택성을 가능하게 하는 일부 이온 에너지를 이용한다. As shown in FIG. 4B, a protective layer 412 is formed on the photoresist mask 404 (step 308). The film formation is preferably asymmetrical so that the amount of film formation is preferably more formed on the mask material. It is desirable that the line-of-sight of the location relative to the deposition source as well as the optional characteristics of the selected CVD process assist the process. That is, the deposition chemical is preferably selected in such a way that the coating is preferably formed on the mask materials by the difference in the chemical inertness of the materials. As can be seen through FIG. 4B, the protective layer 412 is formed thicker on the photoresist mask 404 than on the lower side oxide surface of the photoresist mask and the sidewalls of the photoresist mask. In a preferred embodiment, such deposition is performed in situ in an etching chamber using a chemical vapor deposition (CVD) process, which also deposits a thin protective layer on the sidewalls of the photoresist. Preferably, the deposition utilizes some ion energy that enables the selectivity of such deposition.

다른 실시형태에서, 처리 조건들은 보호층의 두께와 공간적 분포를 변경하도록 변경될 수 있다. 예를 들어, 후속 에칭에 의한 추가 변형으로부터 에칭 구조물을 보호하기 위하여, 에칭이 보다 깊게 진행될 때 에칭 구조물의 측벽 상에 보다 두꺼운 코팅을 형성하는 것이 바람직할 수 있다. 처리 조건의 변경은 이러한 목적을 위하여 제공될 수 있다. 패시베이션 및 에칭이 분리된 공정이기 때문에, 패시베이션에 대한 처리 조건들이 이러한 결과를 위하여 에칭 처리와 간섭하지 않고 최적화될 수 있다. In other embodiments, the processing conditions can be changed to alter the thickness and spatial distribution of the protective layer. For example, to protect the etch structure from further deformation by subsequent etching, it may be desirable to form a thicker coating on the sidewalls of the etch structure as the etch proceeds deeper. Changes in processing conditions can be provided for this purpose. Since passivation and etching are separate processes, the processing conditions for passivation can be optimized for this result without interfering with the etching process.

성막 동안는, 성막가스의 플루오르 대 카본 비가 2 : 1 이하이다. CVD 에 이용될 수 있는 성막 케미칼의 예는 이에 한정되는 것은 아니지만 CH3F, CH2F2, C2H5F, C3H7F, C2H3F, CH4, C2H4, C2H6, C2H2, C3H8, 및 SiH4, Si(CH3)4, Si(C2H5)4 가 있을 수 있다. 이들 케미칼은 할로겐이 없거나 할로겐 대 카본의 비가 2: 1 이하인 것이 바람직하다. 이론에 의한 제한없이, 카본계 케미칼은 내에칭성 비정질 카본 박막층을 형성한다. 실란 (SiH4) 을 이용하여 포토레지스트층 상에 비정질 실리콘 층 (또는 다중비정질 실리콘) 을 형성한다. 또한, 일부 F 및 H 성분들의 존재에 의해 보호층이 변형될 수도 있다. F와 같은 그 외의 원소들의 존재를 이용하여 상이한 재료 표면들에 대한 선택적 활성을 가져와, 적절한 이온충격하에서, 포토레지스트 마스크 재료 상에는 성막이 발생하지만 Si02 층에는 성막이 발생하지 않는 것과 같이, 성막이 한 재료에만 바람직하게 발생하고 그 외의 다른 재료에는 발생하지 않게 한다. 박형성 및 내에칭성은 포토레지스트 에칭 또는 손상을 견디어내기에 충분한 보호성, 및 원하는 피처 형상의 에칭을 충분히 가능하도록 하는 박형성을 제공한다. 스퍼터링과 같은 그 외의 방법을 이용하여 에칭 이전에 포토레지스트 마스크 상에 보호층을 성막할 수 있다. 패시베이션 단계는 상이한 재료의 상이한 에칭 애플리케이션들에 대한 성막 가스들의 상이한 조합들을 포함할 수 있는 에칭 처리에서의 독립 단계이며, 여기서 성막은 가능한 다중단계 가스 스위칭 스퀀스를 이용하여 마스크 피처를 포함한 에칭 피처 주변에 보호코팅을 제공한다. 이러한 단계를 수행하기 위하여, 제어기 (535) 는 제 1 밸브 (537) 로 하여금 성막가스를 성막가스 소스 (512) 로부터 처리 챔버 (500) 로 제공하게 하는 한편, 제 2 밸브 (539) 로 하여금, 에천트 가스 소스 (514) 로부터의 에칭 가스가 처리 챔버에 진입하지 못하게 하도록 할 수 있다. 또한 제어기 (535) 는 제 1 및 제 2 RF 소스 (544, 548) 에 의해 제공되는 전력 및 배기펌프 (520) 를 제어한다. 또한, 제어기는 웨이퍼 압력, 백사이드 (backside) He 냉각 압력, 기판에 대한 바이어스, 및 여러 온도를 제어하는데 이용될 수도 있다.During the film formation, the fluorine to carbon ratio of the film forming gas is 2: 1 or less. Examples of deposition chemicals that can be used for CVD include, but are not limited to, CH 3 F, CH 2 F 2 , C 2 H 5 F, C 3 H 7 F, C 2 H 3 F, CH 4 , C 2 H 4 , C 2 H 6 , C 2 H 2 , C 3 H 8 , and SiH 4 , Si (CH 3 ) 4 , Si (C 2 H 5 ) 4 . These chemicals are preferably halogen-free or have a halogen to carbon ratio of 2: 1 or less. Without being limited by theory, the carbon-based chemical forms a etch-resistant amorphous carbon thin film layer. Silane (SiH 4 ) is used to form an amorphous silicon layer (or multiple amorphous silicon) on the photoresist layer. In addition, the protective layer may be modified by the presence of some F and H components. The presence of other elements, such as F, is used to bring about selective activity on different material surfaces so that film formation occurs on the photoresist mask material under appropriate ion bombardment, but no film formation on the Si0 2 layer. It is desirable to occur only in one material and not in other materials. Thinness and etch resistance provide sufficient protection to withstand photoresist etching or damage, and thinness to allow for sufficient etching of the desired feature shape. Other methods, such as sputtering, can be used to deposit a protective layer on the photoresist mask prior to etching. The passivation step is an independent step in the etching process that may include different combinations of deposition gases for different etching applications of different materials, where the deposition is around the etch feature including the mask feature using a possible multi-stage gas switching sequence. Provide protective coating to To perform this step, the controller 535 causes the first valve 537 to provide deposition gas from the deposition gas source 512 to the processing chamber 500, while causing the second valve 539 to: Etch gas from etchant gas source 514 may be prevented from entering the processing chamber. The controller 535 also controls the power and exhaust pump 520 provided by the first and second RF sources 544 and 548. The controller may also be used to control wafer pressure, backside He cooling pressure, bias to the substrate, and various temperatures.

표 1 는 본 발명의 바람직한 실시형태에서의 패시베이션 및 에칭 단계에 이용될 수 있는 몇몇 파라미터들을 나타내는 표이다. Table 1 is a table showing some parameters that can be used for passivation and etching steps in a preferred embodiment of the present invention.

바람직한 범위Desirable range 보다 바람직한 범위More desirable range 가장 바람직한 범위Most desirable range 바이어스 전압Bias voltage > 50볼트> 50 volts > 100볼트> 100 volts >300볼트> 300 volts 바이어스 에너지Bias energy > 50eV> 50 eV > 100eV> 100 eV >300eV> 300 eV

바이어스 전압은 기판 위에 있는 상부 전극과 기판 아래에 있는 하부전압 사이에 정전압을 인가하여 제공될 수 있다. 바람직한 실시형태에서, 전기적 음성은 RF 전력 생성기에 의해 공급되는 고주파 (RF) 전압을 인가하여 웨이퍼 재료들을 지지하는 기판 상에 형성될 수 있다 (이에 따라 웨이퍼에 바이어스를 인가함). 이것은 RF 전압의 진폭에 의해 제어되는 전기적 음성에 의해 결정되는 에너지에서 전기적으로 바이어스되는 기판을 향하여 양의 하전입자들을 끌어당기는 효과를 가진다. 따라서, 기판 홀더에 인가되는 RF 전력 (및 이에 따른 RF 전압) 을 제어하여 이온 충격 에너지를 공급하고 변경하는 것이 가능하게 된다. The bias voltage may be provided by applying a constant voltage between the upper electrode over the substrate and the lower voltage under the substrate. In a preferred embodiment, the electrical negative can be formed on the substrate supporting the wafer materials by applying a high frequency (RF) voltage supplied by the RF power generator (thus applying a bias to the wafer). This has the effect of attracting the positively charged particles towards the substrate which is electrically biased at the energy determined by the electrical negative controlled by the amplitude of the RF voltage. Thus, it becomes possible to control the RF power (and hence the RF voltage) applied to the substrate holder to supply and change the ion bombardment energy.

다음, 산화물층 (408) 을, 포토레지스트 마스크 (404) 를 이용하여 에칭하여, 도 4c 에 도시된 바와 같이 피처 (416) 를 형성한다. 에칭 애플리케이션은 이에 한정되는 것은 아니지만, 유전체 컨택트 에칭 (높은 애스펙트 비 컨택트 (HARC) 또는 다마신), 컨덕터 트렌치 에칭 (쉘로우 또는 딥), 자기정렬 컨택트 에칭, 게이트 마스크 개구 에칭, 컨택트 에칭, 비아 유전체 에칭, 듀얼 다마신 비아 에칭, 듀얼 다마신 트렌치 에칭, 컨덕터 게이트 에칭, 컨덕터 딥 트렌치 에칭, 컨덕터 쉘로우 트렌치분리 에칭, 및 하드마스크 개구를 포함할 수 있다. 바람직하게는, 에칭은 고이온 에너지를 이용하여 유전체 에칭을 제공한다. 에칭은 도시된 바와 같이, 몇몇 보호층 (412) 을 제거할 수 있다. 표면 상의 일부분에 있는 모든 보호층은 제거될 수 있다. 이 예에서는, 포토레지스트 (404) 상의 측벽을 형성하는 보호층이 제거된다. 그 외의 보호층부분은 부분적으로만 제거될 수도 있다. 이 예에서, 포토레지스트 (404) 의 상부면 상에 있는 보호층 (412) 부분만이 제거된다. 또 다른 실시형태에서, 그 외의 보호층 부분은 부분적으로 제거되는 방식으로 또는 전면 제거되는 방식으로 에칭될 수 있다. 이러한 단계를 수행하기 위하여, 제어기 (535) 는 제 1 밸브 (537) 로 하여금 성막 가스 소스 (512) 로부터 처리 챔버 (500) 로의 성막가스의 플로우를 정지시키도록 하면서 제 2 밸브 (539) 로 하여금 에천트 가스 소스 (514) 로부터의 에천트 가스가 처리 챔버로 플로우하도록 할 수 있다. 제어기 (535) 는 제 1 및 제 2 RF 소스 (544, 548) 에 의해 제공되는 전력을 변경시킬 수 있으며 배기 펌프 (520) 의 설정을 변경하여 에칭을 수행할 수 있다. 또한, 제어기를 이용하여, 에칭 처리를 수행하기 위한 웨이퍼 압력, 백사이드 압력 및 여러 온도를 변경할 수도 있다. 이러한 에칭 단계는 고 에너지 이온을 이용하여 지향성 에칭을 제공하기 때문에, 폴리머 형성자 가스가 에칭 동안 제공된다. 이 폴리머 형성자 가스는 예를 들면, C4F6, C4F8, CH3F, CH2F2, CH4, C3F6, C3F8, CHF3 와 같은 하이드로카본, 플루오로카본, 및 하이드로플루오로카본일 수 있다. 이들 폴리머 형성자 가스는 에칭 동안 계속해서 퇴적되거나 에칭제거되는 폴리머층을 형성한다. Next, the oxide layer 408 is etched using the photoresist mask 404 to form the feature 416 as shown in FIG. 4C. Etching applications include, but are not limited to, dielectric contact etching (high aspect ratio non-contact (HARC) or damascene), conductor trench etching (shallow or dip), self-aligned contact etching, gate mask opening etching, contact etching, via dielectric etching , Dual damascene via etching, dual damascene trench etching, conductor gate etching, conductor deep trench etching, conductor shallow trench isolation etching, and hardmask openings. Preferably, the etch provides dielectric etch using high ion energy. Etching may remove some protective layer 412, as shown. All of the protective layers in the portion on the surface can be removed. In this example, the protective layer forming sidewalls on the photoresist 404 is removed. Other protective layer portions may only be partially removed. In this example, only the portion of the protective layer 412 that is on the top surface of the photoresist 404 is removed. In still other embodiments, the other protective layer portions may be etched in a partially removed manner or in a full surface removed manner. In order to perform this step, the controller 535 causes the second valve 539 to cause the first valve 537 to stop the flow of the deposition gas from the deposition gas source 512 to the processing chamber 500. An etchant gas from etchant gas source 514 may flow into the processing chamber. The controller 535 can change the power provided by the first and second RF sources 544, 548 and can change the settings of the exhaust pump 520 to perform etching. The controller may also be used to change the wafer pressure, backside pressure and various temperatures for performing the etching process. Since this etching step uses a high energy ion to provide the directional etching, a polymer former gas is provided during the etching. This polymer former gas is, for example, hydrocarbons such as C 4 F 6 , C 4 F 8 , CH 3 F, CH 2 F 2 , CH 4 , C 3 F 6 , C 3 F 8 , CHF 3 , Carboxylic, and hydrofluorocarbons. These polymer former gases form a polymer layer that is subsequently deposited or etched away during etching.

표 2 는 본 발명의 바람직한 실시형태에서의 에칭 처리에 이용될 수 있는 몇몇 파라미터들의 테이블이다. Table 2 is a table of some parameters that can be used for the etching process in the preferred embodiment of the present invention.

바람직한 범위Desirable range 보다 바람직한 범위More desirable range 가장 바람직한 범위Most desirable range 바이어스 전압Bias voltage > 200볼트> 200 volts > 300볼트> 300 volts >400볼트> 400 volts 바이어스 에너지Bias energy > 200eV> 200 eV > 300eV> 300 eV >400eV> 400 eV

컨택트 홀이 적어도 부분적으로 에칭된 이후, 에칭이 추가로 수행되어야 하는지의 여부에 대한 결정이 수행된다 (단계 316). 이러한 결정은 측정을 수행함으로써 또는 설정 레시피에 의해 이루어질 수 있다. 에칭을 추가로 하는 것이 바람직한 경우, 처리 사이클은 단계 308 로 되돌아가 사이클을 진행하는데, 여기서, 도 4d 에 도시된 바와 같이, 추가적인 보호층 (418) 은 포토레지스트 마스크 상에 성막된다. 이 예에서는, 구 보호층의 나머지 부분이 신규 보호층 (418) 의 부분으로 된다. 이 단계에서, 다시 제어기 (535) 가 제 1 제어밸브 (537) 를 개방하여 성막가스를 제공하고 제 2 제어밸브 (539) 를 폐쇄하여 에칭 가스의 플로우를 정지시킨다. 또한, 제어기 (535) 는 그 외의 파라미터들을 변경하여 성막을 수행할 수 있다. After the contact holes are at least partially etched, a determination is made as to whether etching should be performed further (step 316). This determination can be made by performing a measurement or by a set recipe. If it is desirable to further etch, the process cycle returns to step 308 where the additional protective layer 418 is deposited on the photoresist mask, as shown in FIG. 4D. In this example, the remaining part of the spherical protective layer becomes a part of the new protective layer 418. At this stage, the controller 535 again opens the first control valve 537 to provide the deposition gas and closes the second control valve 539 to stop the flow of the etching gas. Also, the controller 535 may change other parameters to perform film formation.

이후, 포토레지스트 마스크를 통하여 컨택트 홀을 추가로 에칭하여 (단계312), 도 4e 에 도시된 바와 같이, 보다 깊은 컨택트 홀 (416) 을 제공한다. 이 단계에서, 다시, 제어기 (535) 는 제 1 제어밸브 (537) 를 폐쇄하여 성막가스를 정지시키고 제 2 제어밸브를 개방하여 에칭가스의 플로우를 가능하게 한다. 또한, 제어기 (535) 는 그 외의 파라미터들을 변경하여 에칭을 수행할 수 있다. Thereafter, the contact holes are further etched through the photoresist mask (step 312) to provide deeper contact holes 416, as shown in FIG. 4E. At this stage, the controller 535 again closes the first control valve 537 to stop the deposition gas and opens the second control valve to enable the flow of the etching gas. In addition, the controller 535 may change the other parameters to perform the etching.

바람직하게는, 교대로 성막과 에칭 단계들을 실시하는 본 사이클 또는 루프가 1회 이상 반복된다. 바람직하게는, 본 사이클은 3회 이상 반복된다. 바람직하게는, 본 사이클은 적어도 5회 반복된다. 본 사이클은 수십회 반복될 수도 있다. 본 사이클은 100회 반복되는 것이 바람직하다.Preferably, the present cycle or loop, which alternately performs the deposition and etching steps, is repeated one or more times. Preferably, this cycle is repeated three or more times. Preferably, this cycle is repeated at least five times. This cycle may be repeated dozens of times. This cycle is preferably repeated 100 times.

바람직하게는, 도 4e에 도시된 바와 같이, 적어도 마지막 사이클에서, 에칭 단계는 보호층을 완전히 에칭하게 된다. 도 4f에 도시된 바와 같이, 에칭이 더 이상 필요하지 않을 경우, 포토레지스트 마스크를 제거하여 컨택트홀 (416) 을 갖는 산화물층 (408) 을 만든다 (단계 320). 포토레지스트 마스크는 처리 챔버 (500) 또는 상기 처리 챔버 (500) 에서 제거된 후 제거될 수도 있다.Preferably, as shown in FIG. 4E, at least in the last cycle, the etching step will completely etch the protective layer. As shown in FIG. 4F, when etching is no longer needed, the photoresist mask is removed to form an oxide layer 408 with contact holes 416 (step 320). The photoresist mask may be removed after being removed from the processing chamber 500 or the processing chamber 500.

다른 실시형태로, 에칭 단계는 감광 마스크상에 보호층을 성막하는 단계 (308) 전에 추가될 수도 있다.In another embodiment, the etching step may be added before the step 308 of depositing a protective layer on the photosensitive mask.

바람직하게는, 상기 보호층에 대한 에칭 및 성막은 동일한 챔버에서 행해지지만, 다른 챔버에서 수행되는 것도 가능하다. 성막과 에칭 두 단계를 수행하기 위하여 캘리포니아, 프레몬트의 LAM 리서치 코퍼레이션에서 제조한 Exelan DFC 2300을 채택할 수도 있다. 성막과 에칭은 동일 챔버 내에서 수행되므로, 성막과 에칭의 사이클링은 신속하게 행해질 수 있다.Preferably, the etching and the deposition on the protective layer are performed in the same chamber, but it is also possible to carry out in another chamber. Exelan DFC 2300 manufactured by LAM Research Corporation of Fremont, California, may be employed to perform both deposition and etching steps. Since film formation and etching are performed in the same chamber, cycling of film formation and etching can be performed quickly.

감광 마스크용 물질의 예로는 UV 포토레지스트, 193nm 포토레지스트, 157nm 포토레지스트, EUV 포토레지스트, e-빔 포토레지스트, 및 x-레이 포토레지스트와 같은 최신의 포토레지스트를 포함할 수 있으나, 반드시 이에 한정되는 것은 아니다. 과거의 포토레지스트 폴리머물질들은, 요구되는 높은 에칭 레지스턴스, 즉 에칭가스 혼합물에의 높은 케미칼적 불활성을 제공하도록, C-C 이중결합과 심지어는 C-C 3중결합과 같은 카본결합과 같이, 불포화 C-C결합이 포함되도록 구성된다. 이들 결합은 매우 강하며, 이들 결합을 끊는데는 높은 활성화 에너지가 요구되기 때문에, 이에 따라 비교적 낮은 이온 에너지에서, 구 세대의 포토레지스트는 에칭 가스 혼합물에 대하여 상당히 낮은 에칭 레이트를 나타낼 수 있다. 이들 불포화결합은 리소그래피 노광 파장에서 흡수하기 때문에, 193nm와 157nm를 포함한 보다 새로운 세대의 포토레지스트는 이러한 불포화결합들을 포함하지 않아, 많이 감소된 포토레지스트 에칭 레지스턴스를 유도한다. 적어도 하나의 보호막 가스를 함유하는 에칭 혼합물을 이용하여, 에칭단계 중 포토레지스트상에 보호코팅을 공급함으로써, 높은 이온 충격에너지에서도, 포토레지스트의 에칭 레지스턴스가 상당히 개선된다. 상기 발명이 에칭 레지스턴스를 개선시킬 수 있는 높은 이온 충격에너지는 50 내지 2,000eV일 수 있다. 보다 바람직하게는, 이온 충격에너지는 200 내지 1,5000eV일 수 있다. 가장 바람직하게 이온 충격에너지는 500 내지 1,000eV이다.Examples of materials for photoresist masks may include, but are not limited to, modern photoresists such as UV photoresist, 193nm photoresist, 157nm photoresist, EUV photoresist, e-beam photoresist, and x-ray photoresist. It doesn't happen. Past photoresist polymer materials include unsaturated CC bonds, such as CC double bonds and even carbon bonds such as CC triple bonds, to provide the required high etch resistance, ie high chemical inertness to the etch gas mixture. It is configured to be. Because these bonds are very strong and high activation energy is required to break these bonds, therefore, at relatively low ion energies, older generations of photoresist may exhibit significantly lower etch rates for the etch gas mixture. Because these unsaturated bonds absorb at lithographic exposure wavelengths, newer generations of photoresists, including 193 nm and 157 nm, do not contain these unsaturated bonds, leading to much reduced photoresist etch resistance. By supplying a protective coating onto the photoresist during the etching step using an etching mixture containing at least one protective film gas, even at high ion impact energy, the etching resistance of the photoresist is significantly improved. The high ion bombardment energy for which the invention can improve the etching resistance can be 50 to 2,000 eV. More preferably, the ion bombardment energy may be 200 to 1,5000 eV. Most preferably the ion bombardment energy is 500 to 1,000 eV.

비아Via 에칭 예 Etching Example

193 포토레지스트 마스크를 갖는 SiO2층, 및 SiO2층과 포토레지스트 마스크 사이의 BARC (bottom antireflective coating) 를 에칭하는, 본 발명의 특정 예에서는 프로세스 챔버 (500) 로 Exelan DFC 2300을 사용한다. 처리 챔버 (500) 에서 BARC 에칭이 수행된다. BARC 에칭은 110millitorr의 압력을 갖는데, 이는 한정 링 (502), 배기펌프 (520) 및 가스 주입구 (543) 를 통한 유량에 의해 설정될 수 있다. 27MHz에서 인가된 전력은 1200watt이며, 2MHz에서 전극 (504, 508) 을 통하는 전력은 0watt이다. 에칭 케미칼은 아르곤이 700sccm, CF4가 60sccm, O2가 12sccm이다. 상부 전극 (504) 은 180℃ 의 온도에 놓인다. 하부 전극 (508) 에 의하여 형성된 척은 10℃ 의 온도에 놓인다. 백사이드 내측 영역 척의 헬륨 압력은 15torr에 있게 된다. 백사이드 외측 영역 척의 압력은 15torr 에 놓인다. 본 예에서, BARC 에칭은 50초 동안 유지된다. 제어기 (535) 는 위 파라미터들을 제어한다. 추가 가스 소스 (516) 는 BARC 에칭용 가스를 공급하는 데 이용될 수 있다. 추가 가스 소스 (516) 는 하나 이상의 가스 소스를 나타낼 수도 있다. 제 3 밸브 (541) 는, 상기 추가 가스들이 상기 제어기 (535) 에 의해 독립적으로 제어될 수 있도록, 하나 이상의 밸브를 나타낼 수도 있다. Exelan DFC 2300의 경우, 백사이드의 헬륨 압력은 척을 냉각시키는데 이용된다. Exelan DFC 2300은, 척 중심에 보다 가까운, 내측 백사이드 압력과 상기 척의 외측 가장자리에 보다 가까운 외측 백사이드 압력을 가능하게 해준다. 제어기 (535) 는 이들 압력을 제어할 수 있다.Exelan DFC 2300 is used as the process chamber 500 in certain examples of the invention, which etch a SiO 2 layer with a 193 photoresist mask and a bottom antireflective coating (BARC) between the SiO 2 layer and the photoresist mask. BARC etching is performed in the processing chamber 500. The BARC etching has a pressure of 110 millitorr, which can be set by the flow rate through the confinement ring 502, the exhaust pump 520 and the gas inlet 543. The power applied at 27 MHz is 1200 watts and the power through the electrodes 504, 508 at 2 MHz is 0 watts. The etching chemicals are 700 sccm in argon, 60 sccm in CF 4 , and 12 sccm in O 2 . The upper electrode 504 is placed at a temperature of 180 ° C. The chuck formed by the lower electrode 508 is placed at a temperature of 10 ° C. The helium pressure of the backside inner region chuck is at 15 torr. The pressure of the backside outer region chuck is at 15 torr. In this example, the BARC etch is held for 50 seconds. Controller 535 controls the above parameters. Additional gas source 516 can be used to supply gas for BARC etching. The additional gas source 516 may represent one or more gas sources. The third valve 541 may represent one or more valves such that the additional gases can be independently controlled by the controller 535. For Exelan DFC 2300, the helium pressure on the backside is used to cool the chuck. Exelan DFC 2300 allows for an inner backside pressure that is closer to the center of the chuck and an outer backside pressure that is closer to the outer edge of the chuck. The controller 535 can control these pressures.

보호층의 성막은, 27MHz에서 인가전력 800watt, 2MHz에서는 400watt로, 압력 50millitorr하에 Exelan DFC 2300 내에서 수행된다. 성막케미칼은 아르곤이 500sccm, CH3F가 50sccm이다. 상부전극은 180℃ 의 온도에 놓이게 된다. 척은 온도 10℃에 놓이게 된다. 백사이드 내측 영역 척의 헬륨 압력은 30torr에 있게 된다. 백사이드 외부 영역 척의 헬륨 압력은 12torr에 있게 된다. 본 예에서, 성막 가스 소스 (512) 는 에칭 중에는 공급되지 않는 CH3F를 공급하게 된다. 아르곤은, 성막 및 에칭 중에 모두 공급되므로, 상기 추가 가스 소스 (516) 로부터 공급될 수 있다. 제어기 (535) 는 제 1 밸브 (537) 를 열고 제 2 밸브 (539) 를 닫게 된다. 제어기 (535) 는 또한 상기 추가 가스 소스로부터의 아르곤의 플로우를 제어한다. 제어기 (535) 는 전술한 전력과 파라미터들을 제어하게 된다.The deposition of the protective layer is performed in an Exelan DFC 2300 under a pressure of 50 millitorr with an applied power of 800 watts at 27 MHz and 400 watts at 2 MHz. The film-forming chemicals have 500 sccm of argon and 50 sccm of CH 3 F. The upper electrode is placed at a temperature of 180 ° C. The chuck is placed at a temperature of 10 ° C. The helium pressure of the backside inner region chuck is at 30 torr. The helium pressure of the backside outer region chuck is at 12 torr. In this example, the deposition gas source 512 will supply CH 3 F which is not supplied during etching. Argon may be supplied from the additional gas source 516 as it is supplied during both deposition and etching. The controller 535 opens the first valve 537 and closes the second valve 539. Controller 535 also controls the flow of argon from the additional gas source. Controller 535 will control the power and parameters described above.

SiO2층의 에칭은, 27MHz에서 인가전력 2500watt, 2MHz에서는 3500watt로, 40millitorr의 압력하에 Exelan DFC 2300 내에서 수행된다. 에칭케미칼은 아르곤이 400sccm, C4F6가 36sccm, O2가 30sccm이다. C4F6는, 에칭하는 동안 중합시켜주는, 폴리머 형성자 가스이다. O2는 에칭 인에이블러 가스이다. C4F6로부터의 불소가 에칭시에 사용되지만, 본 예에서 불소는 에칭을 가능하게 해주는 산소의 존재가 요구된다. 상부 전극은 온도 180℃에 있게 된다. 척은 온도 10℃에 있게 된다. 백사이드 내측 영역 척의 헬륨 압력은 30torr에 놓이게 된다. 백사이드 외측 영역 척의 압력은 12torr에 있게 된다. 본 예에서는, 산소가 없이 C4F6가 성막 중에 사용되지만, 에천트 가스 소스 (514) 는, 성막 중에는 공급되지 않는, C4F6와 O2를 공급하게 된다. 제어기 (535) 는 제 1 밸브 (537) 를 폐쇄하고 제 2 밸브 (539) 를 개방하게 된다. 제어기 (535) 는 또한 상기 추가 가스 소스의 아르곤 플로우를 제어하게 된다. 제어기 (535) 는 전술한 전력과 다른 파라미터들을 제어하게 된다.The etching of the SiO 2 layer is carried out in Exelan DFC 2300 under a pressure of 40 millitorr with an applied power of 2500 watts at 27 MHz and 3500 watts at 2 MHz. The etching chemicals are 400 sccm for argon, 36 sccm for C 4 F 6 , and 30 sccm for O 2 . C 4 F 6 is a polymer former gas that polymerizes during etching. O 2 is an etch enabler gas. Although fluorine from C 4 F 6 is used in etching, in this example fluorine requires the presence of oxygen to enable etching. The upper electrode is at a temperature of 180 ° C. The chuck is at a temperature of 10 ° C. The helium pressure of the backside inner region chuck is at 30 torr. The pressure of the backside outer region chuck is at 12 torr. In this example, C 4 F 6 is used during film formation without oxygen, but the etchant gas source 514 supplies C 4 F 6 and O 2 , which are not supplied during film formation. The controller 535 closes the first valve 537 and opens the second valve 539. The controller 535 will also control the argon flow of the additional gas source. The controller 535 will control the power and other parameters described above.

본 예에서, 먼저 BARC 에칭이 50초 동안 수행된다. 다음으로, 보호층의 성막 (단계 308) 이 10초 동안 수행된다. 그 다음, 컨택트홀은 25초 동안 에칭된다 (단계 312). 이후, 보호층의 성막이 10 초 동안 수행된다 (단계 308). 25초 동안 피처의 에칭 (단계 312) 과 10초 동안 보호층의 성막 (단계 308) 이 4회 반복된다. 피처의 최종 에칭은 80초 동안 수행된다 (단계 312). 사이클이 완료되고 (단계 316) 포토레지스트가 제거된다 (단계 320). 따라서, 본 예에서는, 성막 (단계 308) 및 에칭 (단계 312) 이 5 사이클 동안 수행된다.In this example, first BARC etching is performed for 50 seconds. Next, deposition of the protective layer (step 308) is performed for 10 seconds. The contact hole is then etched for 25 seconds (step 312). Thereafter, deposition of the protective layer is performed for 10 seconds (step 308). Etching the feature for 25 seconds (step 312) and depositing the protective layer for 10 seconds (step 308) are repeated four times. Final etching of the feature is performed for 80 seconds (step 312). The cycle is complete (step 316) and the photoresist is removed (step 320). Thus, in this example, film formation (step 308) and etching (step 312) are performed for five cycles.

위와 동일한 시퀀스에 대한 또 다른 개념을 아래와 같이 표현할 수 있다:Another concept for the same sequence can be expressed as follows:

50초의 BARC 에칭 + 10 초의 성막 + 4×(25초 에칭 + 10초 성막) + 80초 에칭.50 sec BARC etching + 10 sec deposition + 4 x (25 sec etching + 10 sec deposition) + 80 sec etching.

본 예에서, 바람직하게는 보호층은, 피처의 바닥보다 피처의 마스크 및 측벽상에서 더 두껍도록 또는 피처의 바닥에는 보호층이 전혀 존재하지 않도록, 피처의 마스크 및 측벽상에 형성된다.In this example, a protective layer is preferably formed on the mask and sidewalls of the feature such that it is thicker on the mask and sidewalls of the feature than the bottom of the feature or that no protective layer is present at the bottom of the feature.

상기 공정 조건들에 보다 특별히 부합시키기 위해 다른 조건들을 이용할 수도 있다. 추가 공정들이 각 사이클에 부가될 수도 있다. 본 예에서 처리 챔버는 Exelan DFC 2300이지만, 다른 변형의 에칭시스템이 이용될 수도 있다.Other conditions may be used to more specifically match the process conditions. Additional processes may be added to each cycle. The processing chamber in this example is Exelan DFC 2300, although other variations of etching systems may be used.

도 6은, 향상된 보호층 성막 및 에칭 공정을 이용하여, HARC (High Aspect Ratio Contact) 에칭 (608) 의 형성을 위해, 193 포토레지스트 마스크로 마스크된, SiO2층 (604) 에 대한 현미경사진이다. 도 7은, 개선된 보호층의 성막 및 에칭 공정을 이용하지 않고, HARC (High Aspect Ratio Contact) 에칭 (708) 형성을 위해, 193 포토레지스트 마스크로 마스크된, SiO2층 (704) 에 대한 현미경사진이다. 도 6 및 도 7을 비교하면 알 수 있듯이, 개선된 성막 및 에칭 공정은 컨택트들이 좀더 원형에 가깝다는 점에서 포토레지스트 마스크 (오리지날 마스크 패턴들은 원형 홀들의 어레이들임) 로부터 바람직한 패턴 전송을 제공한다. 한편, 포토레지스트를 위한 보호층의 성막이 없는 종래 기술에 의한 에칭은 오리지날 패턴의 왜곡을 일으키는데, 이는 불균일한 형상의 컨택트홀들에 의하여 도시된 유전체층에서 명백히 나타나며 만족스럽지 못한 것이다. 포토레지스트 및 피처의 측벽상의 보호층은 또한, 몇몇 에칭 공정에서 발견되는, 찰흔을 예방할 수 있다.FIG. 6 is a micrograph of SiO 2 layer 604, masked with a 193 photoresist mask, for formation of a High Aspect Ratio Contact (HARC) etch 608, using an improved protective layer deposition and etching process. . FIG. 7 is a microscope for SiO 2 layer 704, masked with a 193 photoresist mask, for forming a High Aspect Ratio Contact (HARC) etch 708, without using an improved protective layer deposition and etching process. It is a photograph. As can be seen by comparing Figures 6 and 7, the improved deposition and etching process provides the desired pattern transfer from the photoresist mask (original mask patterns are arrays of circular holes) in that the contacts are more circular. On the other hand, etching in the prior art without the formation of a protective layer for the photoresist causes distortion of the original pattern, which is evident in the dielectric layer shown by the non-uniform contact holes and is not satisfactory. The protective layer on the sidewalls of the photoresist and features can also prevent scratches, which are found in some etching processes.

적층 포토레지스트 마스크의 제조가 매우 복잡하기 때문에 본 발명은 적층 마스크 사용시보다도 비용면에서 보다 효율적인 공정을 제공한다. 본 발명은 또한 적층 마스크 처리보다도 보다 적은 비용으로 더 좋은 에칭 결과를 제공한다.Since the manufacture of laminated photoresist masks is very complex, the present invention provides a more cost effective process than using laminated masks. The present invention also provides better etching results at less cost than laminated mask processing.

에칭될 층은 (실리콘 산화물과 같은) 유전체층, (메탈과 실리콘 또는 다른 타입의 반도체들과 같은) 전도층, 또는 (실리콘 질화물 및 실리콘 산화질화물과 같은) 하드마스크층일 수 있다. 전도층 에칭을 위해서, 염소, 플루오르, 또는 브롬과 같은, 할로겐이 에칭단계에서 사용될 수도 있는데, 이 때 성막은 카본이 풍부한 박막이나 Si를 함유한 박막을 성막하는 데 사용되는 케미칼을 포함한다.The layer to be etched may be a dielectric layer (such as silicon oxide), a conductive layer (such as metal and silicon or other types of semiconductors), or a hardmask layer (such as silicon nitride and silicon oxynitride). For conductive layer etching, halogens, such as chlorine, fluorine, or bromine, may be used in the etching step, wherein the film formation includes chemicals used to form thin films rich in carbon or thin films containing Si.

본 발명에 대한 바람직한 실시형태에서는, 어떤 경우 혼합이 별도의 성막 및 에칭 공정의 효율을 떨어뜨리기 때문에, 성막가스 성분들 중 일부가 에칭가스의 성분과 혼합되지 않는 것이 바람직하다. 그 결과, 제어기는 어느 가스는 다른 가스가 첨가되기 전에 소진되도록 가스의 플로우에 대한 시간을 조절하여야 한다.In a preferred embodiment of the present invention, it is preferable that some of the components of the deposition gas are not mixed with components of the etching gas, since in some cases mixing reduces the efficiency of separate deposition and etching processes. As a result, the controller must adjust the time for the flow of gas such that one gas is exhausted before another gas is added.

바람직한 실시형태에서, 에칭가스 소스의 에천트 가스는 성막단계 중에는 플라즈마 처리 챔버에 공급되지 않으며 성막가스 소스의 성막가스는 에칭단계 중에는 플라즈마 처리 챔버에 공급되지 않는다. 이는 에칭가스나 성막가스의 성분을 공급하지 않음으로써 이루어질 수 있다. 예를 들어, 산소 또는 산소를 함유하는 가스가 에칭 가스의 중요한 에칭 구성요소이다. C4F6도 에천트 가스에 사용되지만, 본 예에서 에칭은 산소없이 C4F6에 의하여 이루어지지 않는다. 따라서, 성막 중에 C4F6가 공급되는 경우에도, 성막단계 동안 산소나 산소를 함유하는 가스를 공급하지 않는 것이 성막단계 중에 에칭가스를 공급하지 않는 방법이 된다. 또한 성막공정이 보호코팅을 위해 에칭을 하지 않거나 또는 에칭을 했어도 무시할 수 있는 정도 (에칭될 층의 10% 미만) 인 것이 바람직하다. 그와 같은 성막공정은, CVD와 스퍼터링이 에칭을 위해 이용되는 것은 아니므로, 반드시 이에 한정되는 것은 아니지만, CVD 성막이나 스퍼터링일 수 있다. 성막가스는 에칭단계에서의 폴리머 형성자와 동일한 것이며, 성막가스는 에칭단계 동안 공급될 수 있다. 그와 같은 경우, 성막단계와 에칭단계 사이에 한 가지 다른 점은 에칭가스의 에칭 성분이 에칭단계 동안만 존재한다는 점이다. 또한, 에칭단계 중의 바이어스 전력은 지향성 에칭을 제공하기 위해 더 높을 수도 있다.In a preferred embodiment, the etchant gas of the etching gas source is not supplied to the plasma processing chamber during the deposition step and the deposition gas of the deposition gas source is not supplied to the plasma processing chamber during the etching step. This can be done by not supplying components of etching gas or film forming gas. For example, oxygen or a gas containing oxygen is an important etching component of the etching gas. C 4 F 6 is also used in the etchant gas, but in this example the etching is not done by C 4 F 6 without oxygen. Therefore, even when C 4 F 6 is supplied during the film formation, not supplying oxygen or oxygen-containing gas during the film forming step is a method of not supplying etching gas during the film forming step. It is also desirable that the deposition process is negligible (less than 10% of the layer to be etched) even if it is not etched or etched for protective coating. Such a film forming process is not necessarily limited to CVD and sputtering for etching, but may be CVD film forming or sputtering. The deposition gas is the same as the polymer former in the etching step, and the deposition gas may be supplied during the etching step. In such a case, one difference between the deposition step and the etching step is that the etching component of the etching gas is present only during the etching step. Also, the bias power during the etching step may be higher to provide directional etching.

별도의 성막단계를 제공하고 에칭단계 중 중합을 위한 폴리머 형성자가 존재함으로써 보다 높은 에칭률과 보다 좋은 이방성 에칭을 위한 고에너지 에칭 이온을 사용하는 것이 가능하다.By providing a separate deposition step and the presence of a polymer former for polymerization during the etching step, it is possible to use high energy etch ions for higher etch rates and better anisotropic etching.

패시베이션가스들을 에칭혼합물에 유지시킴으로써, 에칭 마스크가 불필요하게 부식되거나 손상되는 일 없이 보다 높은 이온에너지를 사용하는 것이 가능하게 된다. 또한, 에칭단계가 지속되는 동안 이방성 에칭이 이루어질 수 있다. 방전된 에칭 및 리타데이션 가스의 상호작용으로 코팅의 질이 떨어지게 되므로, 별도의 패시베이션단계를 이용함으로써, 가령, 에칭 혼합물로 제조된 경우보다 견고하고 내구성이 좋은 코팅을 형성하는 패시베이션 케미칼 혼합물을 선택하여, 프로파일 및 마스크 보호의 최적화를 이룰 수 있다. 또한, 압력과 밀도와 같은, 패시베이션 케미칼 조건들이 성분, 두께와 같은 패시베이션 코팅 특성들을 최적화시킬 수 있도록 조절될 수 있다.By keeping the passivation gases in the etch mixture, it becomes possible to use higher ion energy without unnecessarily corroding or damaging the etch mask. In addition, anisotropic etching may occur while the etching step continues. Since the quality of the coating is degraded by the interaction of the discharged etch and retardation gases, a separate passivation step is used, for example, by selecting a passivation chemical mixture that forms a harder and more durable coating than is made of an etch mixture. Optimization of profile and mask protection can be achieved. In addition, passivation chemical conditions, such as pressure and density, can be adjusted to optimize passivation coating properties such as component, thickness.

따라서, 독립적 패시베이션과 에칭 패시베이션 단계를 구비함으로써, 온도, 전력, 압력, 이온에너지, 및 처리 가스와 같은, 처리 조건들이 각 단계들의 최적 조건들에 따라 각각 다양하게 독립적으로 제어되어 최적화된 코팅 및 최적의 에칭을 제공하게 된다.Thus, by having independent passivation and etch passivation steps, processing conditions, such as temperature, power, pressure, ion energy, and processing gas, are each independently controlled in various ways depending on the optimum conditions of the respective steps to optimize and optimize the coating. To provide etching.

에칭 및 성막 단계 동안 모두 아르곤을 대신하여 다른 불활성가스가 캐리어가스로 사용될 수도 있다. 또 다른 불활성가스의 예에는 네온이 있다.Other inert gases may be used as the carrier gas in place of argon during both the etching and film forming steps. Another example of an inert gas is neon.

본 발명의 예에서, 플라즈마 (케미칼 및 전기 방전으로 유지되는 대전입자들의 혼합물) 와 접촉할 수도 있는 챔버벽 영역들은 가능한 한 작고 상승 온도에서 유지될 수 있도록 제조된다. 이러한 목적은, 한 처리단계에서 형성된 챔버벽 영역의 코팅에 함유된 케미칼성분이 방출되어 다음 단계들과 간섭하게 되는, 이른바 "메모리" 효과를 피하기 위하여 챔버벽 영역상의 전체 성막을 최소화하기 위한 것이다.In the example of the present invention, chamber wall regions that may be in contact with the plasma (a mixture of charged particles maintained with chemical and electrical discharges) are made as small as possible and can be maintained at elevated temperatures. This aim is to minimize the overall deposition on the chamber wall area to avoid the so-called "memory" effect, in which the chemical component contained in the coating of the chamber wall area formed in one processing step is released and interferes with the next steps.

전구체 소스에서 처리 챔버까지의 가스의 운동 시간은 매우 짧은 것이 바람직할 수도 있다. 필요한 일정 플로우를 설정하는 시간 및 처리 챔버에 상기 가스가 완전히 존재하지 않도록 설정하는 시간을 표시하는, 가스 플로우의 안정화 시간은 안정한 가스 혼합물의 성분에서 다음 성분으로의 전환이 매우 신속하게 이루어질 수 있도록 매우 짧게 한다. 본 발명의 이러한 목적은, 성능을 떨어뜨릴 수 있는, 두개의 다른 단계들간 케미칼물질들의 내부적인 혼합을 피하기 위한 것이다.It may be desirable for the motion time of the gas from the precursor source to the processing chamber to be very short. The stabilization time of the gas flow, which indicates the time for setting the required constant flow and the time for the gas to be completely absent in the process chamber, is very high so that the transition from the stable gas mixture to the next one can be made very quickly. Keep it short This object of the present invention is to avoid internal mixing of chemical materials between two different steps, which can degrade performance.

전자 시스템 및 전력의 전기 방전으로의 전환을 제어하는 제어 네트워크가 방전 조건 및 전력의 변경에 매우 빠르게 반응하는 것이 또한 바람직할 수 있다. 또한, 가스 혼합물의 압력 및 웨이퍼 기판의 온도와 같은, 처리 챔버의 외부 조건들을 신속하게 변경시키고 안정화시킬 수 있는 것이 바람직할 수 있다. 두개의 다른 단계들이 수회 반복되므로 각 단계를 조정하는 공정조건들이 여러 번 변경되어야 한다. 그와 같은 공정조건들이 신속하게 변경되면 보다 빠른 사이클링 타임이 실현되며 공정조건들이 특히 각 단계들을 각각 최적화시키는 단계들 사이에서 다양화되는 것이 가능하다. 따라서, 또한 처리 조건들의 신속한 변경을 제어하고 동시 진행이 가능한 중앙 컴퓨터 시스템을 구비하는 것이 바람직할 수 있다. 상기 컴퓨터는 필요한 변경들에 대한 명령을 보내고 처리 챔버 내 여러 조건 변경들을 제공하는 다양한 장치들의 사전 결정된 시간 지연들을 동시에 진행시키는 데에 이용된다.It may also be desirable for a control network that controls the conversion of electronic systems and power to electrical discharge very quickly reacts to changes in discharge conditions and power. It may also be desirable to be able to quickly change and stabilize external conditions of the processing chamber, such as the pressure of the gas mixture and the temperature of the wafer substrate. Since two different steps are repeated several times, the process conditions adjusting each step must be changed several times. If such process conditions are changed rapidly, faster cycling times are realized and it is possible for the process conditions to be diversified, in particular, between the stages of optimizing each stage individually. Thus, it may also be desirable to have a central computer system capable of controlling the rapid change of processing conditions and enabling simultaneous progression. The computer is used to simultaneously advance the predetermined time delays of the various devices sending commands for the necessary changes and providing various condition changes in the processing chamber.

성막단계는 일련의 다른 코팅단계를 포함할 수도 있다. 에칭단계는 일련의 다른 에칭단계를 포함할 수도 있다.The film forming step may include a series of other coating steps. The etching step may include a series of other etching steps.

트렌치Trench 에칭 예 Etching Example

도 13은 비아 제 1 방식을 이용하여 듀얼 다마신 피처를 형성하는 예에 대한 상위 수준의 플로우도이다. 먼저 다수의 비아들이 형성된다 (단계 1304). 상기한 예들에서 기술된 방법을 이용하여 비아들이 형성될 수 있다. 도 14a는 트렌치 패턴 마스크 (1428) 가 형성된 기판 (1410) 일부에 대한 단면도이다. 제 1 컨택트 (1404) 와 제 2 컨택트 (1406) 는 기판 (1410) 상의 저유전율 유전체층 (1408) 에 위치될 수 있다. 일반적으로, 유전체 장벽층 (1412) 은, 반드시 실리콘 질화물이나 실리콘 탄화물에 한정되는 것은 아니나, 구리 확산을 막기 위하여 제 1 및 제 2 컨택트 (1404, 1406) 위에 위치할 수도 있다. 저유전율 유전체층(1420) 은 장벽층 (1412) 위에 위치되어 있다. 제 1 비아 (1440) 및 제 2 비아 (1444) 는 저유전율 유전체층 (1420) 에 에칭된다. 부분적인 플러그들 (1448) 이 비아의 저면에 형성될 수 있다 (단계 1308). 이 단계는 선택적이다. 몇몇 예에서, 부분적인 플러그를 형성시키는 본 단계가 생략될 것이다. 트렌치 패턴 마스크 (1428) 가 기판 위에 형성된다 (단계 1312).FIG. 13 is a high level flow diagram of an example of forming dual damascene features using a via first scheme. First, a plurality of vias are formed (step 1304). Vias may be formed using the method described in the examples above. 14A is a cross sectional view of a portion of the substrate 1410 on which the trench pattern mask 1428 is formed. The first contact 1404 and the second contact 1406 can be located in the low dielectric constant dielectric layer 1408 on the substrate 1410. In general, dielectric barrier layer 1412 is not necessarily limited to silicon nitride or silicon carbide, but may be positioned over first and second contacts 1404 and 1406 to prevent copper diffusion. Low dielectric constant dielectric layer 1420 is positioned over barrier layer 1412. First via 1440 and second via 1444 are etched into low-k dielectric layer 1420. Partial plugs 1484 may be formed at the bottom of the via (step 1308). This step is optional. In some instances, this step of forming a partial plug will be omitted. A trench pattern mask 1428 is formed over the substrate (step 1312).

저 유전체층 (1420) 은 트렌치 에칭 사이클 (1314) 을 수행 받는다. 트렌치 에칭 사이클 (1314) 은 플라즈마 성막으로 비아의 측벽상에 보호층을 성막하고 (단계 1316) 마스크를 통해 에칭하는 단계 (단계 1312) 를 포함한다. 에칭이 종료될 때까지 사이클이 반복된다 (단계 1324). 바람직한 예에서, 트렌치 에칭 사이클은 적어도 1회 반복된다. 보다 바람직하게는, 트렌치 에칭 사이클은 적어도 3회 반복된다. 가장 바람직하게는, 트렌치 에칭 사이클은 적어도 5회 반복된다. 사이클 횟수가 많으면 많을수록, 펜스부 및 면형성부를 감소시키고, 각 사이클에 도포되는 측벽이 보다 얇게 될 수 있다. 도 14b는 보호층 (1452) 이 성막된 이후 기판 (1410) 의 일부 단면도이다. 바람직하게는, 보호층의 성막은 등방성 조준선 플라즈마 성막이며, 이는 본 예에서 마스크 상부면상의 두꺼운 층과 비아의 측벽상의 얇은 층을 형성한다. 가장 바람직하게는, 상기 성막은, 측벽의 표면은 물론 상부상에 동일한 두께를 갖기 위해, 성막된 보호층이 부합돼 있는, 표면 반응 타입이다. 바람직하게는, 성막공정은 또한, 성막공정의 전체적인 도포 효과가 수평면상에는 거의 또는 아예 성막을 하지 않은 채 수직 측벽상에 보호 코팅을 하는 결과가 되는 방식으로, 이온 지원 에칭이나 스퍼터링과 같은, 지향성 제거 메카니즘을 포함한다. 따라서, 보호 코팅이 수직 측벽 프로파일상에서만 형성되고 에칭될 유전체층의 수평 에칭면상에는 형성되지 않도록, 성막공정은 또한 선택적인 측벽 보호 효과를 위해 이온에너지가 충분히 높은 것이 바람직하다. 케미칼이 마스크층에는 반응하지 않고 유전체층에 대해서는 반응하는 방식으로 선택되기 때문에 보호 코팅이 마스크 패턴의 수평 상부면상에 형성될 수 있다. 도 14c는 마스크를 통해 트렌치를 에칭하는 단계 (단계 1320) 이후 기판 (1410) 의 일부에 대한 단면도이다. 비아의 일부 또는 전체가 에칭된다. 바람직한 실시형태에서, 에칭은 고이온에너지 지향성 이방성 에칭이다.Low dielectric layer 1420 is subjected to a trench etch cycle 1314. The trench etch cycle 1314 includes depositing a protective layer on sidewalls of the vias with plasma deposition (step 1316) and etching through a mask (step 1312). The cycle is repeated until etching is complete (step 1324). In a preferred example, the trench etch cycle is repeated at least once. More preferably, the trench etch cycle is repeated at least three times. Most preferably, the trench etch cycle is repeated at least five times. The larger the number of cycles, the smaller the fence portion and the surface forming portion, and the thinner the sidewalls applied to each cycle. 14B is a partial cross-sectional view of the substrate 1410 after the protective layer 1452 is deposited. Preferably, the deposition of the protective layer is an isotropic line of sight plasma deposition, which in this example forms a thick layer on the mask top surface and a thin layer on the sidewalls of the vias. Most preferably, the deposition is a surface reaction type in which the deposited protective layer is matched to have the same thickness on the top of the sidewall as well as on the top. Preferably, the film forming process also removes directivity, such as ion assisted etching or sputtering, in such a way that the overall coating effect of the film forming process results in a protective coating on the vertical sidewalls with little or no deposition on the horizontal plane. Include mechanisms Thus, the deposition process is also preferably sufficiently high in ion energy for the selective sidewall protection effect so that the protective coating is only formed on the vertical sidewall profile and not on the horizontal etch plane of the dielectric layer to be etched. Since the chemical is chosen in such a way that it does not react to the mask layer but to the dielectric layer, a protective coating can be formed on the horizontal top surface of the mask pattern. 14C is a cross sectional view of a portion of the substrate 1410 after etching the trench through the mask (step 1320). Some or all of the vias are etched. In a preferred embodiment, the etch is a high ion energy oriented anisotropic etch.

트렌치가 부분적으로 에칭된 후, 상기 에칭이 계속되면 (단계 1324), 처리 사이클들은, 도 14d에 도시된 바와 같이, 비아에 보호 측벽을 다시 형성하는 것으로서, 또 다른 보호층 (1460) 이 성막되는 단계 (단계 1316) 로 돌아가게 된다. 도 14e에 도시된 바와 같이, 트렌치 (1456) 를 더욱 깊게 하기 위해 트렌치에 대한 에칭이 더 수행된다 (단계 1320). 상기 사이클은 트렌치가 필요한 두께로 에칭될 때까지 계속된다 (단계 1324). 이 후 잔여 보호층과 마스크가 제거된다 (단계 1328).If the etching continues after the trench is partially etched (step 1324), the processing cycles are to form another sidewall of the via, as shown in FIG. 14D, in which another passivation layer 1460 is deposited. The process returns to step 1316. As shown in FIG. 14E, etching to the trench is further performed to deepen the trench 1456 (step 1320). The cycle continues until the trench is etched to the required thickness (step 1324). After this, the remaining protective layer and mask are removed (step 1328).

본 실시형태는 면형성을 막기 위해 측벽 보호를 제공한다. 면형성부를 막기 위한 높은 플러그의 요구를 제거할 수 있다. 장벽층의 개방을 막기 위해 보다 짧은 플러그가 이용될 수 있다. 그러나, 몇몇 실시형태는 모든 플러그를 제거하는 것이 가능할 수도 있다.This embodiment provides sidewall protection to prevent surface formation. The need for a high plug to block the surface forming portion can be eliminated. Shorter plugs may be used to prevent opening of the barrier layer. However, some embodiments may be able to remove all plugs.

레시피recipe

본 발명의 특정 예에서, 비아 제 1 방법을 이용하여 듀얼 다마신 피처를 형성하기 위해 Coral™층이 에칭된다. 비아들은 먼저 Coral로 에칭된다 (단계 1304). 본 예에서, 부분적인 플러그는 형성되지 않는다. 포토레지스트 트렌치 패턴 마스크가 Coral층 위에 형성된다 (단계 1312). 비반사층 또는 다른 층들이 Coral층과 포토레지스트 마스크 사이에 위치될 수 있다. 그와 같은 경우, 다양한 에칭 단계들이 그러한 중간층들을 개방하기 위해 첨가될 수 있다.In a particular example of the invention, the Coral ™ layer is etched to form dual damascene features using the via first method. Vias are first etched into Coral (step 1304). In this example, no partial plug is formed. A photoresist trench pattern mask is formed over the Coral layer (step 1312). An antireflective layer or other layers may be located between the Coral layer and the photoresist mask. In such a case, various etching steps may be added to open such intermediate layers.

비아의 측벽들상 보호층들에 대한 성막 (단계 1316) 은, 27MHz에서 인가전력 400watt, 2MHz에서 인가전력 0watt로, 압력 80millitorr하에 Exelan DFC 2300 내에서 수행된다. 성막 케미칼은 아르곤 600sccm, H2 80sccm, 및 CF4 60sccm이다. 상부 전극은 온도 25℃에 놓인다. 척은 온도 20℃에 놓인다. 백사이드 내측 영역 척의 헬륨 압력은 15torr에 놓인다. 백사이드 외측 영역 척의 압력은 15torr에 놓인다. 본 단계는 45초 동안 수행된다.Deposition of the protective layers on the sidewalls of the via (step 1316) is performed in Exelan DFC 2300 under pressure 80 millitorr with an applied power of 400 watts at 27 MHz and an applied power of 0 watts at 2 MHz. Film deposition chemicals are 600 sccm argon, 80 sccm H 2 , and 60 sccm CF 4 . The upper electrode is placed at a temperature of 25 ° C. The chuck is placed at a temperature of 20 ° C. The helium pressure of the backside inner region chuck is at 15 torr. The pressure of the backside outer region chuck is at 15 torr. This step is performed for 45 seconds.

Coral™층으로의 트렌치 에칭은 27MHz에서 인가전력 800watt, 2MHz에서 인가전력 0watt로, 압력 80millitorr하에 Exelan DFC 2300 내에서 수행된다. 에칭케미칼은 아르곤 200sccm, CF4 60sccm, CHF3 20sccm, 및 O2 10sccm이다. CF4는, 에칭 중 중합을 제공하는, 폴리머 형성자 가스이다. O2는 에칭 인에이블러 가스이다. CF4의 불소는 에칭에서 사용되지만, 본 예에서 불소는 산소가 존재하여 에칭이 가능하게 해줄 것을 필요로 한다. 상부 전극은 온도 25℃에 놓인다. 척은 온도 20℃에 놓인다. 백사이드 내측 영역 척의 헬륨 압력은 15torr에 놓인다. 백사이드 외측 영역 척의 압력은 15torr에 놓인다.Trench etching into the Coral ™ layer is performed in an Exelan DFC 2300 under pressure 80 millitorr, with an applied power of 800 watts at 27 MHz and an applied power of 0 watts at 2 MHz. Etching chemicals are argon 200 sccm, CF 4 60 sccm, CHF 3 20 sccm, and O 2 10 sccm. CF 4 is a polymer former gas that provides polymerization during etching. O 2 is an etch enabler gas. Fluorine of CF 4 is used in etching, but in this example fluorine requires that oxygen is present to enable etching. The upper electrode is placed at a temperature of 25 ° C. The chuck is placed at a temperature of 20 ° C. The helium pressure of the backside inner region chuck is at 15 torr. The pressure of the backside outer region chuck is at 15 torr.

트렌치 에칭 가스는 에칭가스 성분 중 적어도 하나 및 적어도 폴리머 형성자 가스 성분 중 적어도 하나를 포함하여야 한다. 폴리머 형성자 가스는 트렌치 측벽 표면상에 측벽 보호를 제공하여 이방성 에칭방식으로 수직 트렌치 프로파일 효과를 가져올 수 있어야 한다. 트렌치 에칭이 계속되는 동안 보호되어야 하므로 트렌치 수직면들은 트렌치 에칭이 지속되는 동안 계속해서 개방돼 있으며, 트렌치와 비아 수직 측벽들이 상당히 다르기 때문에, 트렌치 에칭이 시작되기 전에 비아 수직 면들이 개방된다. 또한 중간 내지 높은 이온에너지들이 트렌치 프로파일, 마스크 및 기층 선택도의 성능에 도움을 주기에 바람직하다. 에칭 예에서, 800w 27MHz RF 전력은 200ev보다 큰 이온충격에너지를 제공한다. 마이크로 트렌칭, 애스펙트비의 종속성 등과 같은 트렌치 에칭의 성능의 영향에 따라 보다 높은 이온에너지도 가능하다.The trench etch gas should include at least one of the etch gas components and at least one of the polymer former gas components. The polymer former gas should be able to provide sidewall protection on the trench sidewall surface to effect vertical trench profiles with anisotropic etching. The trench vertical surfaces remain open for the duration of the trench etch because they must be protected while the trench etch continues, and the via vertical faces open before the trench etch begins because the trench and via vertical sidewalls are quite different. Medium to high ion energies are also desirable to assist in the performance of trench profiles, masks and substrate selectivity. In the etching example, 800w 27MHz RF power provides more than 200 ev ion impact energy. Higher ion energies are also possible depending on the performance of trench etching, such as micro trenching, aspect ratio dependencies, and the like.

보호층의 성막 (단계 1316) 은 45초 동안 수행된다. 다음으로, 트렌치가 30초 동안 에칭된다 (단계 1320). 보호층의 성막 (단계 1316) 과 트렌치의 에칭 (308) 은 수회 반복될 수 있는데, 이는 3300Å의 트렌치 에칭을 제공한다.The deposition of the protective layer (step 1316) is performed for 45 seconds. Next, the trench is etched for 30 seconds (step 1320). The deposition of the protective layer (step 1316) and the etching of the trench 308 may be repeated several times, which provides a trench etching of 3300 ms.

도 15a는 상기 예에 따라 에칭된 듀얼 다마신에 대한 단면도의 현미경사진이다. 도 15b는 듀얼 다마신 피처의 상부 및 측면 사시도이다. 비아들 (1504) 은 Coral층 (1508) 에 에칭된다. 트렌치 (1512) 는 또한 Coral층에 에칭된다. 본 예는 부분적인 플러그를 사용하지 않으므로, 플러그를 제거하는 단계가 필요없도록, 비아에 플러그가 존재하지 않는다. 작은 크기의 면형성부 (1516) 가 나타날 수 있지만, 비아 및 트렌치가 잘 형성된다. 현미경사진 또한 본 예의 펜스부가 상당히 감소 또는 제거되었다는 사실을 보여준다.15A is a micrograph of a cross sectional view of dual damascene etched according to the example above. 15B is a top and side perspective view of the dual damascene feature. Vias 1504 are etched into Coral layer 1508. Trench 1512 is also etched into the Coral layer. Since this example does not use a partial plug, there is no plug in the via so that there is no need to remove the plug. Small sized planar portions 1516 may appear, but vias and trenches are well formed. Micrographs also show that the fence portion of this example was significantly reduced or eliminated.

도 8a와 도 8b는 제어기 (535) 로 사용하기에 적합한 컴퓨터 시스템 (800) 을 도시하고 있다. 도 8a는 제어기 (535) 로 사용될 수 있는 한 가지 가능한 물리적 형태의 컴퓨터 시스템을 도시하고 있다. 컴퓨터 시스템은 집적회로, 인쇄회로기판, 및 소형 기기에서부터 대형 수퍼 컴퓨터에 이르기까지 많은 물리적 형태를 가질 수 있다는 것은 당연한 사실이다. 컴퓨터 시스템 (800) 은 모니터 (802), 디스플레이 (804), 하우징 (806), 키보드 (810), 및 마우스 (812) 를 포함한다. 디스크 (814) 는 컴퓨터 시스템 (800) 과 데이터를 송수신하기 위해 사용된 컴퓨터 판독가능 매체이다.8A and 8B illustrate a computer system 800 suitable for use as the controller 535. 8A illustrates one possible physical form of computer system that can be used as controller 535. It is no surprise that computer systems can have many physical forms, from integrated circuits, printed circuit boards, and small devices to large supercomputers. Computer system 800 includes a monitor 802, a display 804, a housing 806, a keyboard 810, and a mouse 812. Disk 814 is a computer readable medium used to exchange data with computer system 800.

도 8b는 컴퓨터 시스템 (800) 에 대한 블럭도를 예시한 것이다. 다양한 범위의 서브시스템들이 시스템 버스 (820) 에 부가되어 있다. 메모리 (824) 를 포함하여, 또한, (CPU라 하는) 프로세서(들) (822) 이 저장장치에 결합되어 있다. 메모리 (824) 는 RAM과 ROM을 포함한다. 당업계에 잘 알려져 있는 바와 같이, ROM은 데이터와 명령을 일방향으로 CPU에 전송하며 RAM은 통상적으로 쌍방향으로 데이터와 명령을 전송하는 데 이용된다. 두 가지 타입의 메모리 모두 이하에서 기술하는 임의의 적합한 타입의 컴퓨터 판독가능 매체를 포함할 수 있다. 고정형 디스크 (826) 는 또한 CPU (822) 에 쌍방향으로 결합되어 있는데, 부가적인 데이터 저장 용량을 제공하며 또한 아래에서 기술하는 임의의 컴퓨터 판독가능 매체를 포함할 수 있다. 고정형 디스크 (826) 는 프로그램, 데이터 등을 저장하는 데 사용되며 통상적으로 제 1 저장매체보다 느린 (하드 디스크와 같은) 제 2 저장매체이다. 고정형 디스크 (826) 가 보유한 정보는, 적절한 경우, 메모리 (824) 내 가상 메모리와 같이 표준 방식으로 채택될 수 있다고 이해될 것이다. 착탈가능 디스크 (814) 는 후술하는 임의의 컴퓨터 판독가능 매체의 형태를 취할 수 있다.8B illustrates a block diagram for a computer system 800. A wide range of subsystems are added to the system bus 820. In addition to memory 824, processor (s) 822 (also referred to as CPU) are coupled to storage. Memory 824 includes RAM and ROM. As is well known in the art, ROM transfers data and instructions to the CPU in one direction and RAM is typically used to transfer data and instructions in both directions. Both types of memory may include any suitable type of computer readable media described below. The fixed disk 826 is also bidirectionally coupled to the CPU 822, which provides additional data storage capacity and may also include any computer readable medium described below. Fixed disk 826 is used to store programs, data, and the like, and is typically a second storage medium (such as a hard disk) that is slower than the first storage medium. It will be appreciated that the information held by the fixed disk 826 may be adopted in a standard manner, such as virtual memory in the memory 824, where appropriate. Removable disk 814 can take the form of any computer readable medium described below.

CPU (822) 또한, 디스플레이 (804), 키보드 (810), 마우스 (812) 및 스피커 들 (830) 과 같은, 다양한 입력/출력 장치에 결합될 수 있다. 일반적으로, 입력/출력 장치는, 비디오 디스플레이, 트랙볼, 마우스, 마이크로폰, 접촉 감지 (touch-sensitive) 디스플레이, 변환기 카드 판독기, 자기 또는 페이퍼 테입 판독기, 태블릿, 스타일러스, 음성 또는 필체 인식기, 생물측정학 (biometrics) 판독기, 또는 다른 컴퓨터들 어떠한 것이라도 될 수 있다. CPU (822) 는 옵션으로 네트워크 인터페이스 (840) 를 이용하는 또 다른 컴퓨터 또는 원거리 통신 네트워크에 결합될 수도 있다. 그와 같은 네트워크 인터페이스에 의해, CPU는 네트워크로부터 정보를 수신하거나 전술한 방법의 단계들을 수행하는 동안 네트워크로 정보를 출력할 수 있다고 예상할 수 있다. 또한, 본 발명에 대한 방법의 실시형태들은 CPU (822) 상에서만 실행가능하며 또는 프로세스 일부를 공유하는 원격 CPU와 연결된 인터넷과 같은 네트워크를 통해 실행될 수도 있다.CPU 822 may also be coupled to various input / output devices, such as display 804, keyboard 810, mouse 812, and speakers 830. In general, input / output devices include video displays, trackballs, mice, microphones, touch-sensitive displays, transducer card readers, magnetic or paper tape readers, tablets, stylus, speech or handwriting readers, biometrics ( biometrics reader, or any other computer. CPU 822 may optionally be coupled to another computer or telecommunications network using network interface 840. With such a network interface, the CPU can expect to receive information from the network or output the information to the network while performing the steps of the method described above. In addition, embodiments of the method for the present invention may be executed only on the CPU 822 or may be executed over a network such as the Internet connected with a remote CPU sharing a part of the process.

아울러, 본 발명의 실시형태들은 컴퓨터로 구현되는 다양한 작동을 수행하기 위한 컴퓨터 코드를 갖는 컴퓨터 판독가능 매체가 구비된 컴퓨터 저장 매체 제품들과 관련되어 있다. 매체 및 컴퓨터 코드는 본 발명의 목적을 위하여 특별히 설계 구성될 수 있으며, 또는 컴퓨터 소프트웨어업계의 당업자들에게는 잘 알려져 있으며 통용되고 있는 종류일 수도 있다. 컴퓨터 판독가능 매체의 예들에는, 하드디스크, 플로피 디스크, 및 자기 테입과 같은 자기 매체, CD-ROM과 홀로그래픽 장치와 같은 광학 매체, 광플로피디스크와 같은 광자기 매체, 및 ASIC (Application-Specific Integrated Circuit), PLD (Programmable Logic Devices) 및 ROM과 RAM 장치와 같은, 프로그램코드를 저장 및 실행시키기 위하여 특별히 구성된 하드웨어장치가 포함되지만, 반드시 이들에 한정되는 것은 아니다. 컴퓨터 코드의 예들로는 컴파일러로 만들어진 머신 코드와, 번역기를 이용하여 컴퓨터로 실행되는 상위 레벨 코드를 포함하는 파일들이 포함된다. 컴퓨터 판독가능 매체는 또한 반송파에 포함되며 프로세서에 의해 실행가능한 일련의 명령을 나타내는 컴퓨터 데이터 신호에 의해 전송된 컴퓨터 코드일 수 있다.In addition, embodiments of the present invention relate to computer storage media products equipped with computer readable media having computer code for performing various operations implemented by a computer. The media and computer code may be specially designed for the purposes of the present invention or may be of the kind well known and commonly used by those skilled in the computer software arts. Examples of computer readable media include magnetic media such as hard disks, floppy disks, and magnetic tape, optical media such as CD-ROMs and holographic devices, magneto-optical media such as optical floppy disks, and Application-Specific Integrated. Hardware devices specifically configured to store and execute program code, such as circuits, programmable logic devices (PLDs), and ROM and RAM devices, are included, but are not necessarily limited to these. Examples of computer code include machine code produced by a compiler and files containing high level code that is executed by a computer using a translator. The computer readable medium may also be computer code transmitted by a computer data signal contained in a carrier wave and representing a series of instructions executable by a processor.

본 발명이 몇 가지 바람직한 예로 설명되어졌지만, 본 발명의 범위 내에서 변형, 변경, 및 균등물로의 치환하는 것이 가능하다. 본 발명에 의한 방법 및 장치를 구현시키는 여러 가지 대체 방법들이 존재한다. 따라서 다음에 첨부하는 청구범위는 그러한 대체물, 변경, 및 본 발명의 진정한 범위 내에 있는 균등물들을 포함하는 것으로 해석되어져야 한다.Although the present invention has been described with some preferred examples, modifications, changes, and equivalents thereof are possible within the scope of the present invention. There are several alternative ways of implementing the method and apparatus according to the invention. Therefore, the following claims should be construed to include such substitutes, modifications, and equivalents within the true scope of the present invention.

404 : 포토레지스트 마스크 408 : 산화물층
412 : 보호층 416 : 컨택트 홀
502 : 한정 링 504 : 상부 전극
508 : 하부전극 510 : 가스 소스
512: 패시베이션 가스 소스 514: 에천트 가스 소스
516 : 추가 가스 소스 520 : 배기 펌프
544 : 제 1 RF 소스 548 : 제 2 RF 소스
404 photoresist mask 408 oxide layer
412: protective layer 416: contact hole
502: confinement ring 504: upper electrode
508: lower electrode 510: gas source
512: passivation gas source 514: etchant gas source
516: additional gas source 520: exhaust pump
544: First RF Source 548: Second RF Source

Claims (16)

에칭 층에 복수의 비아를 형성하는 단계;
상기 에칭 층 상에 트렌치 패터닝된 마스크를 제공하는 단계;
상기 복수의 비아의 측벽 상에 보호측벽을 형성하는 단계, 및 상기 트렌치 패터닝된 마스크를 통하여 상기 에칭 층에 트렌치를 에칭하는 단계의 사이클을 포함하는, 트렌치를 에칭하는 단계; 및
마스크를 스트립하는 단계를 포함하는, 듀얼 다마신 피처의 형성방법.
Forming a plurality of vias in the etch layer;
Providing a trench patterned mask on the etch layer;
Etching a trench, the cycle comprising forming a protective sidewall on sidewalls of the plurality of vias, and etching a trench in the etch layer through the trench patterned mask; And
Stripping a mask, the method of forming a dual damascene feature.
제 1 항에 있어서,
상기 트렌치 사이클은 3 회 이상 반복되는, 듀얼 다마신 피처의 형성방법.
The method of claim 1,
Wherein the trench cycle is repeated three or more times.
제 1 항에 있어서,
상기 트렌치 에칭 사이클은 5 회 이상 반복되는, 듀얼 다마신 피처의 형성방법.
The method of claim 1,
Wherein the trench etch cycle is repeated five or more times.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 보호측벽을 형성하는 단계 및 상기 에칭하는 단계는 공통 플라즈마 처리 챔버에서 수행되는, 듀얼 다마신 피처의 형성방법.
The method according to any one of claims 1 to 3,
Forming the protective sidewall and etching are performed in a common plasma processing chamber.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 보호측벽을 형성하는 단계는 무지향성 성막을 이용하고 상기 에칭하는 단계는 지향성 에칭을 이용하는, 듀얼 다마신 피처의 형성방법.
The method according to any one of claims 1 to 3,
Wherein the forming of the protective sidewalls uses non-directional deposition and the etching uses directional etching.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 보호측벽을 형성하는 단계 동안 100 eV 보다 큰 이온 에너지를 갖는 에너지성 이온들에 의해 웨이퍼에 충격을 가하는 (bombarded), 듀얼 다마신 피처의 형성방법.
The method according to any one of claims 1 to 3,
And bombarding the wafer by energetic ions having ion energy greater than 100 eV during the forming of the protective sidewalls.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 보호측벽을 형성하는 단계는 비에칭 또는 무시가능한 에칭 성막인, 듀얼 다마신 피처의 형성방법.
The method according to any one of claims 1 to 3,
Forming the protective sidewall is a non-etched or negligible etch deposition method.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 보호측벽을 형성하는 단계는 폴리머 형성자로서 H2, CH3F, CH2F2, CHF3, C4F6, C4F8 중 하나 이상 및 에칭 가스로서 CF4, C2F6, 및 NF3 중 하나 이상을 포함하는 가스 혼합물을 이용하는, 듀얼 다마신 피처의 형성방법.
The method according to any one of claims 1 to 3,
The protecting side wall may be formed by one or more of H 2 , CH 3 F, CH 2 F 2 , CHF 3 , C 4 F 6 , C 4 F 8 as a polymer former, and CF 4 , C 2 F 6 as an etching gas. And a gas mixture comprising at least one of NF 3 .
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 보호측벽을 형성하는 단계는 CF4 와 H2 를 포함하는 혼합물을 이용하는, 듀얼 다마신 피처의 형성방법.
The method according to any one of claims 1 to 3,
Forming the protective sidewall using a mixture comprising CF 4 and H 2 .
제 9 항에 있어서,
상기 CF4 대 H2 가스 유량비는 체적유량비로 0.6 : 1 내지 1.4 : 1 의 범위인, 듀얼 다마신 피처의 형성방법.
The method of claim 9,
Wherein the CF 4 to H 2 gas flow rate ratio is in a range of 0.6: 1 to 1.4: 1 by volume flow rate ratio.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 보호측벽을 형성하는 단계는 화학적 기상증착 및 스퍼터링 중 하나 이상의 것으로부터 선택되는, 듀얼 다마신 피처의 형성방법.
The method according to any one of claims 1 to 3,
Forming the protective sidewall is selected from one or more of chemical vapor deposition and sputtering.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
상기 에칭 층은 로우-k 유전체 재료인, 듀얼 다마신 피처의 형성방법.
The method according to any one of claims 1 to 3,
And the etch layer is a low-k dielectric material.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
트렌치 플라즈마 에칭 처리의 시작 이전에, 희생 충전재 (sacrificial filler material) 로 비아홀을 충전하지 않는, 듀얼 다마신 피처의 형성방법.
The method according to any one of claims 1 to 3,
A method of forming a dual damascene feature, wherein the via hole is not filled with a sacrificial filler material prior to the start of the trench plasma etching process.
제 1 항 내지 제 3 항 중 어느 한 항에 있어서,
트렌치 플라즈마 에칭 처리의 시작 이전에, 비아홀 높이의 50% 이하까지 충전재로 비아홀을 충전하는, 듀얼 다마신 피처의 형성방법.
The method according to any one of claims 1 to 3,
A method of forming a dual damascene feature, wherein the via hole is filled with a filler up to 50% or less of the via hole height prior to the start of the trench plasma etching process.
제 1 항 내지 제 3 항 중 어느 한 항에 기재된 듀얼 다마신 피처의 형성방법에 의해 형성된, 반도체. The semiconductor formed by the formation method of the dual damascene feature in any one of Claims 1-3. 제 1 항 내지 제 3 항 중 어느 한 항에 기재된 듀얼 다마신 피처의 형성방법을 수행하는, 장치.Apparatus for performing the method of forming a dual damascene feature according to any one of claims 1 to 3.
KR1020107021194A 2002-10-11 2003-10-06 A method for plasma etching performance enhancement KR101075045B1 (en)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US41780602P 2002-10-11 2002-10-11
US60/417,806 2002-10-11
US10/295,601 US6833325B2 (en) 2002-10-11 2002-11-14 Method for plasma etching performance enhancement
US10/295,601 2002-11-14
US10/674,675 2003-09-29
US10/674,675 US7169695B2 (en) 2002-10-11 2003-09-29 Method for forming a dual damascene structure

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020057006281A Division KR101029947B1 (en) 2002-10-11 2003-10-06 A method for plasma etching performance enhancement

Publications (2)

Publication Number Publication Date
KR20100108467A KR20100108467A (en) 2010-10-06
KR101075045B1 true KR101075045B1 (en) 2011-10-19

Family

ID=46123508

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020107021194A KR101075045B1 (en) 2002-10-11 2003-10-06 A method for plasma etching performance enhancement

Country Status (5)

Country Link
KR (1) KR101075045B1 (en)
CN (1) CN1723549B (en)
IL (2) IL167935A (en)
SG (1) SG152920A1 (en)
TW (1) TWI315751B (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108025931A (en) * 2015-12-04 2018-05-11 日本多宁股份有限公司 Electrolytic water generating device and electrolysis water generation system

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
WO2009062123A2 (en) * 2007-11-08 2009-05-14 Lam Research Corporation Pitch reduction using oxide spacer
CN101983417B (en) * 2008-03-31 2013-04-24 日本瑞翁株式会社 Plasma etching method
US8277670B2 (en) * 2008-05-13 2012-10-02 Lam Research Corporation Plasma process with photoresist mask pretreatment
CN101988196B (en) * 2009-08-07 2013-09-04 中微半导体设备(上海)有限公司 Deep reactive ion etching method and gas-flow control device thereof
US8304262B2 (en) * 2011-02-17 2012-11-06 Lam Research Corporation Wiggling control for pseudo-hardmask
US8501499B2 (en) * 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
US8598040B2 (en) * 2011-09-06 2013-12-03 Lam Research Corporation ETCH process for 3D flash structures
JP6030886B2 (en) * 2012-08-09 2016-11-24 東京エレクトロン株式会社 Plasma etching method and plasma etching apparatus
JP6320282B2 (en) * 2014-12-05 2018-05-09 東京エレクトロン株式会社 Etching method
CN107994026B (en) * 2017-11-16 2020-07-10 长江存储科技有限责任公司 Process for protecting side wall in etching high depth-to-width ratio trench hole
CN107910294A (en) * 2017-11-24 2018-04-13 睿力集成电路有限公司 The interconnecting construction of semiconductor devices and the interconnection line manufacture method of semiconductor devices
US10453684B1 (en) * 2018-05-09 2019-10-22 Applied Materials, Inc. Method for patterning a material layer with desired dimensions
US10964587B2 (en) * 2018-05-21 2021-03-30 Tokyo Electron Limited Atomic layer deposition for low-K trench protection during etch
JP7071884B2 (en) * 2018-06-15 2022-05-19 東京エレクトロン株式会社 Etching method and plasma processing equipment
CN109524415B (en) * 2018-11-14 2021-03-30 长江存储科技有限责任公司 Manufacturing method of three-dimensional memory and three-dimensional memory
CN113035694B (en) * 2019-12-25 2024-09-10 中微半导体设备(上海)股份有限公司 Etching method
CN117976607B (en) * 2024-03-27 2024-06-21 粤芯半导体技术股份有限公司 Method for preparing trench isolation of semiconductor device and semiconductor device

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0822582B1 (en) * 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
US6025255A (en) * 1998-06-25 2000-02-15 Vanguard International Semiconductor Corporation Two-step etching process for forming self-aligned contacts
US6127258A (en) * 1998-06-25 2000-10-03 Motorola Inc. Method for forming a semiconductor device
US6326307B1 (en) * 1999-11-15 2001-12-04 Appllied Materials, Inc. Plasma pretreatment of photoresist in an oxide etch process
US6403491B1 (en) * 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
DE10059836A1 (en) * 2000-12-01 2002-06-13 Infineon Technologies Ag Structuring dielectric layer used in semiconductor industry comprises preparing substrate consisting of dielectric layer and mask, and etching dielectric layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108025931A (en) * 2015-12-04 2018-05-11 日本多宁股份有限公司 Electrolytic water generating device and electrolysis water generation system
CN108025931B (en) * 2015-12-04 2021-07-30 日本多宁股份有限公司 Electrolyzed water generation device and electrolyzed water generation system

Also Published As

Publication number Publication date
IL190716A (en) 2011-07-31
IL190716A0 (en) 2008-11-03
CN1723549B (en) 2012-01-18
TW200408732A (en) 2004-06-01
SG152920A1 (en) 2009-06-29
IL167935A (en) 2009-12-24
TWI315751B (en) 2009-10-11
CN1723549A (en) 2006-01-18
KR20100108467A (en) 2010-10-06

Similar Documents

Publication Publication Date Title
KR101029947B1 (en) A method for plasma etching performance enhancement
US6833325B2 (en) Method for plasma etching performance enhancement
KR101160102B1 (en) Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US7977390B2 (en) Method for plasma etching performance enhancement
KR101083623B1 (en) Method for plasma etching using periodic modulation of gas chemistry
JP5085997B2 (en) Method and apparatus for enhancing plasma etching performance
KR101075045B1 (en) A method for plasma etching performance enhancement
JP5081917B2 (en) Fluorine removal process
KR101171813B1 (en) Method for providing uniform removal of organic material
EP1856717A2 (en) Stabilized photoresist structure for etching process
WO2005060548A2 (en) Method of preventing damage to porous low-k materials during resist stripping
CN113035708A (en) Substrate processing method and substrate processing apparatus
US7192531B1 (en) In-situ plug fill
JP4500023B2 (en) Interlayer dielectric film dry etching method

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140925

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150925

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160928

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 7