JPH06251102A - 回路設計方法と回路検索方法と回路修正方法および回路設計装置 - Google Patents

回路設計方法と回路検索方法と回路修正方法および回路設計装置

Info

Publication number
JPH06251102A
JPH06251102A JP5031606A JP3160693A JPH06251102A JP H06251102 A JPH06251102 A JP H06251102A JP 5031606 A JP5031606 A JP 5031606A JP 3160693 A JP3160693 A JP 3160693A JP H06251102 A JPH06251102 A JP H06251102A
Authority
JP
Japan
Prior art keywords
circuit
information
design constraint
data
design
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP5031606A
Other languages
English (en)
Inventor
Masahiko Ueda
雅彦 植田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP5031606A priority Critical patent/JPH06251102A/ja
Publication of JPH06251102A publication Critical patent/JPH06251102A/ja
Pending legal-status Critical Current

Links

Abstract

(57)【要約】 【目的】 回路データベースに蓄積された既設計回路を
効率的に検索して、柔軟に再利用することを可能にする
回路設計方法と、それに基づく回路設計装置を提供す
る。 【構成】 入力された回路の機能記述および設計制約に
基づいて、回路を設計する回路設計方法であって、前記
機能記述および設計制約を解析して、機能情報および設
計制約情報を生成するステップ22,23と、前記機能
情報および前記設計制約情報を用いて回路データベース
を検索するステップ24とを有し、その検索ステップの
結果、もし前記回路データベースの中に適合する回路が
見つかれば、前記適合回路の回路データを前記回路デー
タベースから取り出し(ステップ27)、一方、もし前
記回路データベースの中に適合する回路が見つからなけ
れば、前記機能情報および前記設計制約情報をもとに回
路データを合成する(ステップ28)回路設計方法であ
る。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明はLSI等の回路の設計を
効率化する設計自動化技術に係わり、特に既設計回路デ
ータの再利用に基づいて設計を行なう回路設計方法、お
よびそれを用いた回路設計装置に関するものである。
【0002】
【従来の技術】近年、大規模化するLSIの設計工数増
大の問題に対処するため、ハードウェア記述言語を用い
てLSIを機能記述し、自動回路生成装置を用いて自動
設計するトップダウン設計手法が普及してきた。しかし
ながら、自動合成された回路は人手で最適に設計された
回路と比べると、サイズが大きくなり、性能も良くない
ために、さらに大規模・複雑化したシステムLSIの設
計を効率化するには、人手で設計された既存の設計資産
を柔軟に再利用することが不可欠である。
【0003】従来の自動回路生成装置としては、例え
ば、特開昭61ー88371号公報に記載された論理合
成方法に基づく論理回路生成装置と、特開昭63ー15
6336号公報に記載された設計方法に基づくレイアウ
ト情報生成装置を組み合わせたものがある。前者は、入
力された機能仕様から一旦AND/ORゲートからなる
論理回路を合成して簡略化した後、NAND/NORゲ
ートからなる論理回路に変換し、最後に所望のテクノロ
ジーの論理回路に変換している。後者は、入力された論
理回路を複数の回路ブロックに分割した後、各ブロック
の配置配線を行ない、最後にブロック間の配置配線を行
なうことによって、LSIのレイアウト情報を生成して
いる。
【0004】このような自動回路生成装置を用いた従来
の再利用設計方法の例を、図23のフローチャートによ
り説明する。ステップ231では機能設計時に、設計者
が回路データベースを検索して、再利用可能と思われる
回路部品を捜す。次にステップ232では再利用部品以
外の部分の機能記述を作成し、ステップ233〜235
では回路データベースから取り出した再利用部品の回路
データと結合して、シミュレーションを行ない、再利用
可能性を最終的に確認する。ステップ236ではこのよ
うにして再利用可能な回路部品が見つかれば、自動回路
生成装置を用いて、再利用部品以外の部分の機能記述か
ら回路データを合成する。最後に、ステップ237では
回路データベースから取り出した再利用部品の回路デー
タと結合することにより、全体の回路データを得る。
【0005】また、従来の回路検索方法では、設計者が
回路データベースに格納された回路部品の仕様書に記載
された回路仕様を、設計したい回路の仕様と比べること
により、再利用できるかどうかを判定していた。
【0006】また、従来の回路修正方法としては、例え
ば特開昭62ー72070号公報に記載された方法があ
る。ここでは、機能記述の一部が変更された時に、一旦
論理合成により仮の論理回路を生成し、それと変更前の
機能記述に対応する既設計の論理回路の間の対応関係を
ゲートレベルで調べて、共通部分については既設計の回
路データを用い、非共通部分については仮の論理回路を
用いて、最後に両者を併合している。
【0007】また、論理回路修正方法として、例えば、
「電子情報通信学会論文誌」’91/2Vol.J74
−Aの162頁から169頁に記載されている「”エン
ジニアリングチェンジ”に対処する逐次合成手法」があ
る。
【0008】
【発明が解決しようとする課題】従来の自動回路生成装
置においては、既設計回路の再利用が考慮されていない
ため、入力された機能記述から、常に新たな回路の合成
を行ない、既に設計され蓄積された設計資産を有効に再
利用することができない。現状では、自動合成された回
路は、人手で最適に設計された回路と比べると、面積や
遅延等の品質が劣るため、システムLSIのような大規
模・高性能な回路に、従来の自動回路生成装置をそのま
ま適用することはできない。従って、図23に示すよう
な方法で、既設計資産の再利用を行なう必要があるが、
このような従来の再利用設計方法では、回路データベー
スに含まれる既設計回路の検索を設計者自身が行なう必
要があり、設計者にとっては大きな負担になる。特に本
格的な回路データベースでは、設計者がその全体を把握
するのは非常に困難であり、そのため再利用設計により
大幅に設計工数を削減できる場合でも多大な工数をかけ
て人手で設計してしまうことになる。また、この方法で
は既設計回路をそのまま再利用するが、実際の設計で
は、既設計回路がそのままでは再利用できない場合や、
再利用できたとしても使わない機能に対応する冗長回路
がそのまま残ってしまう場合が多い。従って、再利用設
計を有効に行なうためには、設計者が柔軟に回路データ
を修正する必要があるが、このように回路データを修正
することは、通常極めて困難である。
【0009】一方、特開昭62ー72070号公報に記
載されたような回路修正方法を用いれば、既設計回路デ
ータの内、使える部分だけ再利用して、それ以外の修正
された部分は新たに合成した回路データを使うという柔
軟な再利用設計が可能であり、上記の問題は解決され
る。しかしながら、この方法ではゲートレベルの詳細な
構造で対応を取るため、合成後に人手で少し変更したよ
うな回路の再利用には有効であるが、フルカスタム設計
した場合のように、ゲートレベルの回路構造が合成した
ものと大きく異なるような場合には適用できない。従っ
て、ゲートアレイには有効でも、システムLSIの設計
には役に立たないという問題点がある。
【0010】また、「”エンジニアリングチェンジ”に
対処する逐次合成手法」に記載されたような方法では、
論理レベルの情報を用いて回路修正を行うために、扱え
る回路規模に制限がある上、対象は組み合せ論理回路に
限定されていた。
【0011】本発明はかかる点に鑑み、回路データベー
スに蓄積された既設計回路を効率的に検索して、柔軟に
再利用することを可能にする回路設計方法と、それに基
づく回路設計装置を提供することを目的とする。
【0012】
【課題を解決するための手段】上記の問題点を解決する
ために、本発明の回路設計方法は、入力された回路の機
能記述および設計制約に基づいて、回路を設計する回路
設計方法であって、前記機能記述および設計制約を解析
して、機能情報および設計制約情報を生成するステップ
と、前記機能情報および前記設計制約情報を用いて回路
データベースを検索するステップとを有し、その検索ス
テップの結果、もし前記回路データベースの中に適合す
る回路が見つかれば、前記適合回路の回路データを前記
回路データベースから取り出し、一方、もし前記回路デ
ータベースの中に適合する回路が見つからなければ、前
記機能情報および前記設計制約情報をもとに回路データ
を合成する。
【0013】本発明の他の回路設計方法は、入力された
回路の機能記述および設計制約に基づいて、回路を設計
する回路設計方法であって、前記機能記述および設計制
約を解析して、機能情報および設計制約情報を生成する
ステップと、前記機能情報および前記設計制約情報を用
いて回路データベースを検索するステップとを有し、そ
の検索ステップの結果、前記回路データベースの中に類
似した回路が見つかれば、前記回路データベースから取
り出した前記類似回路の回路データと前記機能情報およ
び前記設計制約情報から、前記類似回路に対する修正情
報を生成し、前記修正情報に基づいて、前記類似回路の
回路データを修正する。
【0014】本発明の他の回路設計方法は、入力された
回路の機能記述および設計制約に基づいて、回路を設計
する回路設計方法であって、前記機能記述および設計制
約を解析して、機能情報および設計制約情報を生成する
ステップと、前記機能情報を再利用の対象となる第一の
部分機能情報と、それ以外の第二の部分機能情報に分割
するステップとを有し、再利用対象部分については、前
記第一の部分機能情報と前記設計制約情報を用いて、回
路データベースを検索して、前記回路データベースに格
納された既設計回路の回路データを、そのままもしくは
修正して再利用し、再利用対象以外の部分については、
前記第二の部分機能情報と前記設計制約情報から回路デ
ータを合成する。
【0015】本発明の回路設計装置は、入力された回路
の機能記述および設計制約から回路データを生成する回
路設計装置であって、前記機能記述および前記設計制約
を解析して、機能情報および設計制約情報を出力する機
能解析手段と、既設計回路のデータを格納する回路デー
タベースと、前記機能情報および前記設計制約情報をも
とに、前記回路データベースを検索して検索結果を出力
する回路検索手段とを備えたものである。
【0016】本発明の他の回路設計装置は、入力された
回路の機能記述および設計制約から回路データを生成す
る回路設計装置であって、前記機能記述および前記設計
制約を解析して、機能情報および設計制約情報を出力す
る機能解析手段と、既設計回路のデータを格納する回路
データベースと、前記機能情報および前記設計制約情報
と、前記回路データベースから取り出した、再利用部品
の回路データを比較して、修正情報を出力する修正情報
生成手段と、前記修正情報をもとに、設計者が前記再利
用部品の回路データの修正を行うことを支援する回路修
正支援手段とを備えたものである。
【0017】本発明の他の回路設計装置は、入力された
回路の機能記述および設計制約から回路データを生成す
る回路設計装置であって、前記機能記述および前記設計
制約を解析して、機能情報および設計制約情報を出力す
る機能解析手段と、既設計回路のデータを格納する回路
データベースと、前記機能情報および前記設計制約情報
と、前記回路データベースから取り出した再利用部品の
回路データを比較して、修正情報を出力する修正情報生
成手段と、前記修正情報をもとに、前記再利用部品の回
路データの修正を行う回路修正手段とを備えたものであ
る。
【0018】
【作用】本発明では、従来の再利用設計方法とは異な
り、入力された回路の機能記述および設計制約から、機
能解析手段により、機能情報および設計制約情報を生成
し、それらの情報を用いて、回路検索手段により、回路
データベースを検索する。機能解析手段により生成され
る機能情報および設計制約情報は、人手で作成する機能
記述および設計制約とは異なり、定型的な表現形式から
なる情報であるため、例えば、計算機を用いて高速かつ
正確に処理することもでき、従来のように仕様書を用い
て人手で検索していた場合に比べて、効率的に既設計回
路を検索することが可能である。
【0019】またデータフロー図のような機能情報は、
ハードウェア記述言語等による機能記述と比べると、同
じ機能を表すのに表現の自由度が小さいため、効率的な
既設計回路との照合が可能である。
【0020】また本発明では、回路データベース検索の
結果、もしも適合する回路が見つかれば、その回路デー
タをそのまま再利用するが、そのまま適合する回路が見
つからない場合でも、類似した回路が見つかれば、その
回路データと機能情報および設計制約情報から修正情報
生成手段により修正情報を生成するので、その修正情報
に基づき、回路修正手段もしくは回路修正支援手段によ
り、検索した回路データを修正して再利用することがで
きる。もしも類似した回路も見つからなければ、生成さ
れた機能情報および設計制約情報から回路合成手段によ
り、回路データを合成する。修正情報生成手段により生
成される修正情報も定型的な表現形式からなる情報であ
り、回路修正手段により自動的に回路を修正したり、あ
るいは回路修正支援手段により、設計者が回路を人手で
修正する作業を効率化したりすることができる。これに
より、従来のように回路データベースから回路データを
そのまま再利用してくる場合と比べて、柔軟な既設計回
路の再利用が可能である。
【0021】
【実施例】以下本発明の各実施例について、図面を参照
しながら説明する。
【0022】(実施例1)図1は、請求項4、5、6の
発明に係る回路設計装置の一実施例の構成を示すブロッ
ク図である。
【0023】図1において、1は入力された機能記述お
よび設計制約を解析して、機能情報および設計制約情報
を出力する機能解析手段、2は既設計回路のデータを格
納する回路データベース、3は機能解析手段1が出力し
た機能情報および設計制約情報をもとに、回路データベ
ース2を検索して検索結果を出力する回路検索手段、4
は機能情報および設計制約情報と、回路データベース2
から取り出した再利用部品の回路データを比較して、修
正情報を出力する修正情報生成手段、5は修正情報生成
手段4が出力する修正情報をもとに、設計者が回路デー
タベース2から取り出した再利用部品の回路データの修
正を行うことを支援する回路修正支援手段、6は修正情
報生成手段4が出力する修正情報をもとに、回路データ
ベース2から取り出した再利用部品の回路データの修正
を行う回路修正手段、7は機能解析手段1が出力した機
能情報および設計制約情報に基づいて回路を合成する回
路合成手段である。
【0024】以上のように構成された回路設計装置の動
作については、以下に示す本発明の回路設計方法、回路
検索方法、回路修正方法の実施例の中で順次説明する。
【0025】図2は、請求項1の発明に係る回路設計方
法の一実施例の処理フロー図であり、以下図1の回路設
計装置を用いた回路設計方法を説明する。
【0026】まずステップ21では、設計者は設計した
い回路の機能設計を行ない、回路の機能記述と設計制約
を作成する。
【0027】図9にハードウェア記述言語を用いた機能
記述の例を示す。ハードウェア記述言語を用いれば、ソ
フトウェアの高級言語風の構文を用いて、回路の動作を
記述することができる。図9の記述例は、入力cの値が
0の時には入力aと入力bの論理積a&bを出力し、c
が1の時にはaとbの論理和a|bを出力し、cが2の
時にはaとbの和a+bを出力し、cが3の時にはaと
bの差a−bを出力する回路の動作を表現している。こ
こで入力cは2ビットの信号であり、また入力a、bお
よび出力xは8ビットの信号である。ここではハードウ
ェア記述言語による例を示したが、機能記述としては、
その他にもソフトウェアの高級言語を用いたもの、デー
タフロー図、フローチャート、状態遷移図などの図的表
現を用いたもの、あるいはその組み合せ等様々な表現が
可能であり、要するに回路の機能レベルの表現であれば
よい。
【0028】また図10に、図9の機能記述に対応する
設計制約の例を示す。この例では、入力aあるいは入力
bと出力xの間の遅延値は、20nsec以下でなけれ
ばならないこと、また入力cと出力xの間の遅延値は、
10nsec以下でなければならないことが記述されて
いる。ここでは回路の入出力間の遅延制約を示したが、
設計制約としてはその他にも、回路の内部信号間の遅延
制約や内部信号と入出力間の遅延制約あるいは回路の面
積や消費電力の制約を与えることも可能である。
【0029】このようにして、機能記述と設計制約の作
成が終わると、テストデータを作成してシミュレーショ
ンを行ない、作成した機能記述が正しいかどうかを確認
する。
【0030】機能設計21が終わると、本実施例の機能
解析手段1により、機能記述および設計制約が解析され
(ステップ22)、機能情報および設計制約情報が生成
される(ステップ23)。
【0031】本実施例では機能情報としてデータフロー
図を用いている。図11に、図9の機能記述を解析して
得られたデータフロー図の例を示す。図中ノード101
〜104は、それぞれ論理積演算子、論理和演算子、加
算演算子、減算演算子であり、外部入力aとbの間の対
応する処理を実行し、結果を出力する。ノード105〜
108は等号演算子であり、外部入力cと定数値を比較
して等しいかどうかの結果を出力する。ノード109〜
112はTゲートであり、等号演算子の出力によってデ
ータの通過を制御する。またノード間あるいはノードと
外部入出力間の矢印(エッジ)は、データの流れを表し
ている。
【0032】もしも外部入力cの値が3であれば、等号
演算子108の出力がTrueになり、等号演算子10
5〜107の出力はFalseになる。その結果、減算
演算子104の出力a−bが、Tゲート112を通過し
て、外部出力xに到達する。Tゲート109〜111は
閉じているので、それ以外の演算結果は外部出力まで到
達しない。
【0033】以上述べたように、図11のデータフロー
図は図9に示した機能記述と直接的に対応しており、機
能記述を構文解析して得られる解析木から、機械的な変
換で容易に生成することができる。なお通常のデータフ
ロー図には、データのビット幅の概念はないが、ここで
はハードウェアのデータフローを表現するために、各デ
ータにビット幅の情報を付加している。
【0034】また、機能記述に対応した形で定義されて
いた設計制約は、機能解析手段1により、データフロー
図に対応した設計制約情報に変換される。なお、設計制
約は与えられない場合もあり、それも本発明に含まれ
る。また、機能情報はここに示したように1つのデータ
フロー図で表現される必要はなく、複数のデータフロー
図もしくは複数の異なった表現形式の組み合せであって
もよい。
【0035】さて、機能情報および設計制約情報が生成
されると、次にステップ24では回路検索手段3によ
り、それらの情報を用いて回路データベース2の検索が
行われる。なお、本回路検索処理については、実施例2
で詳細に説明する。
【0036】検索の結果、ステップ25で適合する回路
が見つかるか否かを判断し、もし適合する回路が見つか
れば、回路データベース2に格納された回路データを再
利用する(ステップ27)が、もし適合する回路が見つ
からなければ、回路合成手段7により、機能情報および
設計制約情報から回路データを合成する(ステップ2
8)。
【0037】次に回路合成処理28について、以下によ
り詳細に説明する。図16は、本実施例の回路合成処理
28で用いられている回路合成方法の処理フローを表し
ている。
【0038】まず、アーキテクチャ合成処理161では
図11に示したようなデータフロー図により与えられた
回路動作を、効率的に実行することができる機能レベル
の回路情報を生成するを実行する。ここでは具体的に
は、演算器やレジスタの割り当て、メモリアーキテクチ
ャやバスアーキテクチャ、制御回路仕様等が決定され
る。
【0039】データフロー図の各ノードにそれぞれ対応
する機能を有する演算器を割り付け、各エッジに信号配
線を割り付けることによって、機械的な処理でアーキテ
クチャ合成を実現することができるが、合成された回路
は面積が大きく、性能も低いものになってしまう。そこ
で排他的に実行される演算については、できるだけ共通
の演算器を割り付けたり、それでも不十分な場合にはデ
ータフロー図の各ノードを複数の処理ステップにスケジ
ューリングして、シーケンシャルに実行することにより
演算器の共通化を図る。このようにして合成された回路
アーキテクチャは、例えば図12に示したもののように
なる。
【0040】次に論理合成処理162では、アーキテク
チャ合成処理161の結果生成された回路アーキテクチ
ャに沿って、演算器や制御回路の論理レベルの回路情報
が生成される。本実施例では、論理レベルの回路情報と
して、標準セルの接続情報を生成している。このように
して合成された論理回路は、例えば図13に示したもの
のようになる。
【0041】次にレイアウト合成処理163では、論理
合成処理162の結果生成された論理レベルの回路情報
に従って、LSIチップ上に回路を実現していくための
マスクのレイアウト情報が生成される。本実施例では、
標準セルを用いた自動配置配線手法を用いている。この
手法では、標準セルのレイアウト情報は予め作成されて
いるので、論理レベルの接続情報に従って、標準セルを
最適に配置して、その間の接続を実現する配線のレイア
ウト情報を自動生成することにより、回路のレイアウト
情報を得ることができる。このようにして生成されたレ
イアウト情報は、例えば図14に示したもののようにな
る。
【0042】以上本実施例の回路合成処理28について
説明してきたが、ここで説明した以外にも数多くの回路
合成方法が存在している。例えば、繰り返し構造の規則
的な回路については、標準セルに基づいた論理合成やレ
イアウト合成手法を使わずに、アーキテクチャ合成16
1で生成された機能レベルの回路情報から、直接回路の
レイアウト情報を生成するモジュールジェネレーション
手法や、回路を分割して複数の手法を併用する方法等が
あり、これらも当然本発明に含まれている。
【0043】また、本実施例は簡単化のために、自動合
成処理のみから構成されているが、実際には全て自動で
処理することは困難で、各ステップでの検証や人手によ
る設計、合成した結果のインタラクティブな修正が行わ
れることが普通であり、このような場合も本発明に含ま
れる。また、本実施例では最終的なレイアウト情報まで
合成しているが、場合によっては論理合成162やアー
キテクチャ合成161で止めることや、あるいはアーキ
テクチャ合成161を飛ばして、論理合成162から実
行することもあり、それらも本発明に含まれる。
【0044】なお、本実施例では、機能解析手段1が生
成する機能情報としてデータフロー図を用いたが、必ず
しもそうである必要はない。また、本実施例では、再利
用もしくは合成される回路データの例として、図12に
回路アーキテクチャを表す機能ブロック図、図13に論
理レベルの回路情報を表す論理図、図14にレイアウト
情報を表すレイアウト図を示しているが、それ以外にも
ネットリスト等多くの種類の回路データが考えられ、そ
れらも本発明に含まれる。また、本実施例では、回路合
成処理28は回路検索処理24と同じ機能情報に基づい
て行われることになっているが、これは必ずしも同じで
ある必要はなく、異なる情報であってもよいし、あるい
は一部が異なっていてもよい。
【0045】以上のように、本実施例では、入力された
回路の機能記述および設計制約から、機能解析手段1に
より、機能情報としてのデータフロー図および設計制約
情報を生成し、それらの情報を用いて、回路検索手段3
により、回路データベース2を自動的に検索する。従っ
て、従来の再利用方法と比べて、設計者が回路データベ
ースの内容を把握したり、既設計回路の仕様書をもとに
検索を行なう等の負担が軽減され、設計工数を大幅に削
減することができる。
【0046】さらに本実施例では、回路データベース2
を検索した結果、適合する回路が見つかれば、その回路
データをそのまま再利用するが、適合する回路が見つか
らない場合でも、回路合成手段7が機能解析手段1が生
成する機能情報および設計制約情報をもとに、回路合成
を行ない回路データを生成する。従って設計者は特別再
利用を意識しなくても、トップダウン設計の中で、可能
なところは自然に再利用することができ、システムLS
Iの設計を大幅に効率化させることができる。
【0047】(実施例2)図5は、請求項8の発明に係
る回路検索方法の一実施例の処理フロー図であり、同時
に図2の回路設計方法における回路検索処理24で用い
られている回路検索方法の処理フローでもある。
【0048】まずステップ51では、機能解析手段1に
より生成されたデータフロー図に対して、規格化を目的
とした変換を行なう。データフロー図を規格化すること
により、同じ機能に対してデータフロー図の表現の多様
性を削減することができ、検索効率が向上する。図15
にデータフロー図の規格化変換の例を示す。(a)は定
数伝搬の例である。ここでは加算演算子の入力は共に定
数であるため、加算演算子を評価した結果(3+2=
5)で置き換えている。(b)は共通サブ表現削除の例
である。ここでは2つの減算演算子は同じ入力であるた
め、一方を削除して共通化している。(c)はTゲート
の制御入力が恒等的にTrueである場合に、Tゲート
を削除するという例である。
【0049】これらは皆データフロー図としては冗長な
表現であるが、機能記述では記述の非冗長性よりも可読
性が優先されるので、機能記述から機械的に変換したデ
ータフロー図には、しばしばこのような冗長な表現が含
まれており、このような変換の実用的な意味は大きい。
規格化は回路データベース2に格納されている既設計回
路のデータフロー図に対しても施されている。
【0050】次にステップ52では、回路データベース
2から既設計回路のデータフロー図を取り出し、ステッ
プ53ではステップ51で規格化したデータフロー図と
照合する。すなわちデータフロー図の各ノード、外部入
出力、およびその間のデータの流れが対応していること
を確認する。ここで規格化されたデータフロー図を用い
ているために、照合を効率的に行なうことが可能になっ
ている。もしも規格化されていないデータフロー図ある
いは機能記述を用いて照合を行おうとすると、これらは
同じ機能に対して多くの異なった表現が存在するため
に、多くの表現の組み合せに対して照合を実行する必要
があり、多大な処理時間が必要になる。
【0051】照合処理53の後、ステップ54で適合判
断を行い、データフロー図が適合している場合には、回
路データベース2から対応する既設計回路の回路定数を
取り出し(ステップ55)、与えられた設計制約情報と
比較する(ステップ56)。その後ステップ57で適合
判断を行い、もしも回路定数が設計制約情報を満たして
いれば、回路検索手段3は対応する既設計回路が与えら
れた回路仕様に適合したという検索結果を出力する。
【0052】もしもデータフロー図が適合していない
か、あるいは設計制約情報が満たされていない場合に
は、ステップ52に戻って、回路データベース2から別
の既設計回路のデータフロー図を取り出し、再び照合が
行われる。回路データベース2に含まれる全ての既設計
回路について調べた結果、検索が不成立であった場合に
は、回路検索手段3は適合する回路が存在しないという
検索結果を出力する。
【0053】本実施例では、説明の簡単化のために、デ
ータフロー図を使って直接回路データベース2を検索し
ているが、実際には回路データベースは通常機能等によ
り分類されており、そのような分類された回路グループ
に対して本実施例の回路検索方法を適用するのが現実的
であり、本発明の回路検索方法にはそのような場合も当
然含んでいる。なお設計制約は与えられない場合もあ
り、その場合にはステップ55、56は飛ばされる。本
発明にはそのような場合も当然含んでいる。
【0054】以上述べたように、本実施例の回路検索方
法では、機能情報としてデータフロー図を用いて回路デ
ータベース2を検索しているが、機能解析手段1により
生成されるデータフロー図および設計制約情報は、人手
で作成する機能記述および設計制約とは異なり、定型的
な表現形式からなる情報であるため、例えば、計算機を
用いて高速かつ正確に処理することもでき、従来のよう
に仕様書を用いて人手で検索していた場合に比べて、効
率的に既設計回路を検索することが可能である。
【0055】また、本実施例に示されているように、デ
ータフロー図を規格化することにより、同じ機能を表す
のに表現の多様性を削減することができ、その点でも検
索効率をさらに向上させることができる。また、データ
フロー図等の機能情報を用いて検索することで、回路の
構造ではなく機能に基づく検索が可能であり、例えば機
能が似た回路を捜し出すこと等が容易にできる。
【0056】なお、本実施例では既設計回路のデータフ
ロー図は、回路データベース2に格納されているとして
いるが、検索時に回路データから生成することも考えら
れ、本発明の別の実施例と考えることができる。
【0057】(実施例3)図3は、請求項2の発明に係
る回路設計方法の一実施例の処理フロー図であり、同時
に図1の回路設計装置を用いた回路設計方法の一例の処
理フローでもある。
【0058】同図において、機能設計21、機能記述・
設計制約解析22、機能情報設計制約情報生成23、回
路データベース検索24の各ステップは実施例1と同様
であるため、ここでは説明を省略する。
【0059】本実施例では、回路データベースを検索し
た結果、そのままでは適合しないが、類似した回路が見
つかれば、修正情報生成手段4により、その類似した回
路データと機能解析手段1が生成する機能情報および設
計制約情報から修正情報を生成し(ステップ30)、そ
の修正情報に基づき、回路修正支援手段5もしくは回路
修正手段6により、前記類似した回路データを修正して
再利用する(ステップ31)。この修正するという点が
実施例1の回路設計方法と大きく異なる点であり、以上
述べたように、本実施例では、既設計回路データをその
まま再利用するだけではなく、回路検索の結果、そのま
までは再利用できない場合でも、機能情報および設計制
約情報を用いて、既設計回路データを修正して再利用す
ることができるため、実施例1の回路設計方法と比べ
て、より多くの場合に既設計資産を柔軟に有効利用する
ことができる。
【0060】本実施例の修正情報生成処理30および回
路修正処理31で用いられている回路修正方法について
は、実施例4、5でより詳細に説明する。
【0061】(実施例4)図7は、請求項11の発明に
係る回路修正方法の一実施例の処理フロー図であり、同
時に図3の回路設計方法における修正情報生成処理30
および回路修正処理31で用いられている回路修正方法
の一例の処理フローでもある。
【0062】本実施例の回路修正方法は、設計したい回
路と既設計回路で、データフローが異なっている場合に
適用することができる。
【0063】まずステップ71では、機能解析手段1に
より生成されたデータフロー図と、回路データベース2
から取り出した既設計回路のデータフロー図の照合を行
ない、ステップ72では両データフローの非共通部分を
抽出する。この処理は、実施例2の回路検索方法におけ
るデータフロー図の照合処理53と基本的に同じであ
り、例えば実施例3の回路設計方法のように、回路検索
と回路修正を共に行なうような場合には、重複して実行
する必要はなく、回路検索時に行なう照合処理の結果を
回路修正時に用いることができる。
【0064】例えば、図11に示すデータフロー図の既
設計回路を修正して、図17に示すデータフロー図の回
路を設計する場合を考える。データフロー図の照合71
の結果、入力aがi1に、bがi2に、cがi3に、出
力xがoに対応しており、また、論理積演算子101に
よるプロセス(a&b)が、加算演算子171によるプ
ロセス(i1+1)に、論理和演算子102によるプロ
セス(a|b)が、減算演算子172によるプロセス
(i1−1)に置き変わっている以外は、両データフロ
ー図は一致していることがわかる。
【0065】次にステップ73では抽出した非共通部分
に対応する、既設計回路データの修正部分を検出する。
本実施例では、回路データベース2に、既設計回路のデ
ータフロー図の構成要素と機能ブロック図の構成要素の
間の対応関係を表す情報が格納されているので、その対
応関係をたどることにより、機能ブロック図の中の修正
部分を検出できる。この例では、前述のデータフローの
非共通部分は共に、図12に示す機能ブロック図の算術
論理ユニット121に対応しているので、これが修正部
分となる。
【0066】次にステップ74では機能解析手段1によ
り生成された設計制約情報と、既設計回路の回路定数か
ら、データフローの非共通部分に関する設計制約情報を
生成する。例えば、図17のデータフローに対応して、
i1ー>oの遅延値が20nsec以下でなければなら
ないという設計制約が存在する場合に、データフロー図
のTゲートに対応する既設計回路の遅延値が6nsec
であれば、非共通部分である加算演算子171および減
算演算子172に対する設計制約は、(数1)と求める
ことができる。
【0067】
【数1】
【0068】最後にステップ75では、求めた非共通部
分のデータフローと設計制約情報に基づいて、修正部分
の回路データを変更する。この例では、既設計回路の算
術論理ユニット121は、データフロー図の論理積演算
子101および論理和演算子102に対応して、論理積
および論理和の機能を有しているが、それらの代わりに
加算演算子171および減算演算子172に対応した、
インクリメント(+1)およびデクリメント(−1)の
機能を持つように回路データを変更する。図18に、図
17のデータフローを実現する修正された回路の機能ブ
ロック図を示す。機能レベルでの修正に対応して、設計
制約を考慮しながら、論理レベルやレイアウトレベルの
回路データも修正する。
【0069】以上述べたように、本実施例の回路修正方
法では、機能情報であるデータフロー図を用いて、設計
したい回路と既設計回路の機能の違いを検出し、それに
対応する既設計回路データの修正部分を絞り込み、修正
部分が満たすべき機能や設計制約などの修正内容の抽出
を行なう。これにより、回路修正手段6により自動的に
回路を修正したり、あるいは回路修正支援手段7により
設計者が回路を人手で修正する作業を効率化したりする
ことができるようになり、従来は困難であった既設計回
路データを修正して再利用するという柔軟な再利用設計
が可能である。
【0070】また、データフロー図という機能レベルの
情報を用いて、機能レベルで回路修正を行なうため、論
理レベルで回路修正を行なう従来の手法と比べて、回路
の機能変更のような大幅な修正にも適用することができ
るという特長がある。
【0071】(実施例5)図8は、請求項12の発明に
係る回路修正方法の一実施例の処理フロー図であり、同
時に実施例3の回路設計方法における修正情報生成処理
30および回路修正処理31で用いられている回路修正
方法の一例の処理フローでもある。
【0072】本実施例の回路修正方法は、設計したい回
路と既設計回路で、データフローは同じであるが、既設
計回路が設計制約を満たしていない場合に適用すること
ができる。
【0073】まずステップ71では、機能解析手段1に
より生成されたデータフロー図と、回路データベース2
から取り出した既設計回路のデータフロー図の照合を行
ない、ステップ76では両データフローの対応関係を検
出する。
【0074】次にステップ77では、機能解析手段1に
より生成された設計制約情報と、既設計回路の回路定数
を比較して、ステップ78ではデータフロー図の設計制
約違反部分を検出する。例えば、図11に示すデータフ
ロー図の既設計回路があった時に、それと同じデータフ
ローでa−>xの遅延値が20nsec以下でなければ
ならないという設計制約を満たすように既設計回路デー
タを修正することを考える。この例では、aからxに到
るパスは4本あるが、回路データベース2に格納され
た、データフロー図の構成要素に対応する既設計回路の
遅延値を足し合わせることにより、データフローの中の
設計制約違反パスを検出できる。今の場合、減算演算子
104が18nsec、Tゲート112が6nsecで
あれば、これらのノードを通るパスが設計制約に違反し
ていることが分かる。
【0075】次にステップ79では、回路データベース
2に格納されている、既設計回路のデータフロー図の構
成要素と機能ブロック図の構成要素の間の対応関係を表
す情報を用いて、求めたデータフローの設計制約違反部
分に対応する機能ブロック図の中の修正部分を検出す
る。この例では、減算演算子104もTゲート112も
共に、図12に示す機能ブロック図の算術論理ユニット
121に対応しており、これが修正部分となる。
【0076】最後にステップ80では、設計制約情報に
基づいて、修正部分の回路データを変更する。この例で
は、算術論理ユニット121で減算を行なうのに、既設
計回路では24nsecかかっているが、それを20n
sec以下になるように回路データを変更する。
【0077】以上述べたように、本実施例の回路修正方
法では、既設計回路が設計制約を満たしていない場合
に、機能情報であるデータフロー図を用いて、データフ
ロー図上で設計制約情報と既設計回路の回路定数を比較
して設計制約違反部分を検出し、それに対応する既設計
回路データの修正部分を絞り込み、修正部分が満たすべ
き設計制約などの修正内容の抽出を行なう。これによ
り、通常機能記述に対応して与えられることが多い設計
制約に対して、実際の回路データの修正部分を対応付け
て、回路修正作業を効率化することが可能である。
【0078】(実施例6)図4は、請求項3の発明に係
る回路設計方法の一実施例の処理フロー図であり、同時
に図1の回路設計装置を用いた回路設計方法の一例の処
理フローでもある。
【0079】本実施例の回路設計方法は、設計したい回
路の全体ではなく、その一部分に対して既設計回路を再
利用したい場合に適用することができる。
【0080】実施例1と同じくまずステップ21では、
設計者は設計したい回路の機能設計を行ない、回路の機
能記述と設計制約を作成する。
【0081】図19にハードウェア記述言語を用いた機
能記述の例を示す。この記述例は、簡単なCPU(中央
処理装置)の動作を記述しているが、4つの状態を持つ
FSM(有限状態機械)を表現している。クロック信号
はclk、リセット信号はrstである。リセット状態
はIFETであるが、この時入力xの値が1である場合
には次のクロックで入力xとyの和x+yを計算し、結
果をレジスタzで記憶するとともに外部に出力する。同
じくxが2である場合にはxとyの差x−yを計算し、
xが3である場合にはy−xを計算する。ここで入力
x、yおよび出力zは8ビットの信号である。
【0082】機能設計21が終わると、実施例1の機能
解析手段1により、機能記述および設計制約が解析され
(ステップ22)、機能情報および設計制約情報が生成
される(ステップ23)。
【0083】本実施例では、機能情報として、図21に
示すようなデータフロー図のみではなく、図20に示す
ような状態遷移図も併せて用いている。図20におい
て、ノード201は状態IFET、ノード202は状態
ADD、ノード203は状態SUB1、ノード204は
状態SUB2を表している。ノード201から202へ
の矢印は、入力xの値が1の時にIFETからADDへ
の状態遷移が起こることを表し、ノード202から20
1への矢印は、無条件でADDからIFETへの状態遷
移が起こることを表しており、図19に示した機能記述
の内、状態遷移に関する部分に対応している。本実施例
のようなFSMの制御機構はデータフロー図を用いて表
現するよりも、ここで行ったように状態遷移図を用いて
表現する方が効率的で分かりやすいことが知られてい
る。
【0084】一方、図21のデータフロー図については
実施例1と同様であるので、ここでは説明を省略する
が、上記機能記述のデータ処理に関する部分に対応して
いる。従って、本実施例における機能情報では、回路の
制御部は状態遷移図で、データパス部はデータフロー図
で表現している。また、設計制約情報の生成についても
実施例1と同様であり、説明を省略する。
【0085】さて、機能情報および設計制約情報を生成
した後、ステップ32では、機能情報を再利用部分とそ
れ以外の部分に分割する。この例において、回路のデー
タパス部分のみ既設計回路を再利用して、それ以外は合
成するものとすると、ちょうど図21のデータフロー図
が機能情報の再利用部分であり、図20の状態遷移図が
再利用以外の部分として分割することができる。
【0086】次にステップ33では、分割された再利用
部分の機能情報を用いて、回路検索手段3により、回路
データベース2を検索し、ステップ34では既設計回路
データを再利用する。またステップ35では、再利用部
分以外について回路合成手段7により、対応する機能情
報を用いて回路合成する。回路検索処理については、実
施例7で詳細に説明する。
【0087】なお本実施例では、説明の簡単化のために
回路修正について触れていないが、回路データ再利用3
4には当然修正して再利用する場合も含んでいる。
【0088】この例のように、回路のデータパス部は面
積やスピード等の回路品質に大きく影響することが多い
ので、高品質な既設計回路を再利用し、反対に回路の制
御部は品質がそれほど重要ではなく仕様が変更されやす
いため、合成するという手法は、高品質な回路を効率的
に設計する上で非常に有効であり、実設計でしばしば用
いられる。
【0089】この機能情報を分割して再利用するという
点が本実施例が実施例1の回路設計方法と大きく異なる
点であり、以上述べたように、本実施例では、回路の中
で再利用が有効な部分のみ選択して再利用し、それ以外
の部分は合成するため、全体としては再利用できない場
合でも一部分は再利用することができる。従って、実施
例1の回路設計方法と比べて、より多くの場合に既設計
資産を利用することができる。また、あらかじめ再利用
可能性の高い部分が分離されるため、検索/修正等の再
利用処理も効率化される。
【0090】なお、本実施例では再利用部分をデータフ
ロー図で表現し、それ以外を状態遷移図で表現したが、
これは必ずしもそうである必要はなく、例えばデータフ
ロー図の一部を分割して再利用してもよい。
【0091】(実施例7)図6は、請求項9の発明に係
る回路検索方法の一実施例の処理フロー図であり、同時
に実施例6の回路設計方法における回路検索処理33で
用いられている回路検索方法の処理フローでもある。
【0092】本実施例の回路検索方法は、再利用したい
回路が順序回路であり、機能記述がレジスタ転送レベル
で書かれている場合に有効である。組み合せ回路の場合
や順序回路でもレジスタ転送レベルよりも高位の動作レ
ベルで書かれている場合には実施例2の回路検索方法を
用いることができる。
【0093】レジスタ転送レベルでは回路中の記憶要素
(レジスタ)が宣言され、回路の動作がレジスタに対す
るデータ転送という形で記述される。図19の機能記述
がレジスタ転送レベルの記述例であり、ここでは各代入
文はレジスタzおよびstateに対するデータ転送を
記述している。このようなレジスタ転送レベルで記述さ
れた順序回路を、データフロー図のみを用いて表現する
のは困難であり、図22に示すようなレジスタに関する
情報と、図21に示すようなレジスタに対するデータ転
送を表現するデータフロー図を、組み合わせることによ
り表現することができる。図22では、レジスタzが
[7:0]の8ビットであり、クロック信号がclk、
リセット信号がrstであることが示されている。
【0094】図6に示す本実施例の回路検索方法の処理
フローは、図5に示す実施例2の回路検索方法の処理フ
ローとほとんど同じである。異なる点は本実施例では、
回路データベース2に既設計回路のデータフロー図と記
憶要素に関する情報を登録しておき、データフロー図の
照合(ステップ53)の後、記憶要素に関する情報の照
合を行なう(ステップ59)ことである。この点が実施
例2の回路検索方法との違いであり、これにより本実施
例を用いて、レジスタ転送レベルで記述された順序回路
の再利用を効率的に行なうことができる。
【0095】このように順序回路を極めて効率的に記述
することができるため、実際の設計においてもレジスタ
転送レベルが用いられることが多く、従って、本発明の
実用的効果は大きい。
【0096】なお本実施例では、データフロー図の照合
を先に行っているが、記憶要素に関する情報の照合を先
に行ってもよく、本発明の別の実施例と考えることがで
きる。
【0097】
【発明の効果】以上説明したように、本発明では、機能
記述を解析して生成される機能情報を用いて、回路デー
タベースに蓄積された既設計回路を効率的に検索するこ
とができる。また、同じ機能情報を用いて、既設計回路
に関する修正情報を生成し、それに基づいて既設計回路
を修正して、柔軟に再利用することができる。
【0098】また、本発明では、機能記述から抽出され
た機能情報に基づいて再利用が行われるので、機能記述
からのトップダウン設計手法との親和性が高い。従来、
トップダウン設計手法では、下流設計での実現方法を決
定する前に、上流の機能設計を行なうために、本質的に
ボトムアップな設計手法である再利用設計を取り入れる
ことは困難であった。しかし、本発明を用いれば、蓄積
された設計資産をあまり意識することなく、入力された
機能記述に応じて、柔軟に既設計回路データを再利用で
きるため、従来は困難であった、トップダウン設計手法
の中で既設計資産の再利用を行なうことが可能になっ
た。その結果、システムレベルの回路規模を1チップに
集積したシステムLSIでは、高集積性と高性能を要求
されるために、機能記述と自動合成に基づくトップダウ
ン設計手法をそのまま適用することができず、設計に多
大な工数を要していたが、本発明を用いることにより、
トップダウン設計手法と再利用設計を両立させることが
可能になり、システムLSIの設計を大幅に効率化する
ことができる。製造技術の進歩に伴って、大規模・高性
能なシステムLSIはますます重要になってきており、
本発明の実用的効果は大きい。
【図面の簡単な説明】
【図1】本発明の第1の実施例における回路設計装置の
ブロック図
【図2】本発明の第1の実施例における回路設計方法の
処理フロー図
【図3】本発明の第3の実施例における回路設計方法の
処理フロー図
【図4】本発明の第6の実施例における回路設計方法の
処理フロー図
【図5】本発明の第2の実施例における回路検索方法の
処理フロー図
【図6】本発明の第7の実施例における回路検索方法の
処理フロー図
【図7】本発明の第4の実施例における回路修正方法の
処理フロー図
【図8】本発明の第5の実施例における回路修正方法の
処理フロー図
【図9】本発明の第1の実施例における動作説明のため
の機能記述の例を示した図
【図10】本発明の第1の実施例における動作説明のた
めの設計制約の例を示した図
【図11】本発明の第1の実施例における動作説明のた
めのデータフロー図
【図12】本発明の第1の実施例における動作説明のた
めの機能ブロック図
【図13】本発明の第1の実施例における動作説明のた
めの論理回路図
【図14】本発明の第1の実施例における動作説明のた
めのマスク図
【図15】本発明の第2の実施例における動作説明のた
めのデータフロー図の規格化変換の例を示した図
【図16】本発明の第1の実施例における回路合成方法
の処理フロー図
【図17】本発明の第4の実施例における動作説明のた
めのデータフロー図
【図18】本発明の第4の実施例における動作説明のた
めの機能ブロック図
【図19】本発明の第6の実施例における動作説明のた
めの機能記述の例を示した図
【図20】本発明の第6の実施例における動作説明のた
めの状態遷移図
【図21】本発明の第6の実施例における動作説明のた
めのデータフロー図
【図22】本発明の第7の実施例における動作説明のた
めのレジスタに関する情報の例を示した図
【図23】従来の再利用設計方法の処理フロー図
【符号の説明】
1 機能解析手段 2 回路データベース 3 回路検索手段 4 修正情報生成手段 5 回路修正支援手段 6 回路修正手段 7 回路合成手段

Claims (12)

    【特許請求の範囲】
  1. 【請求項1】入力された回路の機能記述および設計制約
    に基づいて、回路を設計する回路設計方法であって、 前記機能記述および設計制約を解析して、機能情報およ
    び設計制約情報を生成するステップと、 前記機能情報および前記設計制約情報を用いて回路デー
    タベースを検索するステップとを有し、 その検索ステップの結果、もし前記回路データベースの
    中に適合する回路が見つかれば、前記適合回路の回路デ
    ータを前記回路データベースから取り出し、 一方、もし前記回路データベースの中に適合する回路が
    見つからなければ、前記機能情報および前記設計制約情
    報をもとに回路データを合成することを特徴とする回路
    設計方法。
  2. 【請求項2】入力された回路の機能記述および設計制約
    に基づいて、回路を設計する回路設計方法であって、 前記機能記述および設計制約を解析して、機能情報およ
    び設計制約情報を生成するステップと、 前記機能情報および前記設計制約情報を用いて回路デー
    タベースを検索するステップとを有し、 その検索ステップの結果、前記回路データベースの中に
    類似した回路が見つかれば、前記回路データベースから
    取り出した前記類似回路の回路データと前記機能情報お
    よび前記設計制約情報から、前記類似回路に対する修正
    情報を生成し、前記修正情報に基づいて、前記類似回路
    の回路データを修正することを特徴とする回路設計方
    法。
  3. 【請求項3】入力された回路の機能記述および設計制約
    に基づいて、回路を設計する回路設計方法であって、 前記機能記述および設計制約を解析して、機能情報およ
    び設計制約情報を生成するステップと、 前記機能情報を再利用の対象となる第一の部分機能情報
    と、それ以外の第二の部分機能情報に分割するステップ
    とを有し、 再利用対象部分については、前記第一の部分機能情報と
    前記設計制約情報を用いて、回路データベースを検索し
    て、前記回路データベースに格納された既設計回路の回
    路データを、そのままもしくは修正して再利用し、 再利用対象以外の部分については、前記第二の部分機能
    情報と前記設計制約情報から回路データを合成すること
    を特徴とする回路設計方法。
  4. 【請求項4】入力された回路の機能記述および設計制約
    から回路データを生成する回路設計装置であって、 前記機能記述および前記設計制約を解析して、機能情報
    および設計制約情報を出力する機能解析手段と、 既設計回路のデータを格納する回路データベースと、 前記機能情報および前記設計制約情報をもとに、前記回
    路データベースを検索して検索結果を出力する回路検索
    手段とを備えた回路設計装置。
  5. 【請求項5】入力された回路の機能記述および設計制約
    から回路データを生成する回路設計装置であって、 前記機能記述および前記設計制約を解析して、機能情報
    および設計制約情報を出力する機能解析手段と、 既設計回路のデータを格納する回路データベースと、 前記機能情報および前記設計制約情報と、前記回路デー
    タベースから取り出した、再利用部品の回路データを比
    較して、修正情報を出力する修正情報生成手段と、 前記修正情報をもとに、設計者が前記再利用部品の回路
    データの修正を行うことを支援する回路修正支援手段と
    を備えた回路設計装置。
  6. 【請求項6】入力された回路の機能記述および設計制約
    から回路データを生成する回路設計装置であって、 前記機能記述および前記設計制約を解析して、機能情報
    および設計制約情報を出力する機能解析手段と、 既設計回路のデータを格納する回路データベースと、 前記機能情報および前記設計制約情報と、前記回路デー
    タベースから取り出した再利用部品の回路データを比較
    して、修正情報を出力する修正情報生成手段と、 前記修正情報をもとに、前記再利用部品の回路データの
    修正を行う回路修正手段とを備えた回路設計装置。
  7. 【請求項7】回路の機能情報と設計制約情報をもとに、
    回路データベースを検索する回路検索方法であって、機
    能情報として、回路のデータフロー図を用い、 回路データベースから既設計回路のデータフロー図を取
    り出すステップと、 与えられたデータフロー図と、前記既設計回路のデータ
    フロー図を照合するステップとを有し、 その照合ステップの結果、もしも適合していれば、回路
    データベースから前記既設計回路の回路定数を取り出
    し、前記回路定数が、与えられた設計制約情報を満たし
    ていることを確認することを特徴とする回路検索方法。
  8. 【請求項8】請求項7記載の回路検索方法において、更
    に与えられた第一のデータフロー図に対して、規格化を
    目的とした変換を行なって第二のデータフロー図を生成
    するステップを有し、 前記第二のデータフロー図と、既設計回路のデータフロ
    ー図を照合し、その結果、もしも適合していれば、回路
    データベースから前記既設計回路の回路定数を取り出
    し、前記回路定数が、与えられた設計制約情報を満たし
    ていることを確認することを特徴とする回路検索方法。
  9. 【請求項9】回路の機能情報と設計制約情報をもとに、
    回路データベースを検索する回路検索方法であって、機
    能情報として、回路のデータフロー図と回路に含まれる
    記憶要素に関する情報を用い、 回路データベースから既設計回路のデータフロー図と記
    憶要素に関する情報を取り出す第1のステップと、 与えられたデータフロー図と、前記既設計回路のデータ
    フロー図を照合する第2のステップと、 与えられた記憶要素に関する情報と、前記既設計回路の
    記憶要素に関する情報とを照合する第3のステップとを
    有し、 その第2,3のステップの結果、もしも適合していれ
    ば、回路データベースから前記既設計回路の回路定数を
    取り出し、前記回路定数が、与えられた設計制約情報を
    満たしていることを確認することを特徴とする回路検索
    方法。
  10. 【請求項10】回路の機能情報をもとに、既設計回路デ
    ータを修正する回路修正方法であって、機能情報とし
    て、回路のデータフロー図を用い、 与えられたデータフロー図と、既設計回路のデータフロ
    ー図を照合して両データフロー図の非共通部分を抽出す
    るステップと、 前記非共通部分に対応する前記既設計回路の修正部分を
    検出するステップとを有し、 前記非共通部分のデータフローに基づいて、前記修正部
    分の回路データを変更することを特徴とする回路修正方
    法。
  11. 【請求項11】請求項10記載の回路修正方法におい
    て、回路の機能情報と設計制約情報をもとに、既設計回
    路データを修正する回路修正方法であって、更に与えら
    れた設計制約情報と、前記既設計回路の回路定数から、
    前記非共通部分に関する設計制約情報を求めるステップ
    を有し、 前記非共通部分のデータフローおよび前記非共通部分に
    関する設計制約情報に基づいて、前記修正部分の回路デ
    ータを変更することを特徴とする回路修正方法。
  12. 【請求項12】回路の機能情報と設計制約情報をもと
    に、既設計回路データを修正する回路修正方法であっ
    て、機能情報として、回路のデータフロー図を用い、 与えられたデータフロー図と、既設計回路のデータフロ
    ー図と照合して、両データフロー図の対応関係を求める
    ステップと、 与えられた設計制約情報と、前記既設計回路の回路定数
    を比較して、前記既設計回路のデータフロー図の中で、
    設計制約違反部分を検出するステップと、 前記設計制約違反部分に対応する前記既設計回路の修正
    部分を検出するステップと、 前記設計制約違反部分に関する設計制約情報に基づい
    て、前記修正部分の回路データを変更するステップとを
    備えた回路修正方法。
JP5031606A 1993-02-22 1993-02-22 回路設計方法と回路検索方法と回路修正方法および回路設計装置 Pending JPH06251102A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP5031606A JPH06251102A (ja) 1993-02-22 1993-02-22 回路設計方法と回路検索方法と回路修正方法および回路設計装置

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP5031606A JPH06251102A (ja) 1993-02-22 1993-02-22 回路設計方法と回路検索方法と回路修正方法および回路設計装置

Publications (1)

Publication Number Publication Date
JPH06251102A true JPH06251102A (ja) 1994-09-09

Family

ID=12335865

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5031606A Pending JPH06251102A (ja) 1993-02-22 1993-02-22 回路設計方法と回路検索方法と回路修正方法および回路設計装置

Country Status (1)

Country Link
JP (1) JPH06251102A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6785876B2 (en) 2000-11-16 2004-08-31 Matsushita Electric Industrial Co., Ltd. Design method for semiconductor integrated circuit device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6785876B2 (en) 2000-11-16 2004-08-31 Matsushita Electric Industrial Co., Ltd. Design method for semiconductor integrated circuit device

Similar Documents

Publication Publication Date Title
US6609229B1 (en) Method for automatically generating checkers for finding functional defects in a description of a circuit
US6530073B2 (en) RTL annotation tool for layout induced netlist changes
US5805861A (en) Method of stabilizing component and net names of integrated circuits in electronic design automation systems
US20070276644A1 (en) Conversion of circuit description to a transaction model
JP2001142937A (ja) 回路のスケジューリング正当性チェック方法及びスケジュール検証方法
US7350180B1 (en) Search algorithm for inheriting clock contexts in hardware description language translation tools
US20100251196A1 (en) Method and System for Designing a Structural Level Description of an Electronic Circuit
US6658630B1 (en) Method to translate UDPs using gate primitives
US7124070B2 (en) Method of and apparatus for, and program for verifying equivalence between behavioral description and register transfer level description
JP2006285333A (ja) 動作合成装置及び方法
WO1999009497A1 (fr) Procede d'extraction de caracteristiques de synchronisation de circuits a transistors, support de stockage stockant une bibliotheque de caracteristiques de synchronisation, procede de conception de lsi et procede d'extraction par grille
JP2000207440A (ja) 半導体集積回路の設計検証装置、方法及び記憶媒体
US7472371B2 (en) Description style conversion method, program, and system of logic circuit
US6834379B2 (en) Timing path detailer
US8782587B2 (en) Systems and methods for generating a higher level description of a circuit design based on connectivity strengths
JPWO2006025412A1 (ja) 論理検証方法、論理モジュールデータ、デバイスデータおよび論理検証装置
US6877140B1 (en) Method and system for generating a schematic representing bus structures
JPH06251102A (ja) 回路設計方法と回路検索方法と回路修正方法および回路設計装置
JP4777834B2 (ja) 論理図面表示方法、プログラム及び装置
US7840930B2 (en) Signal connection program, method, and device of hierarchical logic circuit
JP2006190085A (ja) デジタル回路のモデリング方法及び設計方法
JP2962292B2 (ja) レイアウト設計を考慮したlsi論理設計支援システム
US20220350948A1 (en) Context-Based Integrated-Circuit Model for Efficient Electrical Rule Checking (ERC)
JP2927137B2 (ja) 回路の自動設計方法とその装置およびそのシステム
US20030140054A1 (en) Processor composing apparatus, system LSI composing apparatus, processor composing method, system LSI composing method, and program therefor