JPH06160480A - Semiconductor integrated circuit - Google Patents

Semiconductor integrated circuit

Info

Publication number
JPH06160480A
JPH06160480A JP5107841A JP10784193A JPH06160480A JP H06160480 A JPH06160480 A JP H06160480A JP 5107841 A JP5107841 A JP 5107841A JP 10784193 A JP10784193 A JP 10784193A JP H06160480 A JPH06160480 A JP H06160480A
Authority
JP
Japan
Prior art keywords
input
circuit
boundary scan
semiconductor integrated
output
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5107841A
Other languages
Japanese (ja)
Other versions
JP2947497B2 (en
Inventor
Seiji Asano
誠治 浅野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
JFE Steel Corp
Original Assignee
Kawasaki Steel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kawasaki Steel Corp filed Critical Kawasaki Steel Corp
Priority to JP5107841A priority Critical patent/JP2947497B2/en
Publication of JPH06160480A publication Critical patent/JPH06160480A/en
Application granted granted Critical
Publication of JP2947497B2 publication Critical patent/JP2947497B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PURPOSE:To form a scan bus having no problem of a clock skew merely by continuously laying out input/output circuit cells in input/output circuit regions at a periphery of a chip by incorporating a boundary scan register and a data ring in the respective cells. CONSTITUTION:A boundary scan register 42 and a boundary scan data ring 44 are contained in input/output circuit cells 40, and a scan bus is formed merely by continuously disposing the cells 40 on input/output circuit regions 20 at a periphery of a chip. Accordingly, it is not necessary to assemble the register 42 in a user circuit region 10, and a system design of a user circuit can be performed without being conscious of a presence of the register 42. Further, the registers 42 can be regularly laid out without special layout.wiring, and a scan bus having no problem of a clock skew can be obtained.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、テスト時に入出力ピン
の近傍でスキャンパスを形成するためのバウンダリ・ス
キャン・レジスタが内蔵された半導体集積回路に係り、
特に、入出力回路セルを、チップ周辺の入出力回路領域
に連続的に配置するだけで、クロック・スキューを意識
することなく、スキャンパスが形成できるようにした半
導体集積回路に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor integrated circuit incorporating a boundary scan register for forming a scan path near an input / output pin during a test,
In particular, the present invention relates to a semiconductor integrated circuit in which a scan path can be formed by simply arranging input / output circuit cells continuously in an input / output circuit area around a chip without being aware of clock skew.

【0002】[0002]

【従来の技術】テストの容易性を補償したり、テストパ
ターンを自動的に発生する検査ツールの使用を許容する
ために、半導体集積回路に、テスト時に入出力ピンの近
傍でスキャンパスを形成するためのバウンダリ・スキャ
ン・レジスタを内蔵させたものがある。
2. Description of the Related Art A semiconductor integrated circuit is provided with a scan path in the vicinity of an input / output pin at the time of testing in order to compensate for testability and allow the use of an inspection tool for automatically generating a test pattern. There is a built-in boundary scan register for this purpose.

【0003】このようなバウンダリ・スキャン・レジス
タが内蔵された半導体集積回路は、従来、バウンダリ・
スキャン・レジスタを内蔵した専用のゲートセル(バウ
ンダリ・スキャン・セル)で設計し、図1及び図2に示
すチップレイアウトの如く、システム回路12と同一の
ユーザ回路領域10に、同一の配置・配線手法で組み込
まれていた。図1及び図2において、14はバウンダリ
・スキャン・レジスタ、16はバウンダリ・スキャン・
データライン、20は、入力バッファ回路22や出力バ
ッファ回路24が配置される入出力回路領域、30は、
該入出力回路領域20内に配置された電源リング32、
34を含む電源リング領域である。
A semiconductor integrated circuit incorporating such a boundary scan register has been conventionally
A dedicated gate cell (boundary scan cell) having a built-in scan register is designed, and the same layout / wiring method is used in the same user circuit area 10 as the system circuit 12 as in the chip layouts shown in FIGS. 1 and 2. Was built in. In FIG. 1 and FIG. 2, 14 is a boundary scan register, and 16 is a boundary scan register.
A data line, 20 is an input / output circuit area in which an input buffer circuit 22 and an output buffer circuit 24 are arranged, and 30 is
A power supply ring 32 arranged in the input / output circuit area 20;
A power ring region including 34.

【0004】一方、従来、半導体集積回路に組み込む回
路の最大動作速度は、標準プロセス時のデータに基づい
た回路シミュレーション等によって見積っていた。又、
その半導体集積回路が製造された段階で、組み込まれて
いる回路を実際に動作させながらその実動作速度を測定
すると共に、前記最大動作速度の見積りと、測定された
前記実動作速度との比較によって、その製造時のプロセ
スによる動作速度のばらつきの度合を評価していた。
On the other hand, conventionally, the maximum operating speed of a circuit incorporated in a semiconductor integrated circuit has been estimated by a circuit simulation or the like based on data in a standard process. or,
At the stage where the semiconductor integrated circuit is manufactured, while measuring the actual operating speed while actually operating the incorporated circuit, by estimating the maximum operating speed and comparing the measured actual operating speed, The degree of variation in operating speed due to the manufacturing process was evaluated.

【0005】なお、このような前記最大動作速度の見積
りや前記実動作速度の測定に際しては、半導体集積回路
に組み込む回路全体ではなく、その回路内の一部にのみ
注目することで、その測定作業能率の向上が図られてい
る。又、このような見積りや測定に好適な専用回路を測
定対象の半導体集積回路へと組み込んでおくことで、こ
のような測定作業の能率向上が図られている。
When estimating the maximum operating speed and measuring the actual operating speed, attention is paid not to the entire circuit incorporated in the semiconductor integrated circuit but to a part of the circuit, and the measurement work is performed. The efficiency is being improved. Further, by incorporating a dedicated circuit suitable for such estimation and measurement into the semiconductor integrated circuit to be measured, the efficiency of such measurement work is improved.

【0006】[0006]

【発明が解決しようとする課題】しかしながら、従来の
ようにバウンダリ・スキャン・レジスタ14をユーザ回
路領域10に配置する方法では、システム回路12を設
計する際に、バウンダリ・スキャン・レジスタが存在す
ることを意識して設計しなければならず、設計が複雑で
ある。又、バウンダリ・スキャン・レジスタ14の配設
位置が必ずしも一定しないため、そのクロック・スキュ
ーを意識した配置・配線を行わなければならず、設計が
一層複雑である等の問題を有していた。
However, in the conventional method of arranging the boundary scan register 14 in the user circuit area 10, the boundary scan register exists when the system circuit 12 is designed. The design is complicated because it must be consciously designed. Further, since the arrangement position of the boundary scan register 14 is not always constant, the arrangement and wiring must be performed in consideration of the clock skew, and there is a problem that the design is more complicated.

【0007】一方、前述のような回路シミュレーション
等による最大動作速度の見積では、測定精度上の問題が
あった。これは、半導体集積回路に組み込まれる被測定
回路の配線長の見積り精度が十分でないこと等による。
On the other hand, the estimation of the maximum operating speed by the circuit simulation as described above has a problem in measurement accuracy. This is because the accuracy of estimating the wiring length of the circuit under test incorporated in the semiconductor integrated circuit is not sufficient.

【0008】又、前述のような回路シミュレーション等
による最大動作速度の見積りでも、又、前述のような製
造された半導体集積回路での実動作速度の測定でも、組
み込まれる回路をシミュレーションあるいは実動作させ
るものであるため、異なる回路が組み込まれた半導体集
積回路同士で、前述のような最大動作速度の見積りの相
互比較や、前述のような実動作速度の相互比較は困難で
あった。一方、前述のようにこのような見積りや測定に
好適な専用回路を半導体集積回路内に組み込むようにし
た場合には、組み込まれた該専用回路分だけ集積度が低
下してしまうという問題がある。
In addition, in estimating the maximum operating speed by the circuit simulation as described above, or by measuring the actual operating speed of the manufactured semiconductor integrated circuit as described above, the incorporated circuit is simulated or actually operated. Therefore, it is difficult to compare the above-described estimation of the maximum operating speed with each other and the above-described mutual comparison of the actual operating speeds between semiconductor integrated circuits in which different circuits are incorporated. On the other hand, when a dedicated circuit suitable for such estimation and measurement is incorporated in the semiconductor integrated circuit as described above, there is a problem that the degree of integration is reduced by the incorporated dedicated circuit. .

【0009】本発明は、前記従来の問題点を解消するべ
く成されたもので、入出力回路セルをチップ周辺の入出
力回路領域に連続的に配置するだけで、クロック・スキ
ューの問題を含まないスキャンパスを形成することが可
能な、半導体集積回路を提供することを第1目的とす
る。
The present invention has been made in order to solve the above-mentioned conventional problems and includes the problem of clock skew only by arranging the input / output circuit cells continuously in the input / output circuit area around the chip. A first object of the present invention is to provide a semiconductor integrated circuit capable of forming a non-existent scan path.

【0010】又、製造された段階の半導体集積回路での
実際の動作速度を、より容易に、又、より精度良く見積
れるようにし、異なる回路が組み込まれた半導体集積回
路間でも実動作速度の相互比較がより容易な半導体集積
回路を提供することを第2目的とする。
Further, the actual operating speed of the semiconductor integrated circuit at the stage of manufacture can be estimated more easily and more accurately, and the actual operating speed can be controlled even between semiconductor integrated circuits in which different circuits are incorporated. A second object is to provide a semiconductor integrated circuit that can be easily compared with each other.

【0011】[0011]

【課題を解決するための手段】本発明は、テスト時に入
出力ピンの近傍でスキャンパスを形成するためのバウン
ダリ・スキャン・レジスタが内蔵された半導体集積回路
において、各入出力回路セルにバウンダリ・スキャン・
レジスタ及びデータリングを内蔵し、該入出力回路セル
をチップ周辺の入出力回路領域に連続的に配置するだけ
で、スキャンパスが形成されるようにして、前記第1目
的を達成したものである。
SUMMARY OF THE INVENTION According to the present invention, in a semiconductor integrated circuit having a boundary scan register for forming a scan path near an input / output pin at the time of a test, a boundary scan·
The first object is achieved by forming a scan path by incorporating a register and a data ring and arranging the input / output circuit cells continuously in the input / output circuit area around the chip. .

【0012】又、前記バウンダリ・スキャン・レジスタ
を、電源リングと同じ位置に積層配置したものである。
Further, the boundary scan register is laminated at the same position as the power ring.

【0013】又、前記バウンダリ・スキャン・レジスタ
を内蔵する前記入出力回路セルが、バウンダリ・スキャ
ン・チェインが形成されたときに該入出力回路セルへと
両隣接するものそれぞれとの、スキャンデータの取り込
みタイミングに用いるクロック信号の伝達経路に用いる
クロックバッァを内蔵し、又、前記両隣接するものそれ
ぞれに対して、前記スキャンデータの入力及び出力の方
向と逆方向の、前記クロック信号の入力及び出力の方向
となるように、前記クロックバッファが設けられ、接続
することにより、前記第1目的を達成すると共に、前記
第2目的を達成したものである。
In addition, when the input / output circuit cell having the boundary scan register built-in, scan data is fetched from the input / output circuit cell which is adjacent to the input / output circuit cell when the boundary scan chain is formed. A clock buffer used for a transmission path of a clock signal used for timing is built in, and the input and output directions of the clock signal are opposite to the input and output directions of the scan data for each of the two adjacent ones. As described above, the clock buffer is provided and connected to achieve the first object and the second object.

【0014】[0014]

【作用】本発明においては、各入出力回路セルにバウン
ダリ・スキャン・レジスタ及びデータリングを内蔵した
ので、該入出力回路セルをチップ周辺の入出力回路領域
に連続的に配置するだけで、スキャンパスが形成され
る。従って、従来のようにバウンダリ・スキャン・レジ
スタをユーザ回路領域に組み込む必要がなく、バウンダ
リ・スキャン・レジスタの存在を意識しないで、ユーザ
回路のシステム設計をすることができる。更に、バウン
ダリ・スキャン・レジスタのための特別な配置・配線を
行うことなく、バウンダリ・スキャン・レジスタを規則
正しく配置することができ、クロック・スキューの問題
を含まないスキャンパスを得ることができる。
In the present invention, since the boundary scan register and the data ring are incorporated in each input / output circuit cell, the I / O circuit cells can be arranged continuously in the input / output circuit area around the chip. The campus is formed. Therefore, it is not necessary to incorporate the boundary scan register into the user circuit area as in the conventional case, and the system design of the user circuit can be performed without being aware of the existence of the boundary scan register. Further, the boundary scan registers can be regularly arranged without special placement and wiring for the boundary scan registers, and a scan path free from the problem of clock skew can be obtained.

【0015】特に、バウンダリ・スキャン・レジスタ
を、電源リングと同じ位置に積層配置した場合には、入
出力回路の空領域にバウンダリ・スキャン・レジスタを
組み込むことができ、スペースを節約することができ
る。
In particular, when the boundary scan register is laminated at the same position as the power supply ring, the boundary scan register can be incorporated in the empty area of the input / output circuit, and the space can be saved. .

【0016】なお、本発明はこれに限定されるものでは
ないが、本発明において、製造された段階の半導体集積
回路での実際の動作速度を、より容易に、又、より精度
良く見積れるようにしたものも見出している。これは、
本発明の半導体集積回路が備える前記入出力回路セルに
おいて、所定の形態で、所定のクロックバッファを備え
るというものである。又、該クロックバッファの遅延時
間の測定から、組込まれる回路の実際の動作速度を見積
るというものである。このように実際の動作速度を見積
れるようにしたものについては、第2実施例として、よ
り詳しく後述する。
Although the present invention is not limited to this, in the present invention, the actual operating speed of the semiconductor integrated circuit at the stage of manufacture can be estimated more easily and more accurately. I also found what I did. this is,
The input / output circuit cell included in the semiconductor integrated circuit of the present invention is provided with a predetermined clock buffer in a predetermined form. Further, the actual operating speed of the incorporated circuit is estimated from the measurement of the delay time of the clock buffer. A device in which the actual operating speed can be estimated in this way will be described later in more detail as a second embodiment.

【0017】[0017]

【実施例】以下図面を参照して、本発明の実施例を詳細
に説明する。
Embodiments of the present invention will now be described in detail with reference to the drawings.

【0018】まず、本願の第1実施例は、図3及び図4
に示す如く、テスト時に入出力ピンの近傍でスキャンパ
スを形成するためのバウンダリ・スキャン・レジスタが
内蔵された半導体集積回路において、図3及び図4に示
す如く、各入出力回路セル40にバウンダリ・スキャン
・レジスタ42及びバウンダリ・スキャン・データリン
グ44を内蔵し、該入出力回路セル40をチップ周辺の
入出力回路領域20に連続的に配置するだけで、スキャ
ンパスが形成されるようにしたものである。
First, the first embodiment of the present application will be described with reference to FIGS.
As shown in FIG. 3, in a semiconductor integrated circuit having a built-in boundary scan register for forming a scan path near the input / output pins at the time of testing, as shown in FIGS. The scan path is formed by incorporating the scan register 42 and the boundary scan data ring 44, and only by arranging the input / output circuit cells 40 continuously in the input / output circuit area 20 around the chip. It is a thing.

【0019】前記バウンダリ・スキャン・レジスタ42
は、図4に示した如く、入出力回路セル40の電源リン
グ領域30に、電源リング32、34に対して積層配置
されている。従って、バウンダリ・スキャン・レジスタ
42を入出力回路セル40に内蔵することによるスペー
ス増加を防ぎ、従来ユーザ回路領域10内に配置してい
たバウンダリ・スキャン・セルの分だけ、システム回路
12を多くユーザ回路領域10に配置することが可能と
なる。
The boundary scan register 42
Are stacked in the power supply ring region 30 of the input / output circuit cell 40 with respect to the power supply rings 32 and 34, as shown in FIG. Therefore, it is possible to prevent an increase in space due to the inclusion of the boundary scan register 42 in the input / output circuit cell 40, and to increase the number of system circuits 12 by the amount of the boundary scan cells conventionally arranged in the user circuit area 10. It becomes possible to arrange the circuit area 10.

【0020】なお、バウンダリ・スキャン・レジスタの
配設位置は、電源リング領域に限定されない。
The location of the boundary scan register is not limited to the power ring area.

【0021】図5は、本発明の第2実施例に用いられる
入出力回路セル部分の回路図である。
FIG. 5 is a circuit diagram of an input / output circuit cell portion used in the second embodiment of the present invention.

【0022】この図5おいて、各入出力回路セル40a
は、バウンダリ・スキャン・レジスタ42と、クロック
バッファ52とを備える。又、該入出力回路セル40a
は、それぞれ、図示されない前述したような前記入力バ
ッファ回路22あるいは前記出力バッファ回路24の、
少なくともいずれか一方を備える。又、各入出力回路セ
ル40a は、前記第1実施例と同様の、前記電源リング
32及び34と、前記バウンダリスキャンデータリング
44を備える。
In FIG. 5, each input / output circuit cell 40a
Includes a boundary scan register 42 and a clock buffer 52. Also, the input / output circuit cell 40a
Of the input buffer circuit 22 or the output buffer circuit 24, which are not shown, respectively.
At least one is provided. Each input / output circuit cell 40a is provided with the power supply rings 32 and 34 and the boundary scan data ring 44 similar to those in the first embodiment.

【0023】この図5では、前記入出力回路セル40a
は、合計n 個示されている。これらは、バウンダリ・ス
キャン・チェインが形成された場合には、全体としてシ
フトレジスタの如く動作する。又、このようにバウンダ
リ・スキャン・チェインが形成されると、各スキャンデ
ータD1〜D(n +1)は、この図5において左方から
右方へと、クロック信号C1〜C(n +1)に従って順
次シフトされる。該クロック信号C1〜C(n +1)
は、この図5において右方から入力される。又、各入出
力回路セル40a が備える各クロックバッファ52を経
て、クロック信号C2、クロック信号C3と順次伝達さ
れ、この図5の左端の前記入出力回路セル40a からは
クロック信号C(n +1)が出力される。
In FIG. 5, the input / output circuit cell 40a is shown.
Are shown in total n. These behave like shift registers as a whole when a boundary scan chain is formed. Further, when the boundary scan chain is formed in this way, the respective scan data D1 to D (n + 1) are transferred from the left to the right in FIG. 5 according to the clock signals C1 to C (n + 1). It is sequentially shifted. The clock signals C1 to C (n + 1)
Is input from the right side in FIG. Further, the clock signal C2 and the clock signal C3 are sequentially transmitted through the clock buffers 52 included in the input / output circuit cells 40a, and the clock signal C (n + 1) is output from the input / output circuit cell 40a at the left end of FIG. Is output.

【0024】このように、本実施例においての特徴は、
前述の如くバウンダリ・スキャン・チェインが形成され
たときに、その入出力回路セル40a へと両隣接するも
のそれぞれとの、スキャンデータの取込みタイミングに
用いるクロック信号の伝達経路に用いる前記クロックバ
ッファ52を、それぞれの前記入出力回路セル40aが
備えている点である。又、本実施例の特徴は、前記両隣
接するものそれぞれに対して、前記スキャンデータD1
〜D(n +1)の入力及び出力の方向と逆方向の、前記
クロック信号C1〜C(n +1)の入力及び出力の方向
となるように、前記クロックバッファ52が設けられ、
接続されている点である。
Thus, the features of this embodiment are as follows.
When the boundary scan chain is formed as described above, the clock buffer 52 used for the transmission path of the clock signal used for the timing of capturing the scan data with each of the I / O circuit cells 40a which are adjacent to the I / O circuit cell 40a, The point is that each of the input / output circuit cells 40a is provided. The feature of the present embodiment is that the scan data D1
The clock buffer 52 is provided so that the input and output directions of the clock signals C1 to C (n + 1) are opposite to the input and output directions of ~ D (n + 1).
It is the point of connection.

【0025】即ち、この図5においては、前記スキャン
データD1〜D(n +1)は、左方から右方へとシフト
される。一方、これとは逆方向に、前記クロック信号C
1〜C(n +1)は、この図5において右方から左方へ
と伝達されるように、前記入出力回路セル42のそれぞ
れの前記クロックバッファ52が設けられ、接続されて
いる。
That is, in FIG. 5, the scan data D1 to D (n + 1) are shifted from left to right. On the other hand, in the opposite direction, the clock signal C
The clock buffers 52 of the input / output circuit cells 42 are provided and connected so that 1 to C (n + 1) are transmitted from the right side to the left side in FIG.

【0026】従って、この図5において左方から入力さ
れる前記スキャンデータD1を入力する、この図5にお
いて最も左端の前記入出力回路セル40a には、これ以
外の前記入出力回路セル40a のいずれよりも遅れた前
記クロック信号Cn が入力されている。又、左端の該入
出力回路セル40a の前記バウンダリ・スキャン・レジ
スタ42では、該クロック信号Cn を入力する前記クロ
ックバッファ52が出力する前記クロック信号C(n +
1)が用いられる。該クロック信号C(n +1)は、こ
の図5において右側から入力される前記クロック信号C
1が、合計n 個の前記クロックバッファ52の分だけ信
号が遅延されたものとなっている。
Therefore, the scan data D1 input from the left side in FIG. 5 is input, and the input / output circuit cell 40a at the leftmost end in FIG. 5 has any of the other input / output circuit cells 40a. The clock signal Cn which is delayed is input. Further, in the boundary scan register 42 of the input / output circuit cell 40a at the left end, the clock signal C (n +) output from the clock buffer 52 which inputs the clock signal Cn.
1) is used. The clock signal C (n + 1) is the clock signal C input from the right side in FIG.
1, the signal is delayed by a total of n clock buffers 52.

【0027】即ち、該クロック信号C(n +1)の前記
クロック信号C1に対する信号遅延の度合は、複数の前
記クロックバッファ52の直列接続にて蓄積される。
又、例えばその半導体集積回路の製造プロセスのばらつ
き等による、これらクロックバッファ52の信号遅延の
変動も、このような直列接続にて蓄積される。
That is, the degree of signal delay of the clock signal C (n + 1) with respect to the clock signal C1 is accumulated by the series connection of the plurality of clock buffers 52.
Further, variations in the signal delay of these clock buffers 52 due to, for example, variations in the manufacturing process of the semiconductor integrated circuit are also accumulated by such series connection.

【0028】なお、このような前記クロックバッファ5
2の信号遅延又該信号遅延の変動、即ちこれらクロック
バッファ52の動作速度及び該動作速度の変動は、これ
らが組込まれている半導体集積回路の製造プロセス等に
よる遅延時間や動作速度への影響を評価するために有効
な情報となっている。
The clock buffer 5 as described above is used.
The signal delay of 2 and the fluctuation of the signal delay, that is, the operating speed of these clock buffers 52 and the fluctuation of the operating speed affect the delay time and the operating speed due to the manufacturing process of the semiconductor integrated circuit in which they are incorporated. It is useful information for evaluation.

【0029】図6は、前記第2実施例での、半導体集積
回路の実際の動作速度を見積ることの原理を示すタイム
チャートである。
FIG. 6 is a time chart showing the principle of estimating the actual operating speed of the semiconductor integrated circuit in the second embodiment.

【0030】この図6のタイムチャートでは、前記図5
において右方から入力される前記クロック信号C1、即
ちクロック信号CIが示されている。又、該タイムチャ
ートでは、前記図5において左方から出力される前記ク
ロック信号C(n +1)、即ちクロック信号COが示さ
れている。該タイムチャートでは、前記図5において左
方から入力される前記スキャンデータD1、即ちスキャ
ンデータDIが示されている。又、この図6を用いた以
下の説明は、前記図5の左端の前記入出力セル40a に
対するものとなる。
In the time chart of FIG. 6, the time chart of FIG.
The clock signal C1 input from the right side, that is, the clock signal CI is shown in FIG. Further, in the time chart, the clock signal C (n + 1) output from the left side in FIG. 5, that is, the clock signal CO is shown. In the time chart, the scan data D1, that is, the scan data DI input from the left side in FIG. 5 is shown. Further, the following description using FIG. 6 is for the input / output cell 40a at the left end of FIG.

【0031】まず、前記図5の左端の該入出力回路セル
40a 内の前記バウンダリ・スキャン・レジスタ42
は、この図6に示される如く、前記クロック信号COの
立上がりにて、前記スキャンデータDIを取込む。一
方、該スキャンデータDIは、前記図5の左方から入力
される、即ち、全体としてシフトレジスタとして動作さ
れるバウンダリ・スキャン・チェイン形成時の全体へと
外部から入力される前記クロック信号CIのタイミング
を基準として、このようなシフトレジスタの外部から前
記スキャンデータDIが入力されている。
First, the boundary scan register 42 in the input / output circuit cell 40a at the left end of FIG.
6 captures the scan data DI at the rising edge of the clock signal CO, as shown in FIG. On the other hand, the scan data DI is input from the left side of FIG. 5, that is, the clock signal CI of the clock signal CI externally input to the entire boundary scan chain when it operates as a shift register. The scan data DI is input from the outside of such a shift register based on the timing.

【0032】まず、この図6において、前記シフトレジ
スタの外部から入力される前記クロック信号CIの立上
がりとなる時刻 t1 を基準として、前記スキャンデータ
DIは入力される。該スキャンデータDIは、時刻 t3
までその論理状態が保証されている。即ち、前記クロッ
ク信号COの立上がりの時刻 t2 が、前記スキャンデー
タDIが保証されている時刻 t3 よりも遅れてしまう
と、前記シフトレジスタが誤動作してしまう。従って、
該スキャンデータDIは、左端の前記バウンダリ・スキ
ャン・レジスタ42では、時刻 t1 から時刻 t3 までの
期間にて取込まなければならない。
First, in FIG. 6, the scan data DI is input with reference to the time t 1 when the clock signal CI input from the outside of the shift register rises. The scan data DI is at time t 3
Up to that logical state is guaranteed. That is, when the rising time t 2 of the clock signal CO is later than the time t 3 at which the scan data DI is guaranteed, the shift register malfunctions. Therefore,
The scan data DI must be fetched in the boundary scan register 42 at the left end in the period from time t 1 to time t 3 .

【0033】即ち、前記クロック信号CIに対する前記
クロック信号COの遅延は、該クロック信号COの立上
がりの時刻が時刻 t3 の直前となるまで許される。更
に、該クロック信号COの遅延が、合計n 個の前記クロ
ックバッファ52の遅延の蓄積に従ったものであること
を考えると、次式が成立つ。
That is, the delay of the clock signal CO with respect to the clock signal CI is allowed until the rising time of the clock signal CO is just before the time t 3 . Further, considering that the delay of the clock signal CO is according to the accumulation of the delays of the n clock buffers 52 in total, the following equation is established.

【0034】 ( t2 − t1 )=(クロックバッファ52の1段当りの遅延) ×(クロックバッファ52の合計段数即ちn 段)…(1)(T 2 −t 1 ) = (delay per stage of the clock buffer 52) × (total number of stages of the clock buffer 52, that is, n stages) (1)

【0035】前述の如く、前記クロック信号COの立上
がりの時刻 t2 が時刻 t3 よりも遅れてしまうと、前記
シフトレジスタが誤動作してしまう。特に、この図6で
示すように、前記スキャンデータDIが(0)、
(1)、(0)、(1)・・・というように、“0”と
“1”とが交互に入力される場合には、前記クロック信
号COの立上がりの時刻 t2 の遅延による誤動作を、例
えば前記図5の右方から出力される前記スキャンデータ
D(n +1)の観測によって、前記シフトレジスタの外
部からより確実に検出することができる。
As described above, if the rising time t 2 of the clock signal CO is later than the time t 3 , the shift register malfunctions. In particular, as shown in FIG. 6, the scan data DI is (0),
(1), (0), (1) and so ..., "0" when a "1" and are alternately input, the malfunction due to the rise delay time t 2 of the clock signal CO Can be detected more reliably from the outside of the shift register by observing the scan data D (n + 1) output from the right side of FIG. 5, for example.

【0036】一方、前記クロック信号CIの立上がり時
刻 t1 を基準とした前記スキャンデータDIの論理状態
が保証されている時刻 t3 は、該スキャンデータDIを
前記シフトレジスタの外部から入力する際に、タイミン
グ制御することができる。即ち、時刻 t1 を基準とした
時刻 t3 は、前記シフトレジスタの外部から制御するこ
とができる。従って、前述のように合計n 個の前記クロ
ックバッファ52の遅延時間の蓄積に依存する、その半
導体集積回路に固有の時刻 t2 に対して、相対的に、前
記スキャンデータDIの入力の際のタイミング制御によ
って、時刻 t3を漸次早めていくことができる。
On the other hand, the time t 3 when the logical state of the scan data DI is guaranteed with reference to the rising time t 1 of the clock signal CI is when the scan data DI is input from the outside of the shift register. , Timing can be controlled. That is, the time t 3 when referenced to time t 1 can be controlled from the outside of the shift register. Therefore, as described above, when the scan data DI is input relative to the time t 2 peculiar to the semiconductor integrated circuit, which depends on the accumulation of the delay times of the n clock buffers 52 in total. The timing control allows the time t 3 to be gradually advanced.

【0037】又、このように時刻 t3 が漸次早められる
過程で、例えば前記スキャンデータD(n +1)の観測
等から、前記シフトレジスタの誤動作が確認されたとす
ると、このとき時刻 t3 は時刻 t2 とほぼ同一と判定す
ることができる。このように時刻 t2 と同一の時刻 t3
と時刻 t1 との間の時間は、前記シフトレジスタの外部
にて測定することが可能である。
Further, in the process in which the time t 3 is gradually advanced in this way, if a malfunction of the shift register is confirmed from the observation of the scan data D (n + 1) or the like, then the time t 3 is It can be determined that it is almost the same as t 2 . In this way time t 2 and the same time t 3
The time between and the time t 1 can be measured outside the shift register.

【0038】従って、このような時刻 t1 と時刻 t3
の間の時間から、時刻 t1 と時刻 t 2 との間の時間を定
めることができ、又、前記(1)式を変形した次式によ
って、前記クロックバッファ52の1段当りの遅延時間
を求めることができる。
Therefore, such time t1And time t3When
From the time between t1And time t 2Set the time between
Can be calculated according to the following formula, which is a modification of the formula (1).
Therefore, the delay time per stage of the clock buffer 52
Can be asked.

【0039】 (クロックバッファ52の1段当りの遅延) =( t2 − t1 )/(クロックバッファ52の合計段数即ちn 段)…(2)(Delay per stage of clock buffer 52) = (t 2 −t 1 ) / (total number of stages of clock buffer 52, that is, n stages) (2)

【0040】なお、本実施例においては、前記スキャン
データD1〜D(n +1)の入力及び出力の方向(前記
シフトレジスタのシフト方向)と、逆方向となるよう
に、前記クロック信号C1〜C(n +1)の入力及び出
力の方向(信号伝達方向)とされているため、前記
(1)式及び前記(2)式で用いる時刻 t1 と時刻 t2
との間の時間をより高精度に設定することができてい
る。即ち、各クロックバッファ52の遅延時間が短いと
しても、このように多数段を直列接続することで、より
高精度に測定可能な時間長まで蓄積することができる。
又、このように多数段の前記クロックバッファ52の遅
延時間を蓄積することで、それぞれのクロックバッファ
52間での遅延時間のばらつきを平均化することがで
き、この点でも高精度化を図ることが可能である。
In the present embodiment, the clock signals C1 to C are arranged so as to be in the opposite direction to the input and output directions of the scan data D1 to D (n + 1) (shift direction of the shift register). Since the input and output directions (signal transmission direction) of (n +1) are used, the time t 1 and the time t 2 used in the equations (1) and (2) are used.
The time between and can be set with higher accuracy. That is, even if the delay time of each clock buffer 52 is short, by connecting a large number of stages in series in this way, it is possible to accumulate up to a time length that can be measured with higher accuracy.
Further, by accumulating the delay times of the clock buffers 52 in a large number of stages in this way, it is possible to average the delay time variations among the respective clock buffers 52, and also in this respect, it is possible to achieve high accuracy. Is possible.

【0041】なお、半導体集積回路に組込む回路、例え
ば本実施例にて実際の動作速度の見積り対象となる回路
の動作速度や、前記クロックバッファ52の動作速度の
遅延の度合は、該半導体集積回路の製造プロセスのばら
つきにて変動するものである。これは、所定のマスクに
て半導体集積回路を製造する際の、配線等のパターンの
幅の製造上のばらつき等による。あるいは、製造過程で
の金属配線層や絶縁層等の厚さの変動による。又、半導
体集積回路内の所望個所への拡散の際の、拡散量や拡散
範囲のばらつき等による。
The operating speed of a circuit incorporated in the semiconductor integrated circuit, for example, the circuit whose actual operating speed is estimated in this embodiment, and the degree of delay of the operating speed of the clock buffer 52 are determined by the semiconductor integrated circuit. Fluctuates due to variations in the manufacturing process. This is due to manufacturing variations in the width of patterns such as wiring when a semiconductor integrated circuit is manufactured using a predetermined mask. Alternatively, it may be due to variations in the thickness of the metal wiring layer, insulating layer, etc. during the manufacturing process. Further, it is due to variations in the diffusion amount and diffusion range at the time of diffusion to a desired portion in the semiconductor integrated circuit.

【0042】図7は、前記第2実施例の集積回路レイア
ウト図である。
FIG. 7 is a layout diagram of the integrated circuit of the second embodiment.

【0043】この図7においては、前記図5に示された
複数のものの一部、即ち、隣接する合計4個の前記入出
力回路セル40a が示されている。この図7の本第2実
施例においても、前記図4の第1実施例と同様に、この
ような前記入出力回路セル40a は、半導体集積回路チ
ップ周辺の入出力回路領域に連続的に配置されている。
従って、本実施例によれば、従来のようにバウンダリ・
スキャン・レジスタをユーザ回路領域に組込む必要がな
く、バウンダリ・スキャン・レジスタの存在を意識しな
いで、ユーザ回路のシステム設計をすることができる。
更に、バウンダリ・スキャン・レジスタのための特別な
配置や配線を行うことなく、バウンダリ・スキャン・レ
ジスタを規則正しく配置することができ、クロック・ス
キューの問題を含まないスキャンパスを得ることができ
る。
FIG. 7 shows some of the plurality of input / output circuit cells 40a shown in FIG. 5, that is, a total of four adjacent input / output circuit cells 40a. In the second embodiment of FIG. 7 as well, similar to the first embodiment of FIG. 4, the input / output circuit cells 40a are continuously arranged in the input / output circuit area around the semiconductor integrated circuit chip. Has been done.
Therefore, according to the present embodiment, the boundary and
It is not necessary to incorporate the scan register in the user circuit area, and the user circuit system can be designed without being aware of the existence of the boundary scan register.
Further, the boundary scan registers can be regularly arranged without any special arrangement or wiring for the boundary scan registers, and a scan path free from the problem of clock skew can be obtained.

【0044】又、本発明は、これに限定されるものでは
ないが、本第2実施例についても、前記第1実施例と同
様に、電源リングと同一位置に積層配置することも可能
である。即ち、前記バウンダリ・スキャン・レジスタ4
2や、前記クロックバッファ52を、前記第1実施例の
如く、半導体集積回路チップ周辺の入出力回路領域に設
けられた、例えばリング状の電源リングと同じ位置で積
層配置するというものである。このように入出力回路の
電源リングに対して積層配置することで、入出力回路の
空き領域を有効に活用することができ、集積度をより改
善することができる。
Although the present invention is not limited to this, the second embodiment can also be laminated at the same position as the power supply ring, as in the first embodiment. . That is, the boundary scan register 4
2, or the clock buffer 52 is laminated at the same position as, for example, a ring-shaped power ring provided in the input / output circuit area around the semiconductor integrated circuit chip as in the first embodiment. By arranging the stacked layers on the power supply ring of the input / output circuit in this manner, the empty area of the input / output circuit can be effectively utilized, and the degree of integration can be further improved.

【0045】なお、本第2実施例において、時刻 t1
時刻 t2 との間の時間、即ち、前記クロック信号C(n
+1)の前記クロック信号C1に対する遅延の度合を、
前記図5の左端から前記クロック信号C(n +1)を外
部から観測することで測定することも考えられる。例え
ば、前記クロック信号C1と前記クロック信号C(n+
1)とを共にオシロスコープに表示しながら、これら信
号間の遅延時間を測定することも考えられる。しかしな
がら、前記クロックバッファ52の出力駆動能力が小さ
い場合には、このような測定における誤差が増大してし
まう。例えば、オシロスコープのプローブを接続するこ
とによる前記クロックバッァ52の負荷の増大によっ
て、このような遅延時間測定の誤差が増大してしまう。
In the second embodiment, the time between time t 1 and time t 2 , that is, the clock signal C (n
+1) the degree of delay with respect to the clock signal C1
It is also possible to measure by externally observing the clock signal C (n + 1) from the left end of FIG. For example, the clock signal C1 and the clock signal C (n +
It is also possible to measure the delay time between these signals while displaying both 1) and 2) on the oscilloscope. However, when the output drive capability of the clock buffer 52 is small, the error in such measurement increases. For example, the increase in the load of the clock buffer 52 due to the connection of the probe of the oscilloscope increases the error in the delay time measurement.

【0046】[0046]

【発明の効果】以上説明した通り、本発明によれば、入
出力回路セルをチップ周辺の入出力回路領域に連続的に
配置するだけで、スキャンパスが形成されるので、バウ
ンダリ・スキャン・レジスタがあることを意識しない
で、システム設計をすることができる。又、バウンダリ
・スキャン・レジスタのための特別な配置・配線を行わ
なくても、バウンダリ・スキャン・レジスタが規則正し
く配置され、クロック・スキューの問題を含まないスキ
ャンパスを容易に得ることができる等の優れた効果を有
する。
As described above, according to the present invention, the scan path is formed only by continuously arranging the input / output circuit cells in the input / output circuit area around the chip. Therefore, the boundary scan register is formed. You can design a system without being aware that Moreover, even if the special placement and wiring for the boundary scan register are not performed, the boundary scan register is regularly arranged, and a scan path that does not include the problem of clock skew can be easily obtained. Has excellent effect.

【図面の簡単な説明】[Brief description of drawings]

【図1】従来のバウンダリ・スキャン・レジスタが内蔵
された半導体集積回路のチップレイアウトの一例を示す
平面図
FIG. 1 is a plan view showing an example of a chip layout of a semiconductor integrated circuit incorporating a conventional boundary scan register.

【図2】図1の要部拡大図FIG. 2 is an enlarged view of a main part of FIG.

【図3】本発明に係る半導体集積回路の第1実施例の全
体構成を示す平面図
FIG. 3 is a plan view showing the overall configuration of a first embodiment of a semiconductor integrated circuit according to the present invention.

【図4】図3の要部拡大図FIG. 4 is an enlarged view of a main part of FIG.

【図5】本発明に係る半導体集積回路の第2実施例の入
出力回路セル部分の回路図
FIG. 5 is a circuit diagram of an input / output circuit cell portion of a second embodiment of a semiconductor integrated circuit according to the present invention.

【図6】前記第2実施例での動作速度の見積りの原理を
示すタイムチャート
FIG. 6 is a time chart showing the principle of operation speed estimation in the second embodiment.

【図7】前記第2実施例の集積回路レイアウト図FIG. 7 is an integrated circuit layout diagram of the second embodiment.

【符号の説明】[Explanation of symbols]

20…入出力回路領域 30…電源リング領域 32、34…電源リング 40、40a …入出力回路セル 42…バウンダリ・スキャン・レジスタ 44…バウンダリ・スキャン・データリング 52…クロックバッファ D1〜D(n +1)…スキャンデータ C1〜C(n +1)…クロック信号 20 ... Input / output circuit area 30 ... Power supply ring area 32, 34 ... Power supply ring 40, 40a ... Input / output circuit cell 42 ... Boundary scan register 44 ... Boundary scan data ring 52 ... Clock buffers D1 to D (n + 1) ) ... Scan data C1 to C (n + 1) ... Clock signal

───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.5 識別記号 庁内整理番号 FI 技術表示箇所 // H01L 21/66 F 7377−4M 7377−4M H01L 21/82 T ─────────────────────────────────────────────────── ─── Continuation of front page (51) Int.Cl. 5 Identification number Office reference number FI technical display location // H01L 21/66 F 7377-4M 7377-4M H01L 21/82 T

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】テスト時に入出力ピンの近傍でスキャンパ
スを形成するためのバウンダリ・スキャン・レジスタが
内蔵された半導体集積回路において、 各入出力回路セルにバウンダリ・スキャン・レジスタ及
びデータリングを内蔵し、 該入出力回路セルをチップ周辺の入出力回路領域に連続
的に配置するだけで、スキャンパスが形成されるように
したことを特徴とする半導体集積回路。
1. A semiconductor integrated circuit having a built-in boundary scan register for forming a scan path near an input / output pin during a test, wherein each input / output circuit cell has a boundary scan register and a data ring. The semiconductor integrated circuit is characterized in that the scan path is formed only by arranging the input / output circuit cells continuously in the input / output circuit area around the chip.
【請求項2】請求項1において、前記バウンダリ・スキ
ャン・レジスタを、電源リングと同じ位置に積層配置し
たことを特徴とする半導体集積回路。
2. The semiconductor integrated circuit according to claim 1, wherein the boundary scan register is laminated at the same position as the power ring.
【請求項3】請求項1において、 前記バウンダリ・スキャン・レジスタを内蔵する前記入
出力回路セルが、バウンダリ・スキャン・チェインが形
成されたときに該入出力回路セルへと両隣接するものそ
れぞれとの、スキャンデータの取り込みタイミングに用
いるクロック信号の伝達経路に用いるクロックバッァを
内蔵し、 又、前記両隣接するものそれぞれに対して、前記スキャ
ンデータの入力及び出力の方向と逆方向の、前記クロッ
ク信号の入力及び出力の方向となるように、前記クロッ
クバッファが設けられ、接続されていることを特徴とす
る半導体集積回路。
3. The I / O circuit cell containing the boundary scan register according to claim 1, wherein the I / O circuit cell is adjacent to the I / O circuit cell when a boundary scan chain is formed. A clock buffer used for a transmission path of a clock signal used for timing of capturing scan data, and inputting the clock signal in a direction opposite to the input and output directions of the scan data with respect to each of the two adjacent ones. A semiconductor integrated circuit, wherein the clock buffer is provided and connected so as to be in the direction of the output.
JP5107841A 1992-09-25 1993-05-10 Semiconductor integrated circuit Expired - Fee Related JP2947497B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP5107841A JP2947497B2 (en) 1992-09-25 1993-05-10 Semiconductor integrated circuit

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP4-256455 1992-09-25
JP25645592 1992-09-25
JP5107841A JP2947497B2 (en) 1992-09-25 1993-05-10 Semiconductor integrated circuit

Publications (2)

Publication Number Publication Date
JPH06160480A true JPH06160480A (en) 1994-06-07
JP2947497B2 JP2947497B2 (en) 1999-09-13

Family

ID=26447812

Family Applications (1)

Application Number Title Priority Date Filing Date
JP5107841A Expired - Fee Related JP2947497B2 (en) 1992-09-25 1993-05-10 Semiconductor integrated circuit

Country Status (1)

Country Link
JP (1) JP2947497B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0954141A (en) * 1995-08-16 1997-02-25 Nec Corp Test circuit
US7263679B2 (en) 2003-02-27 2007-08-28 Nec Electronics Corporation Semiconductor integrated circuit device with boundary scan test and design automation apparatus, boundary scan test method and program

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0954141A (en) * 1995-08-16 1997-02-25 Nec Corp Test circuit
US7263679B2 (en) 2003-02-27 2007-08-28 Nec Electronics Corporation Semiconductor integrated circuit device with boundary scan test and design automation apparatus, boundary scan test method and program

Also Published As

Publication number Publication date
JP2947497B2 (en) 1999-09-13

Similar Documents

Publication Publication Date Title
JP2735034B2 (en) Clock signal distribution circuit
EP1460569B1 (en) Semiconductor integrated circuit device with test signal repeater circuit and related design automation apparatus, method and program
US6788105B2 (en) Semiconductor integrated circuit
US6515549B2 (en) Semiconductor device having critical path connected by feedback ring oscillator
JPH0815380A (en) Semiconductor integrated circuit device
US7020819B2 (en) Semiconductor integrated circuit with local monitor circuits
US6529033B1 (en) Area efficient clock inverting circuit for design for testability
US6519728B2 (en) Semiconductor integrated circuit having test circuit
US20040133825A1 (en) Path delay measuring circuitry
JP2947497B2 (en) Semiconductor integrated circuit
US7487487B1 (en) Design structure for monitoring cross chip delay variation on a semiconductor device
JP3213941B2 (en) Semiconductor device with logic circuit for delay measurement
JP3998607B2 (en) Test apparatus and test method for semiconductor integrated circuit device
JP3395773B2 (en) Semiconductor device
JP2942353B2 (en) Test method and test circuit for semiconductor device
JP2786017B2 (en) Method for manufacturing semiconductor integrated circuit
JP3064387B2 (en) Semiconductor device
JPH01165979A (en) Logical integrated circuit
JPH06148274A (en) Integrated circuit
US20070132472A1 (en) Semiconductor integrated circuit and method for testing the same
JP2006114799A (en) Semiconductor integrated circuit, design method and design equipment thereof, and design program thereof
JPH04160377A (en) Semiconductor integrated circuit
JPH11274308A (en) Semiconductor integrated circuit and its layout method
JPH0389178A (en) Semiconductor integrated circuit
JPH0258352A (en) Testing circuit for semiconductor integrated circuit

Legal Events

Date Code Title Description
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080702

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090702

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090702

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100702

Year of fee payment: 11

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110702

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110702

Year of fee payment: 12

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120702

Year of fee payment: 13

LAPS Cancellation because of no payment of annual fees