JPH06132252A - Dry etching method - Google Patents

Dry etching method

Info

Publication number
JPH06132252A
JPH06132252A JP27892192A JP27892192A JPH06132252A JP H06132252 A JPH06132252 A JP H06132252A JP 27892192 A JP27892192 A JP 27892192A JP 27892192 A JP27892192 A JP 27892192A JP H06132252 A JPH06132252 A JP H06132252A
Authority
JP
Japan
Prior art keywords
film
etching
silicon nitride
gas
nitride film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP27892192A
Other languages
Japanese (ja)
Other versions
JP3160389B2 (en
Inventor
Norihisa Oiwa
徳久 大岩
Keiji Horioka
啓治 堀岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP27892192A priority Critical patent/JP3160389B2/en
Publication of JPH06132252A publication Critical patent/JPH06132252A/en
Application granted granted Critical
Publication of JP3160389B2 publication Critical patent/JP3160389B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

PURPOSE:To provide a dry etching method which can selectively etch the silicon oxide film on a silicon nitride film. CONSTITUTION:This is equipped with a process of forming a BPSG2 film 6 on an Si3N4 film, a process of forming a photoresist pattern 7 on the BPSG2 film 6, and a process of putting the etching gas, which includes CHF gas and CO gas, into plasma condition, and selectively etching the BPSG film 6, with the photoresist pattern 7 as a mask, by the plasma of mixed gas, under the conditions that the temperature is 90 deg.C or over, that the pressure is from 40 to 100mTorr, and that the ratio of the flow of CO gas to the flow of mixed gas between the CHF3 gas and CO gas is from 40 to 80%.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、ドライエッチング方法
に係り、特にシリコン窒化膜上のシリコン酸化膜を選択
的にエッチングするドライエッチング方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method, and more particularly to a dry etching method for selectively etching a silicon oxide film on a silicon nitride film.

【0002】[0002]

【従来の技術】近年、コンピュ−タ−や通信機器の重要
部分には、多数のトランジスタや抵抗等を電気回路を達
成するようにむすびつけ、1チップ上に集積化して形成
した大規模集積回路(LSI)が多用されている。この
ため、機器全体の性能は、LSI単体の性能と大きく結
び付いている。
2. Description of the Related Art In recent years, a large-scale integrated circuit formed by integrating a large number of transistors, resistors, etc., on one chip in an important part of a computer or communication equipment so as to achieve an electric circuit ( LSI) is frequently used. Therefore, the performance of the entire device is largely linked to the performance of the LSI alone.

【0003】LSI単体の性能向上は、集積度を高める
こと、つまり、素子の微細化により実現できる。このた
め、素子の微細化は進む一方であり、パターン寸法の高
精度化への要求が高まっている。一般に、半導体集積回
路は、シリコン基板等の半導体基板上に所定パターンの
シリコン酸化膜等の絶縁膜やアルミニウム等の導電性膜
を積層することによって形成される。
The performance improvement of the LSI itself can be realized by increasing the degree of integration, that is, by miniaturizing the elements. For this reason, the miniaturization of elements continues to progress, and there is an increasing demand for higher precision pattern dimensions. Generally, a semiconductor integrated circuit is formed by laminating an insulating film such as a silicon oxide film having a predetermined pattern and a conductive film such as aluminum on a semiconductor substrate such as a silicon substrate.

【0004】これらの絶縁膜や導電性膜を所望パターン
に加工する技術として、従来より、絶縁膜や導電性膜等
の被加工膜上に感光性のフォトレジストを塗布した後、
光や紫外線を所望パターンに従ってフォトレジストを露
光し、次いで現像によりフォトレジストの露光部又は未
露光部を選択的に除去し、フォトレジストパターンを形
成するリソグラフィ技術、次いでフォトレジストパター
ンをマスクとして被加工膜をエッチング加工するドライ
エッチング技術、更に、フォトレジストパターンを除去
する剥離技術等が用いられている。
As a technique for processing these insulating films and conductive films into a desired pattern, conventionally, a photosensitive photoresist is applied on a film to be processed such as an insulating film or a conductive film,
Lithography technology that exposes the photoresist with light or ultraviolet rays according to the desired pattern, then selectively removes the exposed or unexposed portion of the photoresist by development, and forms the photoresist pattern. Then, the photoresist pattern is used as a mask for processing. A dry etching technique for etching a film and a peeling technique for removing a photoresist pattern are used.

【0005】ところで、現在、微細なフォトレジストパ
ターンを用いて、被加工膜を所望パターンに加工する1
つの方法として、プラズマを用いる反応性イオンエッチ
ング(RIE:Reactive Ion Etching)技術が広く用い
られている。このエッチングは、例えば、一対の平行平
板電極を備えた真空容器内に被加工膜が堆積された基体
を入れ、真空容器内を真空に引いた後、ハロゲン元素等
を含有する反応性ガスを真空容器内に導入し、高周波電
力の印加による放電によって反応性ガスをプラズマ化
し、この発生したプラズマを用いて被加工膜をエッチン
グするというものである。
By the way, at present, a film to be processed is processed into a desired pattern by using a fine photoresist pattern.
As one method, a reactive ion etching (RIE) technique using plasma is widely used. This etching is performed, for example, by placing the substrate on which the film to be processed is deposited in a vacuum container equipped with a pair of parallel plate electrodes, evacuating the interior of the vacuum container, and then vacuuming the reactive gas containing a halogen element or the like. The film is introduced into a container, the reactive gas is turned into plasma by discharge by applying high-frequency power, and the film to be processed is etched using the generated plasma.

【0006】RIEによれば、プラズマ中の各種の粒子
のうち、イオンが電極表面のイオンシースに発生する直
流電界(自己バイアス)によって加速されるため、大き
なエネルギーを持って被加工膜を衝撃し、イオン促進化
学反応が引き起こされる。このため、エッチングはイオ
ンの入射方向に進み、アンダーカットの無い方向性に優
れた加工が可能となる。
According to RIE, among various particles in plasma, ions are accelerated by a DC electric field (self-bias) generated in the ion sheath on the electrode surface, so that the film to be processed is bombarded with great energy. , An ion-promoted chemical reaction is triggered. For this reason, the etching proceeds in the ion incident direction, and it is possible to perform processing with excellent directivity without undercut.

【0007】次にRIEによるシリコン酸化膜(SiO
2 膜)のエッチングについて説明する。
Next, a silicon oxide film (SiO
The etching of 2 films will be described.

【0008】SiO2 膜のRIEでは、通常、反応性ガ
スとして、CF4 ,CHF3 ,C26 等のようにCと
Fとを含むガスが用いられている。
In RIE of a SiO 2 film, a gas containing C and F such as CF 4 , CHF 3 , C 2 F 6 is usually used as a reactive gas.

【0009】このため、例えば、CHF3 を用いてSi
基板上に形成されたSiO2 膜にコンタクトホールを開
孔する場合、放電によって生じたCF3 ラジカルがSi
2膜の表面に吸着することになる。そして、このCF
3 ラジカルと自己バイアスによって加速されたCF3
オンとの衝突により、CF3 ラジカル及びCF3 イオン
はFとCとに解離する。
Therefore, for example, CHF 3 is used to produce Si.
When a contact hole is formed in the SiO 2 film formed on the substrate, CF 3 radicals generated by the discharge are Si
It will be adsorbed on the surface of the O 2 film. And this CF
By colliding with accelerated CF 3 ions by 3 radicals and the self-bias, CF 3 radicals and CF 3 ions are dissociated into F and C.

【0010】この結果、FがSiO2 膜中のSiと反応
してSiF4 となって気化離脱し、CがSiO2 膜中の
Oと反応してCOとなって気化離脱するため、SiO2
膜はエッチングされる。一方、SiO2 膜のエッチング
で露出したSi基板の表面にはCF3 が吸着するが、一
部のCF3 のFがHによって引き抜かれるため、Si基
板の露出表面にはCFx (0≦X≦3)の重合膜が形成
されることになる。
[0010] As a result, the F reacts with Si in the SiO 2 film vaporizes leaving become SiF 4, C vaporizes leaving become CO reacts with O in the SiO 2 film, SiO 2
The film is etched. On the other hand, CF 3 is adsorbed on the surface of the Si substrate exposed by the etching of the SiO 2 film, but F of some CF 3 is extracted by H, so CF x (0 ≦ X A polymerized film of ≦ 3) will be formed.

【0011】この重合膜はSi基板のエッチング保護膜
として機能するので、Siのエッチングが抑制され、こ
の結果、Si基板に対するSiO2 膜のエッチング選択
比が高くなり、SiO2 膜の選択エッチングが可能とな
る。
Since this polymerized film functions as an etching protection film for the Si substrate, the etching of Si is suppressed, and as a result, the etching selectivity ratio of the SiO 2 film to the Si substrate is increased, and the selective etching of the SiO 2 film is possible. Becomes

【0012】しかしながら、シリコン窒化膜(Si3
4 膜)上に形成されたSiO2 膜のRIEに対しては次
のような問題がある。
However, the silicon nitride film (Si 3 N
There are the following problems with respect to RIE of the SiO 2 film formed on the 4 film).

【0013】即ち、上述したSi基板上のSiO2 膜の
場合と同様に、CHF3 を用いてSiO2 膜のRIEを
行なうと、FがSiと反応してSiF4 となって気化離
脱し、CがNと反応してCNとなって気化離脱するた
め、Si3 4 膜はSiO2 膜と同様にエッチングさ
れ、Si3 4 膜に対するシリコン酸化膜のエッチング
選択比を大きくできず、RIEによるSiO2 膜のSi
3 4 膜に対する選択エッチングが不可能であった。
That is, as in the case of the SiO 2 film on the Si substrate described above, when RIE of the SiO 2 film is performed using CHF 3 , F reacts with Si to become SiF 4 and vaporized and desorbed, Since C reacts with N to become CN and is vaporized and released, the Si 3 N 4 film is etched in the same manner as the SiO 2 film, and the etching selection ratio of the silicon oxide film to the Si 3 N 4 film cannot be increased, resulting in RIE. Of SiO 2 film by Si
The selective etching for the 3 N 4 film was impossible.

【0014】[0014]

【発明が解決しようとする課題】上述の如く、従来のR
IEでは、導電膜(Si基板)上に形成された絶縁膜
(シリコン酸化膜)の選択エッチングは可能であった
が、絶縁膜(シリコン窒化膜)上に形成された絶縁膜
(シリコン酸化膜)の選択エッチングは不可能であると
いう問題があった。
As described above, the conventional R
In the IE, the insulating film (silicon oxide film) formed on the conductive film (Si substrate) could be selectively etched, but the insulating film (silicon oxide film) formed on the insulating film (silicon nitride film) was possible. However, there is a problem that the selective etching is impossible.

【0015】本発明は、上記事情を考慮してなされたも
ので、その目的とするところは、シリコン窒化膜上に形
成されたシリコン酸化膜を選択的にエッチングできるド
ライエッチング方法を提供することにある。
The present invention has been made in consideration of the above circumstances, and an object thereof is to provide a dry etching method capable of selectively etching a silicon oxide film formed on a silicon nitride film. is there.

【0016】[0016]

【課題を解決するための手段】上記の目的を達成するた
めに、本発明のドライエッチング方法は次のような工程
で構成されている。
In order to achieve the above object, the dry etching method of the present invention comprises the following steps.

【0017】即ち、本発明のドライエッチング方法(請
求項1)は、シリコン窒化膜上に酸化膜を形成する工程
と、この酸化膜をドライエッチングすると共に、このド
ライエッチング中に露出する前記シリコン窒化膜の表面
にSi−C結合を含む物質を形成する工程とを有するこ
とを特徴とする。
That is, the dry etching method of the present invention (claim 1) comprises a step of forming an oxide film on the silicon nitride film, the dry etching of the oxide film, and the exposure of the silicon nitride film during the dry etching. And a step of forming a substance containing a Si—C bond on the surface of the film.

【0018】また、本発明の他のドライエッチング方法
(請求項2)は、シリコン窒化膜上に酸化膜を形成する
工程と、弗素と炭素とを含むエッチングガスをプラズマ
状態にし、前記エッチングガスのプラズマにより前記酸
化膜をエッチングすると共に、このエッチングの最中に
露出する前記シリコン窒化膜の表面の窒素と前記シリコ
ン窒化膜の表面に吸着した前記炭素とを置き換えて、前
記シリコン窒化膜の表面にSi−C結合を含む物質を形
成する工程とを有することを特徴とする。
In another dry etching method of the present invention (claim 2), a step of forming an oxide film on a silicon nitride film, an etching gas containing fluorine and carbon is made into a plasma state, and the etching gas While etching the oxide film by plasma, replacing the nitrogen on the surface of the silicon nitride film exposed during the etching and the carbon adsorbed on the surface of the silicon nitride film, on the surface of the silicon nitride film. And a step of forming a substance containing a Si—C bond.

【0019】ことを特徴とする。It is characterized in that

【0020】また、上記の目的を達成するために、本発
明のドライエッチング方法は次のようなエッチング条件
を有する工程で構成されている。
Further, in order to achieve the above object, the dry etching method of the present invention comprises steps having the following etching conditions.

【0021】即ち、本発明のドライエッチング方法(請
求項3)は、シリコン窒化膜上にシリコン酸化膜を形成
する工程と、弗素と炭素とを含むエッチングガスをプラ
ズマ状態にし、前記エッチングガスのプラズマにより前
記シリコン酸化膜をエッチングする際に、このエッチン
グ中に露出する前記シリコン窒化膜の表面に吸着する炭
素及び弗素に対してこの炭素の個数に対するこの弗素の
個数の比が3/2未満、又は前記エッチング中に露出す
る前記シリコン窒化膜の表面に吸着する炭素の個数が前
記シリコン窒化膜の表面の窒素の個数以上となる条件
で、前記シリコン酸化膜を前記シリコン窒化膜に対して
選択的にエッチングする工程とを有することを特徴とす
る。
That is, according to the dry etching method of the present invention (claim 3), a step of forming a silicon oxide film on a silicon nitride film, an etching gas containing fluorine and carbon is brought into a plasma state, and the plasma of the etching gas is used. When etching the silicon oxide film, the ratio of the number of fluorine to the number of carbon and fluorine adsorbed on the surface of the silicon nitride film exposed during the etching is less than 3/2, or Selectively the silicon oxide film with respect to the silicon nitride film under the condition that the number of carbons adsorbed on the surface of the silicon nitride film exposed during the etching is equal to or more than the number of nitrogens on the surface of the silicon nitride film. And a step of etching.

【0022】また、本発明の他のドライエッチング方法
(請求項4)は、シリコン窒化膜上にシリコン酸化膜を
形成する工程と、CHF3 ガスとCOガスとを含むエッ
チングガスをプラズマ状態にすると共に、温度が90℃
以上、且つ圧力が40mTorr乃至100mTor
r、且つ前記CHF3 ガスと前記COガスとの混合ガス
の流量に対する前記COガスの流量の比が40%乃至8
0%より好ましくは50%乃至80%の条件で、前記混
合ガスのプラズマにより前記シリコン酸化膜を選択的に
エッチングする工程とを有することを特徴とする。
In another dry etching method of the present invention (claim 4), the step of forming a silicon oxide film on the silicon nitride film and the etching gas containing CHF 3 gas and CO gas are brought into a plasma state. Along with the temperature of 90 ℃
Above, and pressure is 40mTorr to 100mTorr
r, and the ratio of the flow rate of the CO gas to the flow rate of the mixed gas of the CHF 3 gas and the CO gas is 40% to 8
And a step of selectively etching the silicon oxide film by plasma of the mixed gas under the condition of 0%, preferably 50% to 80%.

【0023】なお、前記シリコン窒化膜は、純粋にシリ
コンと窒素とからなるものだけではなく、酸素等の他の
物質を含むシリコンと窒素とからなる広い意味での絶縁
膜としてのシリコン窒化膜である。
The silicon nitride film is not only made of pure silicon and nitrogen, but is also a silicon nitride film as an insulating film in a broad sense made of silicon and nitrogen containing other substances such as oxygen. is there.

【0024】また、本発明では、酸化膜上に有機物等か
らなるマスクパターン(炭素膜を含む)を設け、これを
マスクとして前記酸化膜をエッチングしても良いし、マ
スクを設けず、全面エッチング(例えば、異方性エッチ
ングによる側壁残しも含む)しても良い。
In the present invention, a mask pattern (including a carbon film) made of an organic substance or the like may be provided on the oxide film and the oxide film may be etched using this as a mask. Alternatively, the entire surface may be etched without the mask. (For example, the side wall left by anisotropic etching is also included).

【0025】[0025]

【作用】本発明のドライエッチング方法(請求項1,
2)によれば、酸化膜のドライエッチングの最中に、シ
リコン窒化膜の露出表面にSi−C結合を含む物質が形
成される。この物質は前記シリコン窒化膜のエッチング
保護膜として機能し、更に、エッチングガスとして弗素
と炭素とを含むものを用いた場合には、前記物質のSi
−C結合のCにCFx (0≦x≦3)が結合して、より
強いエッチング保護膜機能を有するCFx の重合膜も形
成されるので、前記酸化膜を前記シリコン窒化膜に対し
て選択的にドライエッチングすることができる。
The dry etching method of the present invention (claim 1,
According to 2), during the dry etching of the oxide film, a substance containing Si—C bonds is formed on the exposed surface of the silicon nitride film. This substance functions as an etching protection film for the silicon nitride film, and when an etching gas containing fluorine and carbon is used, the substance contains Si of the above substance.
Since CF x (0 ≦ x ≦ 3) is bonded to C of the —C bond to form a polymerized film of CF x having a stronger etching protection film function, the oxide film may be removed from the silicon nitride film. It can be selectively dry-etched.

【0026】また、本発明の他のドライエッチング方法
(請求項3)によれば、シリコン窒化膜の露出表面での
炭素が窒素に比べて過剰になるので、C+N→CNの反
応でCが除去しきれず、シリコン窒化膜の表面に残った
Cがシリコン窒化膜の露出表面のSiと結合し、シリコ
ン窒化膜の露出表面にSi−C結合を含む物質が形成さ
れる。この物質並びにこの物質上に形成されるCF
x (0≦x≦3)の重合膜は、前記シリコン窒化膜のエ
ッチング保護膜として機能するので、シリコン酸化膜を
選択的にドライエッチングすることができる。
According to another dry etching method of the present invention (claim 3), carbon on the exposed surface of the silicon nitride film becomes excessive as compared with nitrogen, so C is removed by the reaction of C + N → CN. The remaining C on the surface of the silicon nitride film is combined with Si on the exposed surface of the silicon nitride film to form a substance containing Si—C bond on the exposed surface of the silicon nitride film. This substance and CF formed on this substance
Since the polymerized film of x (0 ≦ x ≦ 3) functions as an etching protection film for the silicon nitride film, the silicon oxide film can be selectively dry-etched.

【0027】また、本発明者等の研究によれば、マグネ
トロンドライエッチングにおいて、温度を90℃以上、
且つ圧力を40mTorr乃至100mTorr、且つ
前記CHF3 ガスと前記COガスとの混合ガスの流量に
対する前記COガスの流量の比を40%乃至80%より
好ましくは50%乃至80%の条件に設定して、シリコ
ン窒化膜上のシリコン酸化膜をエッチングした場合、前
記シリコン窒化膜に対する前記シリコン酸化膜のエッチ
ング選択比が20近くになることが分かった。したがっ
て、上記エッチング条件を採用した本発明のドライエッ
チング方法(請求項4)によれば、シリコン酸化膜を選
択的にドライエッチングすることができる。
According to the research conducted by the present inventors, the temperature in the magnetron dry etching is 90 ° C. or higher,
The pressure is set to 40 mTorr to 100 mTorr, and the ratio of the flow rate of the CO gas to the flow rate of the mixed gas of the CHF 3 gas and the CO gas is set to 40% to 80%, more preferably 50% to 80%. It was found that when the silicon oxide film on the silicon nitride film was etched, the etching selection ratio of the silicon oxide film to the silicon nitride film was close to 20. Therefore, according to the dry etching method of the present invention employing the above etching conditions (claim 4), the silicon oxide film can be selectively dry etched.

【0028】[0028]

【実施例】以下、図面を参照しながら実施例を説明す
る。
Embodiments will be described below with reference to the drawings.

【0029】図1は、本発明の一実施例に係るゲート電
極部のコンタクトホールの形成方法を示す工程断面図で
ある。
1A to 1D are process sectional views showing a method of forming a contact hole in a gate electrode portion according to an embodiment of the present invention.

【0030】まず、図1(a)に示すように、Si基板
1上に薄いSiO2 膜2を形成し、続いて、このSiO
2 膜2上にポリシリコン膜3,Si3 4 膜4(シリコ
ン窒化膜)を順次形成する。次いでこのSi3 4 膜4
上にレジストパターン(不図示)を形成した後、このレ
ジストパターンをマスクとして、ポリシリコン膜3,S
3 4 膜4をゲート電極状にエッチング加工する。
First, as shown in FIG. 1A, a thin SiO 2 film 2 is formed on a Si substrate 1, and then this SiO 2 film is formed.
A polysilicon film 3 and a Si 3 N 4 film 4 (silicon nitride film) are sequentially formed on the 2 film 2. Next, this Si 3 N 4 film 4
After forming a resist pattern (not shown) on the polysilicon film, the polysilicon film 3, S is formed by using this resist pattern as a mask.
The i 3 N 4 film 4 is etched into a gate electrode shape.

【0031】次に全面に薄いSi3 4 膜5(シリコン
窒化膜)を堆積した後、全面にBPSG膜6(酸化膜)
を堆積して素子表面を平坦化する。次いでこのBPSG
膜6上にコンタクトホール形成用のフォトレジストパタ
ーン7を形成する。
Next, after depositing a thin Si 3 N 4 film 5 (silicon nitride film) on the entire surface, a BPSG film 6 (oxide film) is formed on the entire surface.
Is deposited to planarize the device surface. Then this BPSG
A photoresist pattern 7 for forming a contact hole is formed on the film 6.

【0032】次にSi基板1を図2に示すようなドライ
エッチング装置に搬入する。
Next, the Si substrate 1 is loaded into a dry etching apparatus as shown in FIG.

【0033】図中、20はエッチング室21を構成する
伝導性の容器を示しており、この容器21は基準電位に
接地されており、陽極としても用いられる。エッチング
室21の底部には陰極26が設置されており、この陰極
26はマッチング回路27を介して周波数13.56M
Hzの高周波電源28に繋がっている。また、陰極26
には冷却管29が設けられており、この冷却管29を介
して冷媒が陰極26に供給され、陰極26が冷却される
ようになっている。なお、冷却管29は高周波電力印加
用のリードの役割も担っている。
In the figure, reference numeral 20 denotes a conductive container forming the etching chamber 21, which is grounded to a reference potential and is also used as an anode. A cathode 26 is installed at the bottom of the etching chamber 21, and the cathode 26 has a frequency of 13.56 M via a matching circuit 27.
It is connected to a high frequency power supply 28 of Hz. In addition, the cathode 26
A cooling pipe 29 is provided in the cooling pipe 29, and the cooling medium is supplied to the cathode 26 through the cooling pipe 29 to cool the cathode 26. The cooling tube 29 also serves as a lead for applying high frequency power.

【0034】また、陰極26上にはポリイミド膜30に
挾持された銅板31が貼付されており、電源32により
この銅板31に2kV〜4kV程度の電圧を印加するこ
とにより、被処理基体36(本実施例の場合にはSi基
板1となる)が陰極26上に静電的に吸着されるように
なっている。
Further, a copper plate 31 held by a polyimide film 30 is attached on the cathode 26, and a voltage of about 2 kV to 4 kV is applied to the copper plate 31 by a power source 32, so that the substrate 36 to be processed (main In the case of the embodiment, the Si substrate 1) is electrostatically adsorbed on the cathode 26.

【0035】また、エッチング室21の上壁部には反応
性ガスを導入するためのガス導入口22が設けられてお
り、エッチング室21内の反応性ガス等はガス排気口2
3を介して外部に排気されるようになっている。また、
エッチング室21の上部外部には複数の永久磁石24と
その駆動機構25とで構成された磁場発生器が設置さ
れ、陰極20と陽極との対向空間に磁界が印加されるよ
うになっている。
A gas inlet 22 for introducing a reactive gas is provided on the upper wall of the etching chamber 21, and the reactive gas and the like in the etching chamber 21 are exhausted through the gas exhaust port 2.
It is designed to be exhausted to the outside via 3. Also,
A magnetic field generator including a plurality of permanent magnets 24 and a driving mechanism 25 thereof is installed outside the upper part of the etching chamber 21, and a magnetic field is applied to a space facing the cathode 20 and the anode.

【0036】なお、図中、33は被処理基体36の裏面
側にガスを導入して熱伝導を大きくするためのガス導入
管、また、34は陰極26と容器20とを絶縁するため
の絶縁部材、そして、35は銅板31のリードと陰極2
6とを絶縁するための絶縁部材を示している。
In the figure, 33 is a gas introduction tube for introducing gas into the back side of the substrate to be processed 36 to increase heat conduction, and 34 is an insulation for insulating the cathode 26 and the container 20. The member 35 is the lead of the copper plate 31 and the cathode 2.
The insulating member for insulating 6 and 6 is shown.

【0037】次に上記の如く構成されたドライエッチン
グ装置を用いて、BPSG膜6のエッチングを行なうた
めに、まず、ガス導入口22からエッチング室21内に
流量25SCCMのCHF3 ,流量75SCCMのCO
を導入すると共に、被処理基体温度(以下、単に温度と
もいう)を100℃,エッチング室21内の圧力を60
mTorrに設定してプラズマを発生させ、図1(b)
に示すように、フォトレジストパターン6をマスクとし
てBPSG膜6をエッチングし、コンタクトホール8を
開孔する。
Next, in order to perform etching of the BPSG film 6 using the dry etching apparatus configured as described above, first, CHF 3 with a flow rate of 25 SCCM and CO with a flow rate of 75 SCCM are introduced into the etching chamber 21 from the gas inlet 22.
Is introduced, the temperature of the substrate to be processed (hereinafter, also simply referred to as temperature) is 100 ° C., and the pressure in the etching chamber 21 is 60.
Set to mTorr to generate plasma,
As shown in FIG. 5, the BPSG film 6 is etched using the photoresist pattern 6 as a mask to open a contact hole 8.

【0038】このようなエッチング条件により、BPS
G膜6は完全にエッチングされるが、Si3 4 膜のエ
ッチングは、薄いSi3 4 膜5の途中で止まり、選択
的にBPSG膜6がエッチングされる。このため、コン
タクトホール8の開孔幅L1をフォトレジストパターン
7の開孔幅L2 より小さくできる。
Under such etching conditions, BPS
Although G film 6 is completely etched, the etching of the Si 3 N 4 film is stopped in the middle of the thin the Si 3 N 4 film 5, is selectively BPSG film 6 is etched. Therefore, the opening width L 1 of the contact hole 8 can be made smaller than the opening width L 2 of the photoresist pattern 7.

【0039】一般に、フォトレジストパターンの形成に
用いるステッパーは、ゲート電極の形成に用いるステッ
パーに比べて精度が低いので、従来の技術ではコンタク
トホールの開孔幅はゲート電極間の幅より大きくなり、
微細なコンタクトホールの形成が困難であった。
In general, since the stepper used for forming the photoresist pattern is less accurate than the stepper used for forming the gate electrode, in the prior art, the opening width of the contact hole is larger than the width between the gate electrodes.
It was difficult to form a fine contact hole.

【0040】一方、本実施例のドライエッチング方法で
は、上述したように、BPSG膜6を選択的にエッチン
グできるので、ゲート電極間の幅程度の開孔幅のコンタ
クトホールを形成できる。また、上記効果はBPSG膜
の代わりにSiO2 膜を用いた場合についても同様に確
認することができた。
On the other hand, according to the dry etching method of this embodiment, as described above, the BPSG film 6 can be selectively etched, so that a contact hole having an opening width about the width between the gate electrodes can be formed. Further, the above effect could be similarly confirmed when the SiO 2 film was used instead of the BPSG film.

【0041】次に上述したエッチング条件でBPSG
膜,SiO2 膜を選択的にエッチングできる根拠につい
て説明する。
Next, under the above-mentioned etching conditions, BPSG
The reason why the film and the SiO 2 film can be selectively etched will be described.

【0042】図3は、SiO2 膜及びSi3 4 膜のエ
ッチング速度の温度依存性を示す特性図である。これは
図2のドライエッチング装置を用い、CHF3 の流量を
100SCCM,圧力を40mTorr,RF電力を8
00Wに設定して得られたものである。なお、このとき
の被処理基体上の水平磁界強度は100Gaussであ
った。
FIG. 3 is a characteristic diagram showing the temperature dependence of the etching rate of the SiO 2 film and the Si 3 N 4 film. The dry etching apparatus shown in FIG. 2 is used for this, the flow rate of CHF 3 is 100 SCCM, the pressure is 40 mTorr, and the RF power is 8
It was obtained by setting it to 00W. The horizontal magnetic field strength on the substrate to be treated at this time was 100 Gauss.

【0043】図3からSiO2 膜のエッチング速度は、
温度に対して殆ど変化しないことが分かる。一方、Si
3 4 膜のエッチング速度は、温度の上昇に伴なって大
幅に低下し、90℃以上ではSiO2 膜のエッチング速
度より小さくなることが分かる。
From FIG. 3, the etching rate of the SiO 2 film is
It can be seen that there is almost no change with temperature. On the other hand, Si
It can be seen that the etching rate of the 3 N 4 film significantly decreases with increasing temperature, and becomes lower than the etching rate of the SiO 2 film at 90 ° C. or higher.

【0044】本発明者等はSi3 4 膜のエッチング速
度が温度変化に対して大幅に変化する原因を明らかにす
るために、被処理基体温度70℃(電極温度20℃),
被処理基体温度150℃(電極温度100℃)の場合に
ついてそれぞれX線光電子分光法(XPS)を用いてエ
ッチング途中のSi3 4 膜の表面を調べてみた。
In order to clarify the cause of the drastic change in the etching rate of the Si 3 N 4 film with respect to the temperature change, the present inventors have set the temperature of the substrate to be treated at 70 ° C. (electrode temperature 20 ° C.),
When the temperature of the substrate to be treated was 150 ° C. (electrode temperature was 100 ° C.), the surface of the Si 3 N 4 film in the middle of etching was examined by using X-ray photoelectron spectroscopy (XPS).

【0045】図4はその結果を示すSi3 4 膜の表面
のXPSスペクトルである。このXPSスペクトルから
温度150℃の場合の方が温度70℃の場合に比べてS
34 膜の表面のC及びFのピーク値が高いことが分
かる。
FIG. 4 is an XPS spectrum of the surface of the Si 3 N 4 film showing the result. From this XPS spectrum, S at the temperature of 150 ° C. is higher than that at the temperature of 70 ° C.
It can be seen that the peak values of C and F on the surface of the i 3 N 4 film are high.

【0046】即ち、温度150℃の場合には、Si3
4 膜の表面にフロロカーボン重合膜{(CFx )n(0
≦x≦3)}が形成されることが分かった。また、この
フロロカーボン重合膜は、温度が90℃以上であれば、
Si3 4 膜の表面に形成されることを確認した。
That is, when the temperature is 150 ° C., Si 3 N
The fluorocarbon polymerized film {(CF x ) n (0
≦ x ≦ 3)} was formed. Moreover, if the temperature of the fluorocarbon polymer film is 90 ° C. or higher,
It was confirmed that it was formed on the surface of the Si 3 N 4 film.

【0047】以上の事実からSi3 4 膜のエッチング
速度が温度変化に対して大幅に変化するのは、膜表面の
フロロカーボン重合膜の有無に関係すると考えられる。
From the above facts, it is considered that the etching rate of the Si 3 N 4 film changes drastically with respect to the temperature change depending on the presence or absence of the fluorocarbon polymer film on the film surface.

【0048】即ち、温度が90℃以上になると、Si3
4 膜のエッチング保護マスクとして機能するのに十分
な厚さのフロロカーボン重合膜がSi3 4 膜の表面に
形成され、SiO2 膜が選択的にエッチングされると考
えられる。
That is, when the temperature rises above 90 ° C., Si 3
Fluorocarbon polymer film of sufficient thickness to function as an etching protective mask of N 4 film is formed on the surface of the Si 3 N 4 film, is considered to SiO 2 film is selectively etched.

【0049】Si3 4 膜の表面にフロロカーボン重合
膜が形成される理由は次のように考えられる。ここで
は、エッチングガスとしてCHF3 を用いた場合を例に
して説明する。
The reason why the fluorocarbon polymer film is formed on the surface of the Si 3 N 4 film is considered as follows. Here, a case where CHF 3 is used as an etching gas will be described as an example.

【0050】CHF3 はプラズマ放電により分解解離さ
れ、Cラジカル,CFラジカル,CF2 ラジカル,CF
3 ラジカルが生成される。これらラジカルの被処理基体
表面への付着確率{P(CFx )|CFx =C, CF, CF2 ,
CF3 }の大小関係は、 P(C)>P(CF)>P(CF2 )>P(CF3 ) となる。
CHF 3 is decomposed and dissociated by plasma discharge, and C radicals, CF radicals, CF 2 radicals, CF
3 Radicals are generated. Adhesion probability of these radicals to the surface of the substrate to be treated {P (CF x ) | CF x = C, CF, CF 2 ,
The magnitude relation of CF 3 } is P (C)> P (CF)> P (CF 2 )> P (CF 3 ).

【0051】上記付着確率は、被処理基体温度に依存
し、一般に、高温ほど小さくなり、そして、その変化量
は付着確率が小さいほど大きい。このため、被処理基体
温度がある程度の高温になると、CF2 ラジカル,CF
3 ラジカルの付着確率が十分小さくなり、被処理基体表
面に吸着するラジカルは実質的にCラジカル,CFラジ
カルだけとなる。Cラジカル,CFラジカルは不対電子
をそれぞれ4個,3個を持ち、他の粒子と結合し易い状
態になっている。
The sticking probability depends on the temperature of the substrate to be treated, and generally becomes smaller as the temperature rises, and the amount of change becomes larger as the sticking probability becomes smaller. For this reason, when the temperature of the substrate to be processed reaches a certain high temperature, CF 2 radicals, CF 2
3 The sticking probability of radicals becomes sufficiently small, and the radicals adsorbed on the surface of the substrate to be treated are essentially only C radicals and CF radicals. The C radical and the CF radical have four and three unpaired electrons, respectively, and are in a state of being easily bonded to other particles.

【0052】被処理基体温度が高い場合には、Si3
4 膜の表面に大量のCラジカル,CFラジカルが吸着し
ているので、SiとFとが結合する確率より、SiとC
とが結合(Si−C結合)する確率のほうが高くなる。
このため、Si3 4 膜の表面のNとCとが結合してC
Nが形成された後、Nが抜けたSi3 4 膜の表面のS
iがCと優先的に結合するため、Si3 4 膜の表面に
Si−C結合を含む物質が形成される。
When the temperature of the substrate to be treated is high, Si 3 N
4 Since a large amount of C radicals and CF radicals are adsorbed on the surface of the film, Si and C are more likely to be bonded than Si and F.
The probability that and will bond (Si-C bond) is higher.
Therefore, N and C on the surface of the Si 3 N 4 film are combined to form C.
After N is formed, S on the surface of the Si 3 N 4 film from which N has been removed
Since i preferentially bonds with C, a substance containing Si—C bond is formed on the surface of the Si 3 N 4 film.

【0053】また、Si3 4 膜の表面に吸着したF,
Cは、Si3 4 +6F+4C→3SiF2 +4CNと
いう反応により、Si3 4 膜の表面から離脱するの
で、Fに対するCの比(C/F)が2/3以上にCが過
剰な場合には、Si3 4 膜に吸着したCの全てはCN
という形でSi3 4 膜の表面から離脱できず、Si3
4 膜の表面にCが残り、この残ったCがSi3 4
の表面のSiと結合してSi−C結合が形成され、Si
3 4 膜の表面にSi−C結合を含む物質が形成され
る。
Further, F adsorbed on the surface of the Si 3 N 4 film,
Since C is released from the surface of the Si 3 N 4 film by the reaction of Si 3 N 4 + 6F + 4C → 3SiF 2 + 4CN, when the ratio of C to F (C / F) is 2/3 or more, C is excessive. Is all of the C adsorbed on the Si 3 N 4 film is CN
It can not be detached from the surface of the Si 3 N 4 film in the form of, Si 3
C remains on the surface of the N 4 film, and the remaining C bonds with Si on the surface of the Si 3 N 4 film to form a Si—C bond.
A substance containing a Si—C bond is formed on the surface of the 3 N 4 film.

【0054】このSi−C結合を含む層自身でもエッチ
ング保護膜として機能するが、Si3 4 膜の表面にS
i−C結合を含む層が形成されると、この上により強度
のエッチング保護膜として機能するCFx (0≦x≦
3)の重合膜が形成され、SiO2 膜のSi3 4 膜に
対する選択エッチングが可能となる。
The layer containing the Si--C bond itself also functions as an etching protection film, but S is formed on the surface of the Si 3 N 4 film.
When a layer containing an i-C bond is formed, CFx (0≤x≤
The polymerized film of 3) is formed, and the SiO 2 film can be selectively etched with respect to the Si 3 N 4 film.

【0055】次に図5を用いてSiO2 膜及びSi3
4 膜のエッチング速度の圧力度依存性について説明す
る。これは図2のドライエッチング装置を用い、CHF
3 ガス流量を25SCCM,COガス流量を75SCC
M,RF電力を800W,被処理基体温度を70℃に設
定して得られたものである。
Next, referring to FIG. 5, a SiO 2 film and a Si 3 N film are formed.
The pressure dependence of the etching rate of the four films will be described. This uses the dry etching device of FIG.
3 gas flow rate is 25SCCM, CO gas flow rate is 75SCC
M, RF power was set to 800 W, and the temperature of the substrate to be treated was set to 70 ° C.

【0056】図5からSi3 4 膜にエッチング速度
は、SiO2 膜に比べ圧力の変化に対して大きく変化
し、例えば、圧力80mTorrの場合、圧力20mT
orrのそれの1/10以下と大幅に低下していること
が分かる。また、Si3 4 膜のエッチング速度は、圧
力40mTorr以上になると、SiO2 膜のエッチン
グ速度より小さくなることも分かる。
As shown in FIG. 5, the etching rate of the Si 3 N 4 film changes greatly with respect to the change of the pressure as compared with the SiO 2 film. For example, when the pressure is 80 mTorr, the pressure is 20 mT.
It can be seen that the value is significantly lower than 1/10 of that of orr. It can also be seen that the etching rate of the Si 3 N 4 film is lower than the etching rate of the SiO 2 film when the pressure is 40 mTorr or more.

【0057】本発明者等は、圧力がある程度高くなる
と、Si3 4 膜のエッチング速度がSiO2 膜のエッ
チング速度より小さくなる原因を明らかにするために、
圧力100mTorrの場合について、X線光電子分光
法を用いてエッチング途中のSi3 4 膜の表面を調べ
てみたところ、Si3 4 膜の表面にフロロカーボン重
合膜が形成されていることが分かった。
In order to clarify the reason why the etching rate of the Si 3 N 4 film becomes lower than the etching rate of the SiO 2 film when the pressure increases to some extent, the present inventors
When the pressure was 100 mTorr, the surface of the Si 3 N 4 film during etching was examined by X-ray photoelectron spectroscopy, and it was found that a fluorocarbon polymer film was formed on the surface of the Si 3 N 4 film. .

【0058】これは圧力がある程度高くなると、Si3
4 膜のエッチング保護マスクとして機能するのに十分
な厚さのフロロカーボン重合膜が、Si3 4 膜の表面
に形成されることを意味している。
This is because when the pressure becomes high to some extent, Si 3
Fluorocarbon polymer film of sufficient thickness to function as an etching protective mask of N 4 film, which means that it is formed on the surface of the Si 3 N 4 film.

【0059】Si3 4 膜の表面にフロロカーボン重合
膜が形成される理由は次のように考えられる。
The reason why the fluorocarbon polymer film is formed on the surface of the Si 3 N 4 film is considered as follows.

【0060】圧力が高くなると、プラズマ中の粒子数が
増大し、Si3 4 膜の表面に吸着するCFx ラジカル
(0≦x≦3)の数が多くなる。また、Si3 4 膜の
表面に吸着したCとSi3 4 膜の表面のNとが反応し
て蒸気圧が高いCNが形成されるため、Si3 4 膜の
表面に吸着したCが除去される。
As the pressure increases, the number of particles in plasma increases, and the number of CF x radicals (0 ≦ x ≦ 3) adsorbed on the surface of the Si 3 N 4 film increases. Further, since the Si 3 N 4 film C and the Si 3 N 4 film CN high vapor pressure and N react on the surface of which is adsorbed on the surface of the is formed, adsorbed on the surface of the Si 3 N 4 film C Are removed.

【0061】しかし、Si3 4 膜の表面には大量のC
x ラジカルが吸着しているため、全てのCFx ラジカ
ルのCをCNとして除去することができず、このCはN
との結合が切れたSiの結合手に結合する。更に、Si
3 4 膜の表面にはCFx ラジカルが残る。また、Si
3 4 膜の表面では、Si3 4 膜の表面のSiとSi
3 4 膜の表面に吸着したCFx ラジカルのFとが反応
して蒸気圧の高いSiF4 が形成され、Si3 4 膜の
表面のSiが除去される。
However, a large amount of C is present on the surface of the Si 3 N 4 film.
Since the F x radicals are adsorbed, C of all CF x radicals cannot be removed as CN, and this C is N
Bonds to the Si bond that has been disconnected from. Furthermore, Si
CF x radicals remain on the surface of the 3 N 4 film. Also, Si
3 on the surface of the N 4 film, the surface of the Si 3 N 4 film Si and Si
The CF x radicals F adsorbed on the surface of the 3 N 4 film react with each other to form SiF 4 having a high vapor pressure, and Si on the surface of the Si 3 N 4 film is removed.

【0062】この結果、Si3 4 膜の表面にエッチン
グ保護膜として機能するSi−C結合を含む層が形成さ
れ、そして、このSi−C結合を含む層上により強度の
エッチング保護マスクとして機能するCFx (0≦x≦
3)の重合膜が形成され、SiO2 膜の選択エッチング
が可能となる。
[0062] As a result, Si 3 N 4 film layer containing Si-C bonds which functions as an etching protective film is formed on the surface of the, and functions as an etching protective mask strength by the upper layer containing the Si-C bond CF x (0 ≦ x ≦
The polymerized film of 3) is formed, and the SiO 2 film can be selectively etched.

【0063】次に図6を用いてSiO2 膜及びSi3
4 膜のエッチング速度のCO/(CHF3 +CO)流量
比依存性について説明する。これは図2のドライエッチ
ング装置を用いガス総流量を100SCCM,圧力を4
0mTorr,RF電力を800W,被処理基体温度を
70℃に設定して得られたものである。
Next, referring to FIG. 6, a SiO 2 film and a Si 3 N film are formed.
The dependence of the etching rate of the four films on the CO / (CHF 3 + CO) flow rate ratio will be described. This is performed by using the dry etching apparatus of FIG. 2 with a total gas flow rate of 100 SCCM and a pressure of 4
It was obtained by setting 0 mTorr, RF power at 800 W, and the temperature of the substrate to be treated at 70 ° C.

【0064】図6からSi3 4 膜のエッチング速度
は、SiO2 膜のエッチング速度に比べ、CO/(CH
3 +CO)流量比の変化に対して大きく変化し、例え
ば、CO/(CHF3 +CO)流量比が75%の場合の
Si3 4 膜のエッチング速度は、CO/(CHF3
CO)流量比が0%の場合のそれの1/3以下と大幅に
低下していることが分かる。また、Si3 4 膜のエッ
チング速度は、CO/(CHF3 +CO)流量比が40
%以上になると、SiO2 膜のエッチング速度より小さ
くなることも分かる。
From FIG. 6, the etching rate of the Si 3 N 4 film is higher than that of the SiO 2 film by CO / (CH
F 3 + CO) flow rate ratio largely changes, and for example, when the CO / (CHF 3 + CO) flow rate ratio is 75%, the etching rate of the Si 3 N 4 film is CO / (CHF 3 +
It can be seen that the CO) flow rate ratio is greatly reduced to 1/3 or less of that when it is 0%. Further, the etching rate of the Si 3 N 4 film is 40 / COF (CHF 3 + CO) flow rate ratio.
It can also be seen that when the content is more than 100%, it becomes lower than the etching rate of the SiO 2 film.

【0065】CO/(CHF3 +CO)流量比が75%
の場合について、エッチング途中のSi3 4 膜の表面
をX線光電子分光法を用いて調べてみたところ、Si3
4膜の表面にフロロカーボン重合膜が形成されている
ことが確認された。
CO / (CHF 3 + CO) flow rate ratio is 75%
For the case of, when the surface of the etching halfway the Si 3 N 4 film was examined by X-ray photoelectron spectroscopy, Si 3
It was confirmed that a fluorocarbon polymer film was formed on the surface of the N 4 film.

【0066】即ち、CO/(CHF3 +CO)流量比が
ある程度大きくなると、Si3 4膜のエッチング保護
マスクとして機能するのに十分な厚さのフロロカーボン
重合膜が、Si3 4 膜の表面に形成されることが分か
った。
[0066] That is, CO / if (CHF 3 + CO) flow rate ratio increases to some extent, Si 3 N 4 film sufficient thickness of the fluorocarbon polymer film to function as an etching protective mask is, Si 3 N 4 film surface Was found to be formed.

【0067】次に図7を用いてフォトレジストパターン
がSi3 4 膜のエッチングに与える影響について説明
する。
Next, the effect of the photoresist pattern on the etching of the Si 3 N 4 film will be described with reference to FIG.

【0068】図7は、Si3 4 膜上にフォトレジスト
パターンがある場合及び無い場合についてのエッチング
時間とエッチング深さとの関係を示す特性図である。こ
れは図2のドライエッチング装置を用い、CHF3 の流
量を25SCCM,COの流量を70SCCM,圧力を
40mTorr,RF電力を800W,被処理基体温度
を70℃に設定して得られたものである。
FIG. 7 is a characteristic diagram showing the relationship between the etching time and the etching depth with and without the photoresist pattern on the Si 3 N 4 film. This was obtained by using the dry etching apparatus of FIG. 2 and setting the flow rate of CHF 3 to 25 SCCM, the flow rate of CO to 70 SCCM, the pressure to 40 mTorr, the RF power to 800 W, and the temperature of the substrate to be processed to 70 ° C. .

【0069】図7からフォトレジストパターンがない場
合には、Si3 4 膜のエッチング深さはエッチング時
間に対して直線的に変化することが分かる。
It can be seen from FIG. 7 that the etching depth of the Si 3 N 4 film changes linearly with the etching time when there is no photoresist pattern.

【0070】一方、フォトレジストパターンがある場合
には、エッチング開始直後(10秒程度)のエッチング
速度はフォトレジストパターンがない場合と同じある
が、それ以降いったんエッチング速度が大幅に低下し、
そして、その低いエッチング速度で一定となる。
On the other hand, when there is a photoresist pattern, the etching rate immediately after the start of etching (about 10 seconds) is the same as when there is no photoresist pattern, but after that, the etching rate drops sharply.
Then, it becomes constant at the low etching rate.

【0071】フォトレジストパターンがある場合にエッ
チング速度が低下するのは次のように考えられる。
The reason why the etching rate decreases when there is a photoresist pattern is considered as follows.

【0072】SiO2 膜上にフォトレジストパターンが
形成されたSiO2 膜のエッチングでは、フォトレジス
トパターンの表面にフロロカーボン重合膜が形成される
ため、エッチング時のイオン衝撃によりフォトレジスト
パターンの表面のフロロカーボン重合膜がスパッタされ
る。このため、Cの重合膜がプラズマ中に放出され、こ
の放出されたCの重合膜もプラズマ中で形成されるもの
と同様にSi3 4 膜上に吸着し、CFx (0≦x≦
3)の重合膜の形成に寄与するのでより選択比の高いS
iO2 膜の選択エッチングが可能となる。
[0072] In the etching of the SiO 2 film photoresist pattern on the SiO 2 film is formed, since the surface in fluorocarbon polymerization film of a photoresist pattern is formed by ion bombardment during the etching of the surface of the photoresist pattern fluorocarbon The polymer film is sputtered. Therefore, the polymerized film of C is released into the plasma, and the released polymerized film of C is also adsorbed on the Si 3 N 4 film in the same manner as that formed in the plasma, and CF x (0 ≦ x ≦
Since it contributes to the formation of the polymerized film of 3), S having a higher selection ratio
This allows selective etching of the iO 2 film.

【0073】ここで、フォトレジストパターンの表面に
形成されたフロロカーボン重合膜がイオン衝撃によりス
パッタされるまでにある程度時間を要するので、エッチ
ング開始直後のSi3 4 膜のエッチング速度は、Si
2 膜上にフォトレジストパターンを形成しない場合の
それと同じになる。
Since it takes some time for the fluorocarbon polymer film formed on the surface of the photoresist pattern to be sputtered by ion bombardment, the etching rate of the Si 3 N 4 film immediately after the start of etching is Si.
This is the same as when the photoresist pattern is not formed on the O 2 film.

【0074】図8は、レジストパターンを設けた場合の
Si3 4 膜のエッチング深さの温度並びに圧力依存性
を示す図である。これは図2のドライエッチング装置を
用い、CHF3 の流量を25CCM,COの流量を75
SCCM,RF電力を800Wに設定して得られたもの
である。
FIG. 8 is a diagram showing the temperature and pressure dependence of the etching depth of the Si 3 N 4 film when a resist pattern is provided. This is performed by using the dry etching apparatus of FIG. 2 with a flow rate of CHF 3 of 25 CCM and a flow rate of CO of 75.
It was obtained by setting SCCM and RF power to 800W.

【0075】この図から温度に拘らず圧力が高いほどエ
ッチング深さが小さくなり、また、温度が高いほど圧力
の変化に対してエッチング深さが大きく減少し、そし
て、同じ圧力で比べた場合、温度が高いほどエッチング
深さが小さいことが分かる。
From this figure, regardless of the temperature, the higher the pressure, the smaller the etching depth, and the higher the temperature, the greater the etching depth decreased with respect to the change in pressure. It can be seen that the higher the temperature, the smaller the etching depth.

【0076】また、温度が20℃の場合、圧力が100
mTorrで80nmエッチングされ、そして、温度が
90℃以上,圧力が60mTorr以上の場合、エッチ
ング深さが20nm未満となり、この場合、SiO2
に対するSi3 4 膜のエッチング選択比が10以上に
なる。
When the temperature is 20 ° C., the pressure is 100
When the etching is performed at 80 nm with mTorr and the temperature is 90 ° C. or higher and the pressure is 60 mTorr or higher, the etching depth is less than 20 nm, and in this case, the etching selection ratio of the Si 3 N 4 film to the SiO 2 film is 10 or more. .

【0077】以上述べてきたように、被処理基体温度,
圧力,エッチングガス流量比等を適切な値に設定すれ
ば、Si3 4 膜の表面にフロロカーボン重合膜が形成
され、従来より無理だと考えられていたCHF3 等の弗
化炭素を用いRIEによるSiO2 膜の選択エッチング
が可能となる。
As described above, the temperature of the substrate to be treated,
If the pressure and the etching gas flow rate ratio are set to appropriate values, a fluorocarbon polymer film is formed on the surface of the Si 3 N 4 film, and RIE using carbon fluoride such as CHF 3 which has been considered impossible in the past has been performed. This enables selective etching of the SiO 2 film.

【0078】なお、本実施例では、温度100℃,圧力
60mTorr,CO/(CHF3+CO)流量比75
%のエッチング条件で、BPSG膜6のエッチングを行
なったが、温度が90℃以上、且つ圧力が40mTor
r乃至100mTorr、且つCO/(CHF3 +C
O)流量比が40mTorr乃至80%より好ましくは
50%乃至80%のエッチング条件であれば、20近い
エッチング選択比が取れることが分かった。
In this example, the temperature was 100 ° C., the pressure was 60 mTorr, and the CO / (CHF 3 + CO) flow rate ratio was 75.
%, The BPSG film 6 was etched under the conditions of a temperature of 90 ° C. or higher and a pressure of 40 mTorr.
r to 100 mTorr, and CO / (CHF 3 + C
It has been found that an etching selection ratio close to 20 can be obtained under the etching conditions of O) flow rate ratio of 40 mTorr to 80%, more preferably 50% to 80%.

【0079】図9は、本発明の他の実施例に係るSiO
2 膜の選択エッチング方法を示す工程断面図である。
FIG. 9 shows SiO according to another embodiment of the present invention.
FIG. 4 is a process cross-sectional view showing a selective etching method for two films.

【0080】まず、図9(a)に示すように、Si基板
11上にSi3 4 膜12をCVD法を用いて堆積する
次に図9(b)に示すように、Si3 4 膜12の表面
にC13をイオン注入する。
First, as shown in FIG. 9A, the Si 3 N 4 film 12 is deposited on the Si substrate 11 by the CVD method. Then, as shown in FIG. 9B, Si 3 N 4 is deposited. C13 is ion-implanted into the surface of the film 12.

【0081】次に図9(c)に示すように、Si3 4
膜12上にBPSG膜14(酸化膜)をCVD法を用い
て堆積する。
Next, as shown in FIG. 9C, Si 3 N 4 is used.
A BPSG film 14 (oxide film) is deposited on the film 12 using the CVD method.

【0082】次に図9(d)に示すように、BPSG膜
14上にレジストパターン15を光露光法用を用いて形
成する。
Next, as shown in FIG. 9D, a resist pattern 15 is formed on the BPSG film 14 by using a light exposure method.

【0083】最後に、図9(e)に示すように、レジス
トパターン15をマスクとしてBPSG膜14をエッチ
ングする。このエッチングは、図2のドライエッチング
装置を用い、CHF3 の流量を100SCCM,圧力を
40mTorr,温度を70℃、RF電力を800Wに
設定して行なう。
Finally, as shown in FIG. 9E, the BPSG film 14 is etched using the resist pattern 15 as a mask. This etching is performed using the dry etching apparatus of FIG. 2 with the flow rate of CHF 3 set to 100 SCCM, the pressure set to 40 mTorr, the temperature set to 70 ° C., and the RF power set to 800 W.

【0084】上記エッチング条件では、前述した結果よ
り、BPSG膜14に対するSi34 膜12のエッチ
ング選択比は2と小さいものとなるはずであるが、実際
のエッチング選択比は15と非常に大きかった。
Under the above etching conditions, the etching selectivity of the Si 3 N 4 film 12 to the BPSG film 14 should be as small as 2 from the above-mentioned results, but the actual etching selectivity is as large as 15. It was

【0085】これはSi3 4 膜12の表面にCがイオ
ン注入されているので、実効的にSi3 4 膜の表面に
大量のCが吸着した状態と同じなり、この結果、Si−
C結合が形成され、Si3 4 膜12の表面にエッチン
グ保護膜として十分な厚さのフロロカーボン重合膜12
が形成されるからである。
Since C is ion-implanted into the surface of the Si 3 N 4 film 12, this is effectively the same as the state in which a large amount of C is adsorbed on the surface of the Si 3 N 4 film. As a result, Si-
A fluorocarbon polymer film 12 having a C bond and having a sufficient thickness as an etching protection film on the surface of the Si 3 N 4 film 12.
Is formed.

【0086】このような効果はBPSG膜の代わりに、
SiO2 膜を用いた場合も同様の効果が得られるのを確
認できた。
Such an effect is obtained by using the BPSG film instead of
It was confirmed that the same effect was obtained when the SiO 2 film was used.

【0087】なお、本発明は上述した実施例に限定され
るものではない。例えば、上記実施例では、シリコン窒
化膜としてSi3 4 膜を用いた場合について説明した
が、本発明は他の組成のシリコン窒化膜にも適用でき、
更に、酸素等の他の元素を含んだシリコン窒化膜にも適
用できる。また、酸化膜としてSiO2 膜やBPSG膜
を用いた場合について説明したが、タンタルやアルミニ
ウムの酸化膜等の他の酸化膜に対しても本発明は有効で
ある。また、上述したマグネトロンタイプのドライエッ
チング装置以外のものを用いてもよい。
The present invention is not limited to the above embodiment. For example, in the above embodiment, the case where the Si 3 N 4 film is used as the silicon nitride film has been described, but the present invention can be applied to the silicon nitride film having another composition.
Further, it can be applied to a silicon nitride film containing other element such as oxygen. Although the case where the SiO 2 film or the BPSG film is used as the oxide film has been described, the present invention is also effective for other oxide films such as tantalum and aluminum oxide films. Further, a device other than the magnetron type dry etching device described above may be used.

【0088】更に、マスクを設けずに全面エッチングを
行なっても酸化膜のシリコン窒化膜に対する選択エッチ
ングが可能である。例えば、下地のシリコン窒化膜上に
アルミニウム等の配線を設け、この上にシリコン酸化膜
を形成した後、全面異方エッチングして配線側壁にシリ
コン酸化膜を残置させる方法も可能である。
Further, even if the entire surface is etched without providing a mask, the silicon nitride film of the oxide film can be selectively etched. For example, it is possible to provide a wiring of aluminum or the like on the underlying silicon nitride film, form a silicon oxide film on the wiring, and then anisotropically etch the entire surface to leave the silicon oxide film on the sidewall of the wiring.

【0089】その他、本発明の要旨を逸脱しない範囲
で、種々変形して実施できる。
In addition, various modifications can be made without departing from the scope of the present invention.

【0090】[0090]

【発明の効果】以上詳述したように本発明によれば、シ
リコン窒化膜上にエッチング保護膜を形成でき、もっ
て、シリコン酸化膜の選択エッチングが可能となる。
As described above in detail, according to the present invention, the etching protection film can be formed on the silicon nitride film, and thus the silicon oxide film can be selectively etched.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の一実施例に係るゲート電極部のコンタ
クトホールの形成方法を示す工程断面図。
FIG. 1 is a process sectional view showing a method of forming a contact hole in a gate electrode portion according to an embodiment of the present invention.

【図2】本発明の一実施例に係るドライエッチング装置
の概略構成を示す模式図。
FIG. 2 is a schematic diagram showing a schematic configuration of a dry etching apparatus according to an embodiment of the present invention.

【図3】SiO2 膜及びSi3 4 膜のエッチング速度
の温度依存性を示す特性図。
FIG. 3 is a characteristic diagram showing temperature dependence of etching rates of a SiO 2 film and a Si 3 N 4 film.

【図4】Si3 4 膜の表面のXPSスペクトルを示す
図。
FIG. 4 is a diagram showing an XPS spectrum of a surface of a Si 3 N 4 film.

【図5】SiO2 膜及びSi3 4 膜のエッチング速度
の圧力度依存性を示す特性図。
FIG. 5 is a characteristic diagram showing pressure dependency of etching rates of SiO 2 film and Si 3 N 4 film.

【図6】SiO2 膜及びSi3 4 膜のエッチング速度
のCO/(CHF3 +CO)流量比依存性を示す特性
図。
FIG. 6 is a characteristic diagram showing the CO / (CHF 3 + CO) flow ratio dependency of the etching rate of the SiO 2 film and the Si 3 N 4 film.

【図7】フォトレジストパターンの有無の違いによるS
3 4 膜のエッチング特性の違いを示す図。
FIG. 7 shows S depending on the presence or absence of a photoresist pattern.
i 3 N 4 film shows a difference in etching characteristics of.

【図8】エッチング深さと温度と圧力との関係を示す
図。
FIG. 8 is a diagram showing the relationship between etching depth, temperature, and pressure.

【図9】本発明の他の実施例に係るドライエッチング方
法を示す工程断面図。
FIG. 9 is a process sectional view showing a dry etching method according to another embodiment of the present invention.

【符号の説明】[Explanation of symbols]

1…Si基板 2…SiO2 膜 3…ポリシリコン膜 4,5…Si3 4 膜(シリコン窒化膜) 6…BPSG膜(酸化膜) 7…フォトレジストパターン 8…コンタクトホール 11…Si基板 12…Si3 4 膜(シリコン窒化膜) 13…Cイオン 14…BPSG膜(酸化膜) 15…フォトレジストパターン1 ... Si substrate 2 ... SiO 2 film 3 ... polysilicon film 4,5 ... Si 3 N 4 film (silicon nitride film) 6 ... BPSG film (oxide film) 7 ... photoresist pattern 8 ... contact hole 11 ... Si substrate 12 ... Si 3 N 4 film (silicon nitride film) 13 ... C ions 14 ... BPSG film (oxide film) 15 ... photoresist pattern

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】シリコン窒化膜上に酸化膜を形成する工程
と、 この酸化膜をドライエッチングすると共に、このドライ
エッチング中に露出する前記シリコン窒化膜の表面にS
i−C結合を含む物質を形成する工程とを有することを
特徴とするドライエッチング方法。
1. A step of forming an oxide film on a silicon nitride film, dry etching the oxide film, and applying S to the surface of the silicon nitride film exposed during the dry etching.
and a step of forming a substance containing an i-C bond.
【請求項2】シリコン窒化膜上に酸化膜を形成する工程
と、 弗素と炭素とを含むエッチングガスをプラズマ状態に
し、前記エッチングガスのプラズマにより前記酸化膜を
エッチングすると共に、このエッチングの最中に露出す
る前記シリコン窒化膜の表面の窒素と前記シリコン窒化
膜の表面に吸着した前記炭素とを置き換えて、前記シリ
コン窒化膜の表面にSi−C結合を含む物質を形成する
工程とを有することを特徴とするドライエッチング方
法。
2. A step of forming an oxide film on a silicon nitride film, an etching gas containing fluorine and carbon is brought into a plasma state, and the oxide film is etched by the plasma of the etching gas, and during the etching. Replacing the nitrogen on the surface of the silicon nitride film exposed to the surface with the carbon adsorbed on the surface of the silicon nitride film to form a substance containing Si—C bonds on the surface of the silicon nitride film. And a dry etching method.
【請求項3】シリコン窒化膜上にシリコン酸化膜を形成
する工程と、 弗素と炭素とを含むエッチングガスをプラズマ状態に
し、前記エッチングガスのプラズマにより前記シリコン
酸化膜をエッチングする際に、このエッチング中に露出
する前記シリコン窒化膜の表面に吸着する炭素及び弗素
に対してこの炭素の個数に対するこの弗素の個数の比が
3/2未満、又は前記エッチング中に露出する前記シリ
コン窒化膜の表面に吸着する炭素の個数が前記シリコン
窒化膜の表面の窒素の個数以上となる条件で、前記シリ
コン酸化膜を前記シリコン窒化膜に対して選択的にエッ
チングする工程とを有することを特徴とするドライエッ
チング方法。
3. A step of forming a silicon oxide film on a silicon nitride film, and an etching gas containing fluorine and carbon is brought into a plasma state, and when the silicon oxide film is etched by the plasma of the etching gas, this etching is performed. The ratio of the number of fluorine to the number of carbon is less than 3/2 with respect to carbon and fluorine adsorbed on the surface of the silicon nitride film exposed inside, or on the surface of the silicon nitride film exposed during the etching. Dry etching characterized by including the step of selectively etching the silicon oxide film with respect to the silicon nitride film under the condition that the number of adsorbed carbons is equal to or more than the number of nitrogen atoms on the surface of the silicon nitride film. Method.
【請求項4】シリコン窒化膜上にシリコン酸化膜を形成
する工程と、 CHF3 ガスとCOガスとを含むエッチングガスをプラ
ズマ状態にすると共に、温度が90℃以上、且つ圧力が
40mTorr乃至100mTorr、且つ前記CHF
3 ガスと前記COガスとの混合ガスの流量に対する前記
COガスの流量の比が40%乃至80%の条件で、前記
混合ガスのプラズマにより前記シリコン酸化膜を前記シ
リコン窒化膜に対して選択的にエッチングする工程とを
有することを特徴とするドライエッチング方法。
4. A step of forming a silicon oxide film on a silicon nitride film, an etching gas containing CHF 3 gas and CO gas in a plasma state, a temperature of 90 ° C. or higher, and a pressure of 40 mTorr to 100 mTorr, And the CHF
Under the condition that the ratio of the flow rate of the CO gas to the flow rate of the mixed gas of the 3 gas and the CO gas is 40% to 80%, the silicon oxide film is selectively selected by the plasma of the mixed gas with respect to the silicon nitride film. A dry etching method, which comprises:
JP27892192A 1992-10-16 1992-10-16 Dry etching method Expired - Lifetime JP3160389B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP27892192A JP3160389B2 (en) 1992-10-16 1992-10-16 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP27892192A JP3160389B2 (en) 1992-10-16 1992-10-16 Dry etching method

Publications (2)

Publication Number Publication Date
JPH06132252A true JPH06132252A (en) 1994-05-13
JP3160389B2 JP3160389B2 (en) 2001-04-25

Family

ID=17603944

Family Applications (1)

Application Number Title Priority Date Filing Date
JP27892192A Expired - Lifetime JP3160389B2 (en) 1992-10-16 1992-10-16 Dry etching method

Country Status (1)

Country Link
JP (1) JP3160389B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5549786A (en) * 1995-08-29 1996-08-27 Advanced Micro Devices, Inc. Highly selective, highly uniform plasma etch process for spin-on glass
US6309960B1 (en) 1999-03-26 2001-10-30 Nec Corporation Method of fabricating a semiconductor device
US6348158B1 (en) 1998-07-23 2002-02-19 Nec Corporation Plasma processing with energy supplied
KR100458085B1 (en) * 1997-06-30 2005-02-23 주식회사 하이닉스반도체 Method for fabricating semiconductor device to reduce leakage current and improve electron migration characteristic and stress migration characteristic

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5549786A (en) * 1995-08-29 1996-08-27 Advanced Micro Devices, Inc. Highly selective, highly uniform plasma etch process for spin-on glass
KR100458085B1 (en) * 1997-06-30 2005-02-23 주식회사 하이닉스반도체 Method for fabricating semiconductor device to reduce leakage current and improve electron migration characteristic and stress migration characteristic
US6348158B1 (en) 1998-07-23 2002-02-19 Nec Corporation Plasma processing with energy supplied
US6309960B1 (en) 1999-03-26 2001-10-30 Nec Corporation Method of fabricating a semiconductor device

Also Published As

Publication number Publication date
JP3160389B2 (en) 2001-04-25

Similar Documents

Publication Publication Date Title
US5888309A (en) Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6284149B1 (en) High-density plasma etching of carbon-based low-k materials in a integrated circuit
KR101029947B1 (en) A method for plasma etching performance enhancement
US5302240A (en) Method of manufacturing semiconductor device
US6440863B1 (en) Plasma etch method for forming patterned oxygen containing plasma etchable layer
US6387819B1 (en) Method for etching low K dielectric layers
US5942446A (en) Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US5411631A (en) Dry etching method
US5872061A (en) Plasma etch method for forming residue free fluorine containing plasma etched layers
KR960000375B1 (en) Fabricating method of semiconductor device
CN1524287B (en) Unique process chemistry for etching organic low-K materials
JPH0670989B2 (en) Reactive Ion Etching of Silicon with Hydrogen Bromide
JP2002543613A (en) Techniques for etching low capacitance dielectric layers
JPH10256232A (en) Manufacture of semiconductor device
KR101075045B1 (en) A method for plasma etching performance enhancement
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
JPH06151385A (en) Method for plasma-etching of siox material and method for generation of interlayer metal connection part at inside of integrated circuit
WO2002050885A1 (en) Etching method for insulating film
US5741742A (en) Formation of aluminum-alloy pattern
JP3440735B2 (en) Dry etching method
JP3183929B2 (en) Method for manufacturing semiconductor device
JP3160389B2 (en) Dry etching method
JP2007508698A (en) Dinitrogen monoxide exfoliation method for organosilicate glass
JP2002151479A (en) Ashing method
JPH0774147A (en) Method and apparatus for dry etching

Legal Events

Date Code Title Description
FPAY Renewal fee payment

Year of fee payment: 7

Free format text: PAYMENT UNTIL: 20080216

FPAY Renewal fee payment

Free format text: PAYMENT UNTIL: 20090216

Year of fee payment: 8

FPAY Renewal fee payment

Free format text: PAYMENT UNTIL: 20100216

Year of fee payment: 9

FPAY Renewal fee payment

Free format text: PAYMENT UNTIL: 20100216

Year of fee payment: 9

FPAY Renewal fee payment

Free format text: PAYMENT UNTIL: 20110216

Year of fee payment: 10

FPAY Renewal fee payment

Free format text: PAYMENT UNTIL: 20120216

Year of fee payment: 11

FPAY Renewal fee payment

Year of fee payment: 11

Free format text: PAYMENT UNTIL: 20120216

FPAY Renewal fee payment

Year of fee payment: 12

Free format text: PAYMENT UNTIL: 20130216

EXPY Cancellation because of completion of term
FPAY Renewal fee payment

Year of fee payment: 12

Free format text: PAYMENT UNTIL: 20130216