JPH05275395A - Dry etching method - Google Patents

Dry etching method

Info

Publication number
JPH05275395A
JPH05275395A JP4102222A JP10222292A JPH05275395A JP H05275395 A JPH05275395 A JP H05275395A JP 4102222 A JP4102222 A JP 4102222A JP 10222292 A JP10222292 A JP 10222292A JP H05275395 A JPH05275395 A JP H05275395A
Authority
JP
Japan
Prior art keywords
etching
residue
chlorine
wafer
material layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP4102222A
Other languages
Japanese (ja)
Other versions
JP3200949B2 (en
Inventor
Toshiharu Yanagida
敏治 柳田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP10222292A priority Critical patent/JP3200949B2/en
Publication of JPH05275395A publication Critical patent/JPH05275395A/en
Application granted granted Critical
Publication of JP3200949B2 publication Critical patent/JP3200949B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

PURPOSE:To prevent generation of residue caused by Cu, relating to dry etching of an Al-Si-Cu alloy. CONSTITUTION:By just-etching an Al group multi-layer film 5 containing an Al-1% Si-0.5% Cu layer 3 with ordinal chlorine group gas such as Cl2/BCl2 mixture gas, etc., an Al group wiring pattern 5a having anisotropic shape is formed. At the same time, a Cu2Cl2 with low steam pressure is formed through the reaction between Cu and Cl*. This acts as a micro mask 7 to easily generate a great amount of needle-like residue 5b. So, using Cl2/HI mixture gas, over- etching is performed while a wafer is heated. As a result, not only the residue 5b but the Cu contained in the micro mask 7 are removed in the form of Cu2I2 whose steam pressure is relatively high, leaving no particle contamination. Further, residue chlorine is removed thanks to wafer heating, resulting in improved after-corrosion resistance.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は半導体装置の製造等にお
いて適用されるドライエッチング方法に関し、特にAl
−Si−Cu合金のような銅(Cu)を含むアルミニウ
ム(Al)系材料層をエッチングする際に、Cuに起因
する残渣の発生を防止し、かつアフターコロージョンを
抑制する方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method applied in the manufacture of semiconductor devices, and more particularly to Al etching method.
The present invention relates to a method of preventing the occurrence of a residue caused by Cu and suppressing after-corrosion when etching an aluminum (Al) -based material layer containing copper (Cu) such as a —Si—Cu alloy.

【0002】[0002]

【従来の技術】半導体装置の配線材料としては、アルミ
ニウム(Al)系材料が最も広く使用されている。この
Al系材料には、下地のシリコン基板との合金化反応に
よるpn接合の破壊や劣化を防止したり、コンタクト・
ホール内部へシリコンが析出して導通不良が発生するこ
とを防止する目的で、1〜2%のSiが添加されている
のが一般的である。さらに近年では、エレクトロマイグ
レーション耐性やストレスマイグレーション耐性を高め
るために、さらに0.5〜1%のCuを添加したAl−
Si−Cu合金も用いられている。
2. Description of the Related Art Aluminum (Al) -based materials are most widely used as wiring materials for semiconductor devices. This Al-based material can prevent the pn junction from being destroyed or deteriorated due to an alloying reaction with the underlying silicon substrate, or can be used as a contact or
In order to prevent silicon from depositing inside the holes and causing conduction failure, it is general to add 1 to 2% of Si. Furthermore, in recent years, in order to improve electromigration resistance and stress migration resistance, Al-containing 0.5 to 1% of Cu is further added.
Si-Cu alloys are also used.

【0003】Al系材料層のドライエッチングは、一般
に塩素系ガスを使用して行われている。たとえば、特公
昭59−22374号公報に開示されるBCl3 /Cl
2 混合ガスはその代表例である。Al系材料層のエッチ
ングにおいて主エッチング種として寄与する化学種はC
* であり、自発的で極めて速やかなエッチング反応を
進行させる。しかし、Cl* のみではエッチングが等方
的に進行するので、通常は入射イオン・エネルギーを高
めた条件下でイオン・アシスト反応を進行させ、高異方
性を達成している。
Dry etching of the Al-based material layer is generally performed using a chlorine-based gas. For example, BCl 3 / Cl disclosed in JP-B-59-22374.
A mixed gas is a typical example. The chemical species contributing as the main etching species in the etching of the Al-based material layer is C.
l * , which promotes spontaneous and extremely rapid etching reaction. However, since the etching proceeds isotropically only with Cl * , the ion-assisted reaction normally proceeds under the condition that the incident ion energy is increased to achieve high anisotropy.

【0004】[0004]

【発明が解決しようとする課題】しかし、Al系材料層
にCuが含有されている場合には、エッチングに上述の
ような塩素系ガスを使用すると、反応生成物の蒸気圧の
低さに起因して残渣が頻繁に発生するという問題が指摘
されている。この問題を図2を参照しながら説明する。
However, when Cu is contained in the Al-based material layer, the use of the above chlorine-based gas for etching causes a low vapor pressure of the reaction product. It has been pointed out that the residue frequently occurs. This problem will be described with reference to FIG.

【0005】たとえば図2(a)に示されるように、S
iO2 層間絶縁膜11上にAl系多層膜15が形成さ
れ、さらにこの上に所定の形状にパターニングされたレ
ジスト・マスク16が形成されたウェハを考える。ここ
で、上記Al系多層膜15は、下層側から上層側に向か
ってTiNバリヤメタル12、Al−1%Si−0.5
%Cu層13、TiON反射防止膜14が順次積層され
てなるものである。
For example, as shown in FIG. 2 (a), S
Consider a wafer in which an Al-based multi-layered film 15 is formed on the iO 2 interlayer insulating film 11 and a resist mask 16 patterned into a predetermined shape is further formed thereon. Here, the Al-based multilayer film 15 includes the TiN barrier metal 12 and Al-1% Si-0.5 from the lower layer side to the upper layer side.
% Cu layer 13 and TiON antireflection film 14 are sequentially laminated.

【0006】次に、従来の一般的な塩素系ガスでレジス
ト・マスク16を介してAl系多層膜15をエッチング
したときのウェハの状態を、図2(b)に示す。ここ
で、エッチング後の各材料層は、元の符号に添字aもし
くは添字bを付して表してある。このエッチングによ
り、レジスト・マスク16にマスクされた部分では異方
性形状を有するAl系配線パターン15aが形成されて
いるが、マスクされていない部分にも針状の残渣15b
が大量に発生している。これは、Al−1%Si−0.
5%Cu層13に含有されるCuがエッチング反応性の
Cl* と反応して蒸気圧の低いCu2 Cl2 が生成し、
これがマイクロ・マスク17と呼ばれる微細なエッチン
グ・マスクとして機能する結果、このマイクロ・マスク
17に遮蔽された領域にAl−1%Si−0.5%Cu
層13の残渣13bやTiNバリヤメタル12の残渣1
2bが残ってしまうからである。これは、Al系材料層
のエッチングにおける異方性の達成が、高い入射イオン
・エネルギーに依存していることから避け難い現象であ
る。
Next, FIG. 2B shows the state of the wafer when the Al-based multilayer film 15 is etched through the resist mask 16 with a conventional general chlorine-based gas. Here, each material layer after etching is represented by adding the subscript a or the subscript b to the original code. By this etching, the Al-based wiring pattern 15a having an anisotropic shape is formed in the masked portion of the resist mask 16, but the needle-shaped residue 15b is formed in the unmasked portion.
Is occurring in large numbers. This is Al-1% Si-0.
Cu contained in the 5% Cu layer 13 reacts with etching reactive Cl * to generate Cu 2 Cl 2 having a low vapor pressure,
This functions as a fine etching mask called a micro mask 17, and as a result, Al-1% Si-0.5% Cu is formed in the region shielded by the micromask 17.
Residue 13b of layer 13 and residue 1 of TiN barrier metal 12
This is because 2b remains. This is an unavoidable phenomenon because achievement of anisotropy in the etching of the Al-based material layer depends on high incident ion energy.

【0007】そこで、この残渣15bを除去する方法と
して、たとえば第37回応用物理学関係連合講演会(1
990年春季年会)講演予稿集,p.456,講演番号
28a−ZF−1には、残渣を化学的に除去する方法が
報告されている。これは、具体的にはラジカル反応が主
体となる等方的な条件でオーバーエッチングを行うこと
により、針状の残渣15bを横方向からも浸食し、これ
を除去しようとするものである。しかし、この方法は残
渣15bの除去には有効であるものの、マイクロ・マス
ク17そのものを分解除去することはできないため、図
2(c)に示されるように、マイクロ・マスク17自身
がパーティクル汚染源となる虞れが大きい。
Therefore, as a method of removing the residue 15b, for example, the 37th Applied Physics Association Lecture (1
990 Spring Annual Meeting) Proceedings, p. 456, Lecture No. 28a-ZF-1 reports a method of chemically removing the residue. Specifically, the needle-like residue 15b is eroded from the lateral direction and is removed by performing overetching under isotropic conditions where the radical reaction is the main component. However, although this method is effective for removing the residue 15b, the micro mask 17 itself cannot be decomposed and removed. Therefore, as shown in FIG. 2C, the micro mask 17 itself is regarded as a particle contamination source. There is a great risk of becoming.

【0008】さらに、Al系材料層にCuが含有された
場合の問題点としては、アフターコロージョン耐性の低
下も指摘されている。これは、蒸気圧の低いCu2 Cl
2 が配線パターンの近傍に残留しているところへ水分が
供給されると、Cl- を電解質、AlとCuを両極とす
る局部電池が形成され、配線パターンの腐食が促進ささ
れてしまうからである。
Further, as a problem when Cu is contained in the Al-based material layer, reduction in after-corrosion resistance is pointed out. This is due to the low vapor pressure of Cu 2 Cl
If water is supplied to the portion where 2 remains near the wiring pattern, a local battery having Cl as an electrolyte and Al and Cu as both electrodes is formed, and corrosion of the wiring pattern is promoted. is there.

【0009】そこで本発明は、Cuを含有するAl系材
料層をドライエッチングするに際し、Cuに起因する残
渣の発生を抑制し、アフターコロージョン耐性を向上さ
せる方法を提供することを目的とする。
Therefore, it is an object of the present invention to provide a method for suppressing the occurrence of residues due to Cu and improving the after-corrosion resistance when dry-etching an Al-based material layer containing Cu.

【0010】[0010]

【課題を解決するための手段】本発明にかかるドライエ
ッチング方法は、上述の目的を達成するために提案され
るものであり、塩素系化合物もしくは臭素系化合物の少
なくとも一方とヨウ素系化合物とを含むエッチング・ガ
スを用い、被エッチング基板を加熱しながらCuを含有
するAl系材料層をエッチングすることを特徴とする。
A dry etching method according to the present invention is proposed to achieve the above-mentioned object, and contains at least one of a chlorine compound or a bromine compound and an iodine compound. It is characterized in that the Al-based material layer containing Cu is etched while the substrate to be etched is heated using an etching gas.

【0011】また本発明は、塩素系化合物もしくは臭素
系化合物の少なくとも一方を含むエッチング・ガスを用
いてCuを含有するAl系材料層を実質的にその層厚分
だけエッチングするジャストエッチング工程と、塩素系
化合物もしくは臭素系化合物の少なくとも一方とヨウ素
系化合物とを含むエッチング・ガスを用い、被エッチン
グ基板を加熱しながら前記Cuを含有するAl系材料層
の残余部をエッチングするオーバーエッチング工程とを
有することを特徴とする。
The present invention also provides a just etching step of etching an Al-based material layer containing Cu substantially by the thickness thereof by using an etching gas containing at least one of a chlorine-based compound and a bromine-based compound, An overetching step of etching the remaining portion of the Cu-containing Al-based material layer while heating the substrate to be etched using an etching gas containing at least one of a chlorine-based compound or a bromine-based compound and an iodine-based compound. It is characterized by having.

【0012】さらに本発明は、放電解離条件下でプラズ
マ中に塩素系化学種もしくは臭素系化学種の少なくとも
一方とイオウ系化学種とを生成可能なエッチング・ガス
を用い、Cuを含有するAl系材料層を実質的にその層
厚分だけエッチングするジャストエッチング工程と、塩
素系化合物もしくは臭素系化合物の少なくとも一方とヨ
ウ素系化合物とを含むエッチング・ガスを用い、被エッ
チング基板を加熱しながら前記Cuを含有するAl系材
料層の残余部をエッチングするオーバーエッチング工程
とを有することを特徴とする。
Further, the present invention uses an etching gas capable of producing at least one of a chlorine-based chemical species or a bromine-based chemical species and a sulfur-based chemical species in plasma under discharge dissociation conditions, and uses an Al-based gas containing Cu. Using the just etching step of etching the material layer substantially by the thickness of the material layer and the etching gas containing at least one of a chlorine-based compound or a bromine-based compound and an iodine-based compound, while heating the substrate to be etched, the Cu And an over-etching step of etching the remaining portion of the Al-based material layer containing.

【0013】[0013]

【作用】本発明者は、Cuに起因する残渣を発生させな
いためには、エッチング反応生成物としてできるだけ蒸
気圧の高いCu化合物を与える化学種が必要であると考
え、ヨウ素に着目した。CRC Handbook o
f Chemistry and Physics,7
1st Edition,6−51(CRC Pres
s Inc.)、あるいは同53rd Editio
n,D−172に記載されている無機化合物の蒸気圧の
データによると、1〜760mmHg(=1.33×1
2 〜1.01×105 Pa)の蒸気圧を示す時の温度
は、Cu2 2 がCu2 Cl2 ,Cu2 Br2 のいずれ
よりも低いことが明らかである。このことは、換言すれ
ば、同一の温度におけるCu2 2 の蒸気圧が、Cu2
Cl2 ,Cu2 Br2 のいずれの蒸気圧よりも高いとい
うことである。通常のドライエッチングが行われるエッ
チング反応系のガス圧は、上述の圧力範囲よりは遙かに
低い領域に属しているが、かかる低圧下でも同様の傾向
は維持されている。
The present inventor considered that a chemical species that gives a Cu compound having a vapor pressure as high as possible is necessary as an etching reaction product in order to prevent generation of a residue due to Cu, and paid attention to iodine. CRC Handbook o
f Chemistry and Physics, 7
1st Edition, 6-51 (CRC Pres
s Inc. ), Or the same 53rd Edition
n, D-172, the data of the vapor pressure of the inorganic compound is 1 to 760 mmHg (= 1.33 × 1
It is clear that the temperature at which the vapor pressure is 0 2 to 1.01 × 10 5 Pa) is lower in Cu 2 I 2 than in both Cu 2 Cl 2 and Cu 2 Br 2 . This is, in other words, the vapor pressure of Cu 2 I 2 at the same temperature, Cu 2
That is, it is higher than the vapor pressure of either Cl 2 or Cu 2 Br 2 . The gas pressure of the etching reaction system in which normal dry etching is performed belongs to a region much lower than the above pressure range, but the same tendency is maintained even under such a low pressure.

【0014】したがって、ヨウ素を含むエッチング反応
系においてCu2 2 を生成させれば、これはCu2
2 等よりも低いウェハ温度にて脱離させることができ
るわけである。
Therefore, if Cu 2 I 2 is produced in an etching reaction system containing iodine, it is Cu 2 C 2.
It can be desorbed at a wafer temperature lower than l 2 or the like.

【0015】本発明では、このヨウ素をエッチング反応
系へ供給するためのヨウ素系化合物を、塩素系化合物も
しくは臭素系化合物の少なくとも一方に添加する。塩素
系化合物および臭素系化合物から生成する塩素系化学種
および臭素系化学種により、Al系材料層の大部分を占
めるAl、および少量添加されているSiを塩化物もし
くは臭化物の形で除去することができる。また、このと
きのエッチングが被エッチング基板(ウェハ)を加熱し
ながら行われるため、同じく少量添加されているCuは
Cu2 2 の形で除去される。したがって、ウェハ上に
何ら残渣が残る虞れがない。さらに、上記のウェハ加熱
により、パターン近傍にAlClx 等の形で残留する塩
素も減少させることができるため、アフターコロージョ
ン耐性も改善できる。
In the present invention, the iodine compound for supplying iodine to the etching reaction system is added to at least one of the chlorine compound and the bromine compound. Removal of Al occupying most of the Al-based material layer and Si added in a small amount in the form of chloride or bromide by chlorine-based and bromine-based chemicals generated from chlorine-based and bromine-based compounds. You can Moreover, since the etching at this time is performed while heating the substrate to be etched (wafer), Cu, which is also added in a small amount, is removed in the form of Cu 2 I 2 . Therefore, there is no risk that any residue will remain on the wafer. Furthermore, since the chlorine remaining in the form of AlCl x or the like in the vicinity of the pattern can be reduced by the above-mentioned wafer heating, the after-corrosion resistance can also be improved.

【0016】本発明ではまた、ジャストエッチングは従
来公知の塩素系もしくは臭素系のガスを用いて行い、オ
ーバーエッチング時にヨウ素系化合物を上記のガスに添
加する方法も提案する。オーバーエッチング時には、ウ
ェハを加熱する。これにより、たとえジャストエッチン
グ工程で残渣が発生したとしても、この残渣を構成する
AlやSiはオーバーエッチング時に主として塩化物ま
たは臭化物の形で、Cuは主としてヨウ化物の形でそれ
ぞれ除去される。したがって、Cuに起因するパーティ
クル汚染を防止することができる。
The present invention also proposes a method in which just etching is performed using a conventionally known chlorine-based or bromine-based gas, and an iodine-based compound is added to the above-mentioned gas during overetching. During overetching, the wafer is heated. As a result, even if a residue is generated in the just etching step, Al and Si forming the residue are mainly removed in the form of chloride or bromide and Cu in the form of iodide during overetching. Therefore, particle contamination due to Cu can be prevented.

【0017】この方法によれば、エッチング工程の大部
分を占めるジャストエッチング工程ではウェハ加熱を特
に行う必要が無いため、レジスト選択性を向上させるこ
とができる。
According to this method, since it is not necessary to particularly heat the wafer in the just etching step which occupies most of the etching step, the resist selectivity can be improved.

【0018】本発明ではさらに徹底した低汚染化を図る
ために、ジャストエッチング時に放電解離条件下でプラ
ズマ中に塩素系化学種もしくは臭素系化学種の少なくと
も一方とイオウ系化学種とを生成可能なエッチング・ガ
スを用いる。これは、従来どおり塩素系化学種、あるい
は臭素系化学種でAl系材料層をジャストエッチングす
る一方、S(イオウ)を側壁保護に利用するためであ
る。
In the present invention, in order to achieve a more thorough reduction of pollution, at least one of a chlorine-based chemical species or a bromine-based chemical species and a sulfur-based chemical species can be generated in the plasma under discharge dissociation conditions during just etching. Etching gas is used. This is because the Al-based material layer is just-etched with chlorine-based chemical species or bromine-based chemical species as before, while S (sulfur) is used for sidewall protection.

【0019】このSを側壁保護に利用する技術について
は、本願出願人が特願平3−210516号明細書等に
おいて提案している。Sは、条件にもよるが、ウェハが
おおよそ室温以下に温度制御されていればその表面に堆
積する。そこで、Sを側壁保護に利用すれば、その分、
異方性加工に必要な炭素系ポリマーの堆積量、さらには
入射イオン・エネルギーを低減させることができ、選択
性を向上させ、パーティクル汚染を低減させることがで
きる。また、炭素系ポリマーはその構造中に必然的に塩
素を取り込むが、Sではそのようなことがないため、S
の堆積はアフターコロージョン耐性を向上させる観点か
らも有効である。しかも、Sはウェハをおおよそ90℃
以上に加熱すれば容易に昇華するので、自身がパーティ
クル汚染源となることはない。本発明では、オーバーエ
ッチング時のウェハ加熱により、Sを除去することがで
きる。
The applicant of the present application has proposed a technique of utilizing S for side wall protection in Japanese Patent Application No. 3-210516. Although depending on the conditions, S is deposited on the surface of the wafer if the temperature of the wafer is controlled below room temperature. Therefore, if S is used for side wall protection,
It is possible to reduce the deposition amount of the carbon-based polymer necessary for anisotropic processing and further the incident ion energy, improve the selectivity, and reduce particle contamination. Further, the carbon-based polymer inevitably incorporates chlorine in its structure, but S does not have such a case.
Is also effective from the viewpoint of improving the after-corrosion resistance. Moreover, S is about 90 ° C for the wafer.
If it is heated above, it is easily sublimated, so that it does not itself become a source of particle contamination. In the present invention, S can be removed by heating the wafer during overetching.

【0020】なお、今回の発明に関連する技術として、
本願出願人は先に特願平3−91544号明細書におい
て、塩素系化合物とHI(ヨウ化水素)とを含むエッチ
ング・ガスを用いてAl系材料層をエッチングする技術
を提案している。これは、レジスト・マスクの分解生成
物にHが含有されるとその堆積が促進されることに着目
し、放電解離条件下で効率良くHを放出できる化合物と
してHIを選択したものである。つまり、H−I結合の
原子間結合エネルギーはH−Cl結合やH−Br結合の
それに比べて小さいので、HIを用いれば他のハロゲン
化水素を用いる場合よりも炭素系ポリマーの堆積が促進
されるのである。この先行技術において、Al系材料層
がCuを含有するか否かは、発明の趣旨とは無関係であ
る。
As a technique related to the present invention,
The applicant of the present application has previously proposed, in Japanese Patent Application No. 3-91544, a technique for etching an Al-based material layer using an etching gas containing a chlorine-based compound and HI (hydrogen iodide). This is because HI was selected as a compound capable of efficiently releasing H under discharge dissociation conditions, paying attention to the fact that H is contained in the decomposition product of the resist mask to promote its deposition. That is, since the interatomic bond energy of the HI bond is smaller than that of the H-Cl bond or the H-Br bond, the use of HI promotes the deposition of the carbon-based polymer as compared with the case of using another hydrogen halide. It is. In this prior art, whether or not the Al-based material layer contains Cu has nothing to do with the gist of the invention.

【0021】これに対し、今回の発明はAl系材料層が
Cuを含むことを前提としており、このCuに起因して
ジャストエッチング時に発生した残渣を、オーバーエッ
チング時にHIを使用することで除去しようとするもの
である。したがって、今回の発明は、本願出願人の先願
とは目的も効果も異なるものである。
On the other hand, the present invention is based on the premise that the Al-based material layer contains Cu, and the residue generated during just etching due to this Cu will be removed by using HI during overetching. It is what Therefore, the present invention is different in purpose and effect from the prior application of the applicant of the present application.

【0022】[0022]

【実施例】以下、本発明の具体的な実施例について説明
する。
EXAMPLES Specific examples of the present invention will be described below.

【0023】実施例1 本実施例は、TiNバリヤメタル,Al−1%Si−
0.5%Cu層,TiON反射防止膜が積層されてなる
Al系多層膜をCl2 /BCl3 /HI混合ガスを用い
てエッチングした例である。このプロセスを、図1
(a)および(c)を参照しながら説明する。
Example 1 In this example, TiN barrier metal, Al-1% Si-
This is an example in which an Al-based multilayer film in which a 0.5% Cu layer and a TiON antireflection film are stacked is etched using a Cl 2 / BCl 3 / HI mixed gas. This process is illustrated in Figure 1.
A description will be given with reference to (a) and (c).

【0024】本実施例でエッチング・サンプルとして使
用したウェハは、図1(a)に示されるように、SiO
2 層間絶縁膜1上にAl系多層膜5が形成され、さらに
この上に所定の形状にパターニングされたレジスト・マ
スク6が形成されたものである。ここで、上記Al系多
層膜5は、下層側から上層側に向かって厚さ約0.1μ
mのTiNバリヤメタル2、厚さ約0.4μmのAl−
1%Si−0.5%Cu層3、厚さ約0.03μmのT
iON反射防止膜4が順次積層されてなるものである。
The wafer used as the etching sample in this embodiment is SiO 2 as shown in FIG.
The Al-based multilayer film 5 is formed on the two- layer insulating film 1, and the resist mask 6 patterned into a predetermined shape is further formed on the Al-based multilayer film 5. Here, the Al-based multilayer film 5 has a thickness of about 0.1 μm from the lower layer side to the upper layer side.
m TiN barrier metal 2 with a thickness of about 0.4 μm Al-
1% Si-0.5% Cu layer 3, T about 0.03 μm thick
The iON antireflection film 4 is sequentially laminated.

【0025】このウェハを、RFバイアス印加型の有磁
場マイクロ波プラズマ・エッチング装置にセットし、一
例として下記の条件でAl系多層膜5をエッチングし
た。 Cl2 流量 80SCCM BCl3 流量 10SCCM HI流量 30SCCM ガス圧 2Pa(=15mTor
r) マイクロ波パワー 900W(2.45GHz) RFバイアス・パワー 30W(13.56MHz) ウェハ温度 150℃
This wafer was set in an RF bias application type magnetic field microwave plasma etching apparatus, and as an example, the Al type multilayer film 5 was etched under the following conditions. Cl 2 flow rate 80 SCCM BCl 3 flow rate 10 SCCM HI flow rate 30 SCCM Gas pressure 2 Pa (= 15 mTorr)
r) Microwave power 900W (2.45GHz) RF bias power 30W (13.56MHz) Wafer temperature 150 ° C

【0026】このガス系は、Al系材料層のドライエッ
チングに用いられる最も一般的な混合ガスにHIを添加
したものである。上記のエッチング過程では、Cl*
よるラジカル反応がCl+ ,BClx + 等のイオンの入
射エネルギーにアシストされる機構でAl系多層膜5が
エッチングされた。BCl3 は、Al−1%Si−0.
5%Cu層3の表面の自然酸化膜を還元し、エッチング
を速やかに進行させることにも寄与している。またCu
は、HIから生成するI* ,I+ 等と反応することによ
りCu2 2 等を生成し、これがウェハ加熱条件下で速
やかに脱離した。また、エッチング反応系内には、上記
イオンにスパッタされて生成したレジスト・マスク6の
フラグメントとCl* とが反応してCClx 等が生成し
た。このCClx は、パターン側壁面上に堆積して図示
されない側壁保護膜を形成し、異方性加工に寄与した。
This gas system is obtained by adding HI to the most general mixed gas used for dry etching of Al-based material layers. In the above etching process, the Al-based multilayer film 5 was etched by a mechanism in which the radical reaction by Cl * was assisted by the incident energy of ions such as Cl + and BCl x + . BCl 3 is Al-1% Si-0.
It also contributes to the rapid progress of etching by reducing the natural oxide film on the surface of the 5% Cu layer 3. Also Cu
Produced Cu 2 I 2 and the like by reacting with I * , I + and the like produced from HI, which was rapidly desorbed under the wafer heating conditions. In the etching reaction system, the fragments of the resist mask 6 sputtered by the above ions and Cl * react with each other to generate CCl x and the like. This CCl x was deposited on the side wall surface of the pattern to form a side wall protection film (not shown), which contributed to anisotropic processing.

【0027】この結果、図1(c)に示されるように、
レジスト・マスク6の直下に異方性形状を有するAl系
配線パターン5aが形成された。なお、図中、エッチン
グ後の各材料層には、元の符号に添字aを付して表して
ある。このとき、ウェハ上に何ら残渣が発生することは
なかった。
As a result, as shown in FIG. 1 (c),
Immediately below the resist mask 6, an Al-based wiring pattern 5a having an anisotropic shape was formed. In the figure, each material layer after etching is represented by adding the subscript a to the original code. At this time, no residue was generated on the wafer.

【0028】この後、ウェハを上記エッチング装置に付
属のプラズマ・アッシング装置に搬送し、通常の条件に
てO2 プラズマ・アッシングを行って上記レジスト・マ
スク6を除去した。このウェハを試験的に大気中に放置
したが、72時間後でもアフターコロージョンの発生は
認められなかった。
After that, the wafer was transferred to a plasma ashing apparatus attached to the etching apparatus, and O 2 plasma ashing was performed under normal conditions to remove the resist mask 6. Although this wafer was left in the atmosphere for a test, no after-corrosion was observed even after 72 hours.

【0029】実施例2 本実施例は、同じAl系多層膜をCl2 /BCl3 混合
ガスを用いてジャストエッチングした後、Cl2 /HI
混合ガスを用いてオーバーエッチングを行うことにより
残渣を除去した例である。このプロセスを、図1
(a),(b),(c)を参照しながら説明する。
Example 2 In this example, the same Al-based multilayer film was just-etched using a Cl 2 / BCl 3 mixed gas, and then Cl 2 / HI was used.
In this example, the residue is removed by performing overetching using a mixed gas. This process is illustrated in Figure 1.
A description will be given with reference to (a), (b) and (c).

【0030】本実施例でエッチング・サンプルとして使
用したウェハは、図1(a)に示したものと同じであ
る。このウェハを、RFバイアス印加型の有磁場マイク
ロ波プラズマ・エッチング装置にセットし、一例として
下記の条件でAl系多層膜5をジャストエッチングし
た。 Cl2 流量 90SCCM BCl3 流量 30SCCM ガス圧 2Pa(=15mTor
r) マイクロ波パワー 900W(2.45GHz) RFバイアス・パワー 30W(13.56MHz) ウェハ温度 25℃
The wafer used as an etching sample in this example is the same as that shown in FIG. This wafer was set in an RF bias application type magnetic field microwave plasma etching apparatus, and as an example, the Al-based multilayer film 5 was just etched under the following conditions. Cl 2 flow rate 90 SCCM BCl 3 flow rate 30 SCCM Gas pressure 2 Pa (= 15 mTorr
r) Microwave power 900W (2.45GHz) RF bias power 30W (13.56MHz) Wafer temperature 25 ° C

【0031】このガス系は、従来からAl系材料層のド
ライエッチングに用いられる最も一般的な混合ガスであ
る。このジャストエッチング工程では、イオン・アシス
ト機構とCClx による側壁保護効果により、図1
(b)に示されるように、レジスト・マスク6の直下に
異方性形状を有するAl系配線パターン5aが形成され
た。しかし、このAl系配線パターン5aの周辺には、
針状の残渣5bが大量に発生していた。これは、上記A
l−1%Si−0.5%Cu層3中に含まれるCuが気
相中のCl* と反応して蒸気圧の低いCu2 Cl2 が生
成し、これがマイクロ・マスク7として機能したためで
ある。
This gas system is the most general mixed gas conventionally used for dry etching of Al material layers. In this just etching process, the ion assist mechanism and the side wall protection effect by CCl x are used .
As shown in (b), an Al-based wiring pattern 5a having an anisotropic shape was formed immediately below the resist mask 6. However, in the vicinity of this Al-based wiring pattern 5a,
A large amount of needle-shaped residue 5b was generated. This is the above A
This is because Cu contained in the l-1% Si-0.5% Cu layer 3 reacts with Cl * in the gas phase to produce Cu 2 Cl 2 having a low vapor pressure, which functions as the micro mask 7. is there.

【0032】なお、図中、エッチング後の各材料層に
は、元の符号に添字aもしくは添字bを付して表してあ
る。
In the drawings, each material layer after etching is represented by adding the subscript a or the subscript b to the original code.

【0033】そこで、次に一例として下記の条件でAl
系多層膜5をオーバーエッチングした。 Cl2 流量 60SCCM HI流量 60SCCM ガス圧 2Pa(=15mTor
r) マイクロ波パワー 900W(2.45GHz) RFバイアス・パワー 15W(13.56MHz) ウェハ温度 150℃ このオーバーエッチング工程では、図1(c)に示され
るように残渣5bが除去された。すなわち、Al−1%
Si−0.5%Cu層3の残渣3bはAlClx ,Si
Clx ,Cu2 2 等の形で、TiN層2の残渣2bは
TiClx 等の形で、またマイクロ・マスク7はCu2
2 等の形でそれぞれ除去された。したがって、ウェハ
上のパーティクル・レベルが何ら悪化することはなかっ
た。また、この工程では下地へのダメージを防止する観
点からジャストエッチング時に比べてRFバイアス・パ
ワーが低減されているが、CHx Cly 等の炭素系ポリ
マーが効率良く堆積することにより、Al系配線パター
ン5aの異方性形状が維持された。さらに、ウェハが加
熱されることにより、Al系配線パターン5aの近傍に
残留している塩素もほぼ揮発除去された。
Then, as an example, Al is formed under the following conditions.
The system multilayer film 5 was over-etched. Cl 2 flow rate 60 SCCM HI flow rate 60 SCCM Gas pressure 2 Pa (= 15 mTor)
r) Microwave power 900 W (2.45 GHz) RF bias power 15 W (13.56 MHz) Wafer temperature 150 ° C. In this overetching step, the residue 5b was removed as shown in FIG. 1 (c). That is, Al-1%
The residue 3b of the Si-0.5% Cu layer 3 is AlCl x , Si
Cl x , Cu 2 I 2, etc., the residue 2b of the TiN layer 2 is in the form of TiCl x, etc., and the micro mask 7 is Cu 2
It was removed in the form of I 2, etc. Therefore, the particle level on the wafer was not deteriorated at all. Further, in this step, the RF bias power is reduced as compared with the case of just etching from the viewpoint of preventing damage to the base, but by efficiently depositing a carbon-based polymer such as CH x Cl y , Al-based wiring The anisotropic shape of the pattern 5a was maintained. Further, by heating the wafer, chlorine remaining in the vicinity of the Al-based wiring pattern 5a was almost volatilized and removed.

【0034】この後、ウェハを上記エッチング装置に付
属のプラズマ・アッシング装置に搬送し、通常の条件に
てO2 プラズマ・アッシングを行って上記レジスト・マ
スク6を除去した。このウェハを試験的に大気中に放置
したが、72時間後でもアフターコロージョンの発生は
認められなかった。
After that, the wafer was transferred to a plasma ashing apparatus attached to the etching apparatus, and O 2 plasma ashing was performed under normal conditions to remove the resist mask 6. Although this wafer was left in the atmosphere for a test, no after-corrosion was observed even after 72 hours.

【0035】実施例3 本実施例は、同じAl系多層膜をBCl3 /S2 Cl2
混合ガスを用いてジャストエッチングした後、Cl2
HI混合ガスを用いてオーバーエッチングを行い、残渣
を除去した例である。本実施例でエッチング・サンプル
として使用したウェハは、図1(a)に示したものと同
じである。このウェハをRFバイアス印加型の有磁場マ
イクロ波プラズマ・エッチング装置にセットし、一例と
して下記の条件でAl系多層膜5をジャストエッチング
した。
Example 3 In this example, the same Al-based multilayer film was used as BCl 3 / S 2 Cl 2
After just etching using mixed gas, Cl 2 /
In this example, over-etching is performed using a HI mixed gas to remove the residue. The wafer used as the etching sample in this example is the same as that shown in FIG. This wafer was set in an RF bias application type magnetic field microwave plasma etching apparatus, and as an example, the Al-based multilayer film 5 was just-etched under the following conditions.

【0036】 BCl3 流量 30SCCM S2 Cl2 流量 90SCCM ガス圧 2Pa(=15mTor
r) マイクロ波パワー 900W(2.45GHz) RFバイアス・パワー 25W(13.56MHz) ウェハ温度 0℃ このジャストエッチング工程では、Cl* が主エッチン
グ種となってエッチングが進行する一方、S2 Cl2
ら生成するSが側壁保護に寄与した。したがって、実施
例1のジャストエッチング工程に比べてRFバイアス・
パワーを若干低下させ炭素系ポリマーの堆積量が減少し
ているにもかかわらず、良好な異方性加工を行うことが
できた。
BCl 3 flow rate 30 SCCM S 2 Cl 2 flow rate 90 SCCM Gas pressure 2 Pa (= 15 mTorr)
r) Microwave power 900 W (2.45 GHz) RF bias power 25 W (13.56 MHz) Wafer temperature 0 ° C. In this just etching process, Cl * serves as a main etching species and etching progresses, while S 2 Cl 2 S generated from contributed to sidewall protection. Therefore, as compared with the just etching process of the first embodiment, the RF bias
Good anisotropic processing could be performed despite a slight decrease in power and a decrease in the amount of carbon-based polymer deposited.

【0037】次に、上述の実施例2のオーバーエッチン
グ工程と同じ条件でオーバーエッチングを行った。この
ときのウェハ加熱(150℃)により、ジャストエッチ
ング工程で堆積したSは速やかに昇華除去された。この
後、O2 プラズマ・アッシングを行って上記レジスト・
マスク6を除去し、ウェハを試験的に大気中に放置した
が、96時間後でもアフターコロージョンの発生は認め
られなかった。実施例2に比べてアフターコロージョン
耐性が向上したのは、ジャストエッチング時に側壁保護
に寄与する炭素系ポリマーの堆積量を低減できたことに
より、パターン近傍の残留塩素量が減少したからであ
る。
Next, over-etching was performed under the same conditions as in the over-etching process of Example 2 described above. By heating the wafer at this time (150 ° C.), S deposited in the just etching step was quickly removed by sublimation. Then, O 2 plasma ashing is performed to remove the resist
The mask 6 was removed and the wafer was left in the atmosphere for a test, but after-corrosion was not observed even after 96 hours. The after-corrosion resistance was improved as compared with Example 2 because the amount of carbon-based polymer that contributes to sidewall protection during just etching was reduced, and the amount of residual chlorine near the pattern was reduced.

【0038】実施例4 本実施例は、同じAl系多層膜をCl2 /BCl3 /S
2 Br2 混合ガスを用いてジャストエッチングした後、
Cl2 /HBr/HI混合ガスを用いてオーバーエッチ
ングを行い、残渣を除去した例である。まず、図1
(a)に示したウェハをRFバイアス印加型の有磁場マ
イクロ波プラズマ・エッチング装置にセットし、一例と
して下記の条件でAl系多層膜5をジャストエッチング
した。
Example 4 In this example, the same Al-based multilayer film was used as Cl 2 / BCl 3 / S.
After just etching using 2 Br 2 mixed gas,
In this example, the residue is removed by performing overetching using a Cl 2 / HBr / HI mixed gas. First, Fig. 1
The wafer shown in (a) was set in an RF bias application type magnetic field microwave plasma etching apparatus, and as an example, the Al-based multilayer film 5 was just-etched under the following conditions.

【0039】 Cl2 流量 80SCCM BCl3 流量 20SCCM S2 Br2 流量 30SCCM ガス圧 2Pa(=15mTor
r) マイクロ波パワー 900W(2.45GHz) RFバイアス・パワー 30W(13.56MHz) ウェハ温度 0℃ このジャストエッチング工程では、Cl* ,Br* が主
エッチング種となってエッチングが進行する一方、S2
Br2 から生成するSが側壁保護に寄与した。このBr
の存在は、レジスト選択性の向上に寄与している。これ
は、レジスト・マスクのエッチング反応生成物の飽和蒸
気圧を比較すると、すべての温度領域においてCBr4
の方がCCl4 よりも低いことからも明らかなように、
レジスト・マスクの表面がCBrx に保護されるからで
ある。このBrによるレジスト選択性の向上について
は、たとえば月刊セミコンダクターワールド,1990
年12月号,p103〜107に詳述されている。
Cl 2 flow rate 80 SCCM BCl 3 flow rate 20 SCCM S 2 Br 2 flow rate 30 SCCM Gas pressure 2 Pa (= 15 mTor)
r) Microwave power 900 W (2.45 GHz) RF bias power 30 W (13.56 MHz) Wafer temperature 0 ° C. In this just etching process, Cl * and Br * are the main etching species and the etching progresses, while S 2
S generated from Br 2 contributed to the side wall protection. This Br
The presence of contributes to the improvement of resist selectivity. This is because when comparing the saturated vapor pressures of the etching reaction products of the resist mask, CBr 4
Is lower than that of CCl 4 ,
This is because the surface of the resist mask is protected by CBr x . For the improvement of resist selectivity by Br, see, for example, Monthly Semiconductor World, 1990.
December issue, p103-107.

【0040】続いて、一例として下記の条件によりオー
バーエッチングを行った。 Cl2 流量 30SCCM HBr流量 30SCCM HI流量 60SCCM ガス圧 2Pa(=15mTor
r) マイクロ波パワー 900W(2.45GHz) RFバイアス・パワー 15W(13.56MHz) ウェハ温度 150℃ この工程では、図1(c)に示されるように残渣5bが
除去されることはもちろんであるが、Brの使用による
高レジスト選択性もジャストエッチング時と同様に維持
された。また、このときのウェハ加熱(150℃)によ
り、ジャストエッチング工程で堆積したSは速やかに昇
華除去された。
Subsequently, as an example, overetching was performed under the following conditions. Cl 2 flow rate 30 SCCM HBr flow rate 30 SCCM HI flow rate 60 SCCM Gas pressure 2 Pa (= 15 mTorr)
r) Microwave power 900 W (2.45 GHz) RF bias power 15 W (13.56 MHz) Wafer temperature 150 ° C. In this step, as a matter of course, the residue 5b is removed as shown in FIG. 1 (c). However, the high resist selectivity due to the use of Br was maintained as in the just etching. Further, due to the wafer heating (150 ° C.) at this time, S deposited in the just etching step was quickly sublimated and removed.

【0041】この後、O2 プラズマ・アッシングを行っ
て上記レジスト・マスク6を除去し、ウェハを試験的に
大気中に放置したが、120時間後でもアフターコロー
ジョンの発生は認められなかった。実施例3に比べてさ
らにアフターコロージョン耐性が向上したのは、レジス
ト選択性の向上により炭素系ポリマーの供給量が減少
し、パターン近傍の残留塩素量が大きく減少したからで
ある。
After that, O 2 plasma ashing was performed to remove the resist mask 6 and the wafer was allowed to stand in the atmosphere for a test, but after-corrosion was not observed even after 120 hours. The reason why the after-corrosion resistance was further improved as compared with Example 3 is that the supply amount of the carbon-based polymer was reduced and the residual chlorine amount in the vicinity of the pattern was significantly reduced due to the improvement in the resist selectivity.

【0042】ところで、上述の実施例2ないし実施例4
では、ジャストエッチング工程とオーバーエッチング工
程におけるウェハ温度が大きく異なっている。このよう
な場合、ウェハの昇降温のための所要時間によりスルー
プットを低下させないために、ウェハ載置電極の設定温
度の異なる複数のエッチング・チャンバを高真空下に接
続したマルチチャンバ型のエッチング装置を使用するこ
とが特に好ましい。
Incidentally, the above-mentioned second to fourth embodiments.
In, the wafer temperatures in the just etching process and the over etching process are significantly different. In such a case, in order to prevent the throughput from being lowered due to the time required for raising and lowering the temperature of the wafer, a multi-chamber type etching apparatus in which a plurality of etching chambers with different set temperatures of the wafer mounting electrodes are connected under high vacuum is used. It is particularly preferred to use.

【0043】あるいは、本発明者が先に特願平3−30
1279号明細書において提案しているように、冷却手
段を有する固定電極と加熱手段を有する可動電極とを組
み合わせたウェハ載置電極を装備したECRプラズマ装
置等を使用することも、極めて有効である。
Alternatively, the present inventor first made a Japanese Patent Application No. 3-30.
It is also very effective to use an ECR plasma device equipped with a wafer-placed electrode in which a fixed electrode having a cooling means and a movable electrode having a heating means are combined as proposed in 1279 specification. ..

【0044】以上、本発明を4例の実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではない。たとえば、塩素系化合物としては上述の
Cl2 やBCl3 の他、HCl等を使用しても良い。臭
素系化合物としては、上述のHBrの他、BBr3 、あ
るいはBr2 を気化させたもの等を使用することができ
る。
Although the present invention has been described based on the four examples, the present invention is not limited to these examples. For example, as the chlorine-based compound, HCl or the like may be used in addition to Cl 2 and BCl 3 described above. As the bromine-based compound, in addition to the above-mentioned HBr, BBr 3 or a compound obtained by vaporizing Br 2 can be used.

【0045】放電解離条件下でプラズマ中に塩素系化学
種もしくは臭素系化学種の少なくとも一方とイオウ系化
学種とを生成可能なエッチング・ガスも、上述のBCl
3 /S2 Cl2 混合ガスやCl2 /BCl3 /S2 Br
2 混合ガスに限られるものではない。たとえば、上記の
混合ガスではS2 Cl2 やS2 Br2 のように1分子か
らイオウ系化学種とハロゲン系化学種の両方を供給でき
る化合物が使用されているが、イオウ系化学種のみをH
2 Sのような分子から別途供給させるようにしても良
い。
The etching gas capable of generating at least one of chlorine-based species or bromine-based species and sulfur-based species in plasma under discharge dissociation conditions is also the above-mentioned BCl.
3 / S 2 Cl 2 mixed gas or Cl 2 / BCl 3 / S 2 Br
It is not limited to 2 mixed gas. For example, in the above mixed gas, a compound such as S 2 Cl 2 or S 2 Br 2 that can supply both a sulfur-based species and a halogen-based species from one molecule is used, but only a sulfur-based species is used. H
It may be separately supplied from a molecule such as 2 S.

【0046】Cuを含有するAl系材料層は、上述のA
l−Si−Cu合金の他、Al−Cu合金等であっても
良い。この他、エッチング条件、使用するエッチング装
置、サンプル・ウェハの構成等は適宜変更可能であるこ
とは言うまでもない。
The Al-based material layer containing Cu has the above-mentioned A content.
Besides the 1-Si-Cu alloy, an Al-Cu alloy or the like may be used. In addition, it goes without saying that the etching conditions, the etching apparatus to be used, the structure of the sample wafer, etc. can be changed as appropriate.

【0047】[0047]

【発明の効果】以上の説明からも明らかなように、本発
明によれば、Cuを含有するAl系材料層をエッチング
するに際し、オーバーエッチング時にガス系にHIを添
加することにより、Cuに起因する残渣の発生を防止
し、かつアフターコロージョン耐性を向上させることが
できる。特に、ジャストエッチング工程においてSを側
壁保護に利用する場合には、アフターコロージョン耐性
を一層向上させることができる。
As is clear from the above description, according to the present invention, when etching the Al-containing material layer containing Cu, by adding HI to the gas system during overetching, It is possible to prevent the occurrence of a residue that occurs and improve the after-corrosion resistance. In particular, when S is used for sidewall protection in the just etching step, the after-corrosion resistance can be further improved.

【0048】本発明は、微細なデザイン・ルールにもと
づいて設計され、高集積度、高性能、高信頼性を要求さ
れる半導体装置の製造において極めて有効である。
The present invention is extremely effective in manufacturing a semiconductor device which is designed based on a fine design rule and which requires high integration, high performance and high reliability.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明を適用したプロセス例をその工程順にし
たがって説明する概略断面図であり、(a)はAl系多
層膜上にレジスト・マスクが形成された状態、(b)は
Al系多層膜がジャストエッチングされた状態、(c)
は残渣が除去された状態をそれぞれ表す。
FIG. 1 is a schematic cross-sectional view for explaining a process example to which the present invention is applied in the order of steps, (a) shows a state where a resist mask is formed on an Al-based multilayer film, and (b) shows an Al-based multilayer film. The film is just etched, (c)
Represents the state in which the residue was removed.

【図2】従来の問題点を説明するため、従来のプロセス
例をその工程順にしたがって説明する概略断面図であ
り、(a)はAl系多層膜上にレジスト・マスクが形成
された状態、(b)はAl系多層膜がジャストエッチン
グされた状態、(c)は残渣の除去に伴ってマイクロ・
マスクのパーティクルが発生した状態をそれぞれ表す。
FIG. 2 is a schematic cross-sectional view illustrating a conventional process example according to the order of steps in order to explain a conventional problem, FIG. 2A is a state in which a resist mask is formed on an Al-based multilayer film, (b) is a state in which the Al-based multilayer film has been just etched, and (c) is a micro-film as the residue is removed.
Represents the state where particles of the mask are generated.

【符号の説明】[Explanation of symbols]

1 ・・・SiO2 層間絶縁膜 2 ・・・TiNバリヤメタル 3 ・・・Al−1%Si−0.5%Cu層 4 ・・・TiON反射防止膜 5 ・・・Al系多層膜 5a・・・Al系配線パターン 5b・・・残渣 6 ・・・レジスト・マスク 7 ・・・マイクロ・マスク1 · · · SiO 2 interlayer insulating film 2 · · · TiN barrier metal 3 ··· Al-1% Si- 0.5% Cu layer 4 · · · TiON antireflection film 5 · · · Al-based multilayer film 5a · ·・ Al-based wiring pattern 5b ・ ・ ・ Residue 6 ・ ・ ・ Resist mask 7 ・ ・ ・ Micro mask

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 塩素系化合物もしくは臭素系化合物の少
なくとも一方とヨウ素系化合物とを含むエッチング・ガ
スを用い、被エッチング基板を加熱しながら銅を含有す
るアルミニウム系材料層をエッチングすることを特徴と
するドライエッチング方法。
1. An aluminum-based material layer containing copper is etched using an etching gas containing at least one of a chlorine-based compound or a bromine-based compound and an iodine-based compound while heating the substrate to be etched. Dry etching method.
【請求項2】 塩素系化合物もしくは臭素系化合物の少
なくとも一方を含むエッチング・ガスを用いて銅を含有
するアルミニウム系材料層を実質的にその層厚分だけエ
ッチングするジャストエッチング工程と、 塩素系化合物もしくは臭素系化合物の少なくとも一方と
ヨウ素系化合物とを含むエッチング・ガスを用い、被エ
ッチング基板を加熱しながら前記銅を含有するアルミニ
ウム系材料層の残余部をエッチングするオーバーエッチ
ング工程とを有することを特徴とするドライエッチング
方法。
2. A just etching step of etching an aluminum-based material layer containing copper substantially by the thickness of the layer using an etching gas containing at least one of a chlorine-based compound and a bromine-based compound, and a chlorine-based compound Or using an etching gas containing at least one of a bromine-based compound and an iodine-based compound, and having an overetching step of etching the remainder of the copper-containing aluminum-based material layer while heating the substrate to be etched. Characteristic dry etching method.
【請求項3】 放電解離条件下でプラズマ中に塩素系化
学種もしくは臭素系化学種の少なくとも一方とイオウ系
化学種とを生成可能なエッチング・ガスを用い、銅を含
有するアルミニウム系材料層を実質的にその層厚分だけ
エッチングするジャストエッチング工程と、 塩素系化合物もしくは臭素系化合物の少なくとも一方と
ヨウ素系化合物とを含むエッチング・ガスを用い、被エ
ッチング基板を加熱しながら前記銅を含有するアルミニ
ウム系材料層の残余部をエッチングするオーバーエッチ
ング工程とを有することを特徴とするドライエッチング
方法。
3. An aluminum-based material layer containing copper is formed by using an etching gas capable of generating at least one of chlorine-based species or bromine-based species and sulfur-based species in plasma under discharge dissociation conditions. The just etching step of etching substantially the thickness of the layer and an etching gas containing at least one of a chlorine-based compound or a bromine-based compound and an iodine-based compound are used to contain the copper while heating the substrate to be etched. And a step of etching the remaining part of the aluminum-based material layer, the dry etching method.
JP10222292A 1992-03-28 1992-03-28 Dry etching method Expired - Lifetime JP3200949B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10222292A JP3200949B2 (en) 1992-03-28 1992-03-28 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10222292A JP3200949B2 (en) 1992-03-28 1992-03-28 Dry etching method

Publications (2)

Publication Number Publication Date
JPH05275395A true JPH05275395A (en) 1993-10-22
JP3200949B2 JP3200949B2 (en) 2001-08-20

Family

ID=14321643

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10222292A Expired - Lifetime JP3200949B2 (en) 1992-03-28 1992-03-28 Dry etching method

Country Status (1)

Country Link
JP (1) JP3200949B2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2314207A (en) * 1996-06-12 1997-12-17 Samsung Electronics Co Ltd Plasma etching method for a semiconductor device fabrication process
KR100324606B1 (en) * 1997-06-30 2002-05-10 박종섭 Method for etching Aluminum alloy layer

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB2314207A (en) * 1996-06-12 1997-12-17 Samsung Electronics Co Ltd Plasma etching method for a semiconductor device fabrication process
KR100324606B1 (en) * 1997-06-30 2002-05-10 박종섭 Method for etching Aluminum alloy layer

Also Published As

Publication number Publication date
JP3200949B2 (en) 2001-08-20

Similar Documents

Publication Publication Date Title
JP4690512B2 (en) Method for reducing polymer deposition on etched vertical metal lines, corrosion of etched metal lines and corrosion during wet cleaning of etched metal features
JP3191407B2 (en) Wiring formation method
US5378653A (en) Method of forming aluminum based pattern
JP3381076B2 (en) Dry etching method
JPH08130206A (en) Plasma etching method of al based metal layer
JPH04288828A (en) Dry etching method
JP3225532B2 (en) Dry etching method
JP3750231B2 (en) Method for forming multilayer wiring
JP3200949B2 (en) Dry etching method
JP3570098B2 (en) Dry etching method
JP3324466B2 (en) Dry etching method for metal wiring
JP6708824B2 (en) Pre-cleaning of semiconductor structures
JP3326868B2 (en) Method of forming aluminum-based pattern
JPH04350939A (en) Method of forming copper wiring
JP3225559B2 (en) Dry etching method
JP3203752B2 (en) Dry etching method
JP3301161B2 (en) Dry etching method
JP3298234B2 (en) Wiring formation method
JPH05182937A (en) Dry-etching method
JPH07273120A (en) Processing method for semiconductor substrate
JP3353490B2 (en) Patterning method for laminated wiring
JP3198599B2 (en) Method of forming aluminum-based pattern
JP3746314B2 (en) Method for forming Al-based metal wiring
JPH04213822A (en) Wiring formation method
JPH05291203A (en) Formation method of aluminum-based pattern

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20000829

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010522

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080622

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090622

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090622

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100622

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100622

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110622

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120622

Year of fee payment: 11

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120622

Year of fee payment: 11