JP3570098B2 - Dry etching method - Google Patents

Dry etching method Download PDF

Info

Publication number
JP3570098B2
JP3570098B2 JP19357496A JP19357496A JP3570098B2 JP 3570098 B2 JP3570098 B2 JP 3570098B2 JP 19357496 A JP19357496 A JP 19357496A JP 19357496 A JP19357496 A JP 19357496A JP 3570098 B2 JP3570098 B2 JP 3570098B2
Authority
JP
Japan
Prior art keywords
etching
material layer
based material
plasma
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP19357496A
Other languages
Japanese (ja)
Other versions
JPH1041308A (en
Inventor
敏治 柳田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP19357496A priority Critical patent/JP3570098B2/en
Publication of JPH1041308A publication Critical patent/JPH1041308A/en
Application granted granted Critical
Publication of JP3570098B2 publication Critical patent/JP3570098B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Description

【0001】
【発明の属する技術分野】
本発明はドライエッチング方法に関し、さらに詳しくは、SiO層間絶縁膜上に形成されたAl系材料層から配線パターンを形成するドライエッチング方法に関する。
【0002】
【従来の技術】
LSI等の半導体装置の集積度が進み、そのデザインルールがサブハーフミクロンからクォータミクロンのレベルへと微細化されるに伴い、配線パターン幅も縮小されつつある。従来半導体装置の配線材料としては、AlあるいはAlに1〜2%のSiを添加したAl−Si合金、さらにストレスマイグレーション対策として0.5〜1%のCuを添加したAl−Cu合金等のAl系材料が多く使用されている。そして、Al系材料層のドライエッチングでは塩素系ガスが使用され、特公昭59−22374号公報で開示されたBCl/Cl混合ガスはその代表的なものである。Al系材料層のドライエッチングにおける主エッチング種として寄与する化学種は塩素ラジカルであり、自発的で極めて速やかなエッチング反応を進行させる。しかしながら、塩素ラジカルのみではエッチングが等方的に進行する為、通常はある程度入射イオンエネルギーを高めた条件下でイオンアシスト反応を進行させ、且つ入射イオンにスパッタされたレジストマスクの分解生成物を側壁保護膜として利用することで高異方性を達成している。BClはAl系材料層の表面の自然酸化膜を還元するために添加される化合物であるが、入射イオンとしてBCl を供給すると言う重要な役割も担っている。
【0003】
ところで、上述のような高異方性を確保する為に、ある程度大きな入射イオンエネルギーを用いてレジストマスクをスパッタするプロセスでは、必然的にレジスト選択性の低下が問題となり、典型的なプロセスにおけるレジスト選択比は僅か2程度である。このような選択性の低さは微細な配線パターンの加工においてレジストマスクとの寸法変換差を発生させたり、異方性形状を劣化させる等の一要因となっている。一方、高度に微細化された半導体装置のデザインルールの下では、フォトリソグラフィにおける解像度を向上させる観点からレジスト塗膜の膜厚を薄くすることが要求されている。従って、薄いレジスト塗膜に基づく高解像度と、薄いレジスト塗膜から形成したレジストマスクを介した高精度エッチングとを両立させることが困難となりつつある。
【0004】
このような問題に対処する為、従来からレジストマスクの表面に反応生成物を堆積させる手段が提案されている。例えば、第33回集積回路シンポジウム講演予稿集(1987年)、P114にはエッチングガスとしてSiClを用いるプロセスが報告されている。これは、レジストマスクの表面をSiで被覆することによりレジストマスクのエッチング耐性を高めようとするものである。また、Proceedings of the 11th Symposium on Dry Process、P45、II−2(1989)にはBBrを用いるプロセスが報告されている。これは、レジストマスクの表面を蒸気圧の低いCBrで被覆することにより、レジストマスクのエッチング耐性を一層高めようとするものである。このCBrによるレジストマスクの保護メカニズム等については、月刊セミコンダクターワールド、1990年12月号、P103〜107(プレスジャーナル社刊)に詳述されており、レジスト選択比として略5の値が報告されている。
しかしながら、選択比略5という数値のような高レジスト選択比を得るためには、SiやCBrを多量に堆積させることが必要となり、パーティクルレベルを悪化させる虞が大である。
【0005】
また、Al系材料層のエッチングに特有な問題として、残留塩素によるアフターコロージョンがある。特に近年ではAl系材料層にCuが添加されたり、あるいはAl系材料層がバリヤメタルや反射防止膜等の異種材料層と積層される等、アフターコロージョン防止の観点からは不利な条件が揃っており、従来にも増して徹底した対策が切望されている。アフターコロージョン対策としては、CFやCHF等のフルオロカーボン系ガスを用いるプラズマクリーニング、酸素プラズマアッシングによるレジストマスクと側壁保護膜の除去、CHガスによるプラズマクリーニングと基板水洗の組み合わせ等が知られている。これ等は何れも残留塩素の除去を目的とするものであり、塩素や臭素をフッ素に置換して反応生成物の蒸気圧を高めるか、残留塩素を大量に含むレジストマスクや側壁保護膜を大気開放前にアッシングで除去してしまうか、塩素化合物を塩化アンモニウムのような不活性な化合物に変換するか、あるいはこれ等と同時に耐蝕性の高いAlFやAlの被膜をAl系材料層の表面に形成することにより、アフターコロージョンを抑制するものである。しかしながら、上述した対策を施したとしても決定的な効果を上げる対策となっていないのが実情である。このような実情に鑑み、パーティクル増加を招かないクリーンなプロセスで高レジスト選択比を有するAl系材料層のドライエッチングをアフターコロージョンフリーで確立することが熱望されている。
【0006】
【発明が解決しようとする課題】
本発明の課題は、高レジスト選択比およびアフターコロージョン耐性を向上するとともに、パーティクル増加を招かないクリーンなエッチングプロセスを提供し、微細なデザインルールに基づいて設計された高集積度、高性能、高信頼性の何れの要求にも対応できるドライエッチング方法を提供することである。
【0007】
【課題を解決するための手段】
上記課題を解決するために請求項1の発明のドライエッチング方法では、基板上に形成されたAl系材料層を、H2OまたはH22の何れか一方のものと塩素系化合物とを有するエッチングガスで、側壁保護膜として、Alのオキシ塩化物を形成しつつエッチングする、エッチング工程を有することを特徴とする。
【0008】
請求項2の発明のドライエッチング方法では、基板上に形成されたAl系材料層を、H2OまたはH22の何れか一方のものと塩素系化合物とを有するエッチングガスで、側壁保護膜として、Alのオキシ塩化物を形成しつつエッチングするエッチング工程と、エッチング工程後に基板を加熱するとともにフッ素系化合物を含有するガスを用いたプラズマ処理工程とを有することを特徴とする。
【0009】
請求項3の発明のドライエッチング方法では、Al系材料層が形成された基板を室温以下に制御し、
Al系材料層を、HOまたはHの何れか一方のものと、放電解離条件下でプラズマ中に遊離の硫黄を生成する硫黄系化合物とを有するエッチングガスでエッチングする、エッチング工程を有することを特徴とする。
【0010】
請求項4の発明のドライエッチング方法では、Al系材料層が形成された基板を室温以下に制御し、
Al系材料層を、HOまたはHの何れか一方のものと、放電解離条件下でプラズマ中に遊離の硫黄を生成する硫黄系化合物とを有するエッチングガスでエッチングするエッチング工程と、
エッチング工程後に基板を加熱するとともにフッ素系化合物を含有するガスを用いたプラズマ処理工程とを有することを特徴とする。
【0011】
請求項2および4における望ましいプラズマ処理工程の実施態様としては、プラズマ密度が1×1011cm−3以上1×1014cm−3以下である。
【0012】
上述した手段による作用を以下に記す。
請求項1のHOまたはHの何れか一方のものと、塩素系化合物とを有するエッチングガスでエッチングする工程を有するものでは、Al等で構成される配線材料層は、オキシ塩化物を主反応生成物としてエッチングが進行する。配線材料層として一般に用いられるAlやTi等のオキシ塩化物は、純粋な塩化物よりも低い蒸気圧をもち、イオンの垂直照射を受けるパターン底部においては反応生成物が揮発除去されるものの、イオンの垂直照射を受けない側壁部においてはこれ等のメタルの酸化物やオキシ塩化物が揮発せず、側壁保護膜を形成して異方性加工が行われる。また、被エッチング物がなくなりエッチャントが過剰となるオーバーエッチング時には、HOまたはHから解離生成するH原子が塩素ラジカルを捕捉し、サイドエッチングの進行を防止して加工パターンの異方性形状を維持することができる。
【0013】
従って、Al系材料層のエッチング形態が従来のラジカル反応主体であったものからイオンアシスト反応の要素を多く有するようになる為、従来のようにレジストの分解生成物である炭素系ポリマーを側壁保護膜として厚く堆積させる必要がなく、また入射イオンエネルギーを軽減したエッチング条件下においても微細パターンの異方性加工が可能となり、対レジストマスク選択性を向上させることができる。そして、薄いフォトレジスト塗膜からも十分に実用に耐えるエッチングマスクが形成できるようになり、加工寸法変換差の発生を防止できるとともに、フォトリソグラフィにおける高解像度を犠牲にせずに済む。
【0014】
また、高異方性および高選択性を達成する為に必要な炭素系ポリマーの堆積量を低減することができるので、パーティクル汚染を減少させることができ、炭素系ポリマーに取り込まれる形でエッチング後の配線パターン側壁部に存在する残留塩素も減少するので、アフターコロージョン耐性も向上する。さらに、入射イオンエネルギーの低減は下地選択性の向上にも寄与するので、オーバーエッチング時にAl系材料層の下地の層間絶縁膜のスパッタリングを減少させ、その配線パターン側壁部への再付着物に取り込まれる形で存在する残留塩素も減少し、アフターコロージョンを効果的に抑制することが可能となる。
【0015】
請求項2および4のAl系材料層のエッチング工程後、基板を加熱するとともにフッ素系化合物を含有するガスを用いたプラズマ処理工程とを有するものは、アフターコロージョン対策を一層徹底させるものであり、エッチング後の配線パターン近傍に残留する塩素がフッ素に置換されるとともに、残留塩素を結合あるいは吸蔵している配線パターン側壁部保護物質の蒸気圧がプラズマ輻射熱や基板の直接加熱等で高められ、離脱し易くなる。従って、エッチング後の基板に大気中の水分が吸着しても、残留塩素を電解質とする局部電池が形成されにくくなり、Al系材料層のアフターコロージョンを略完全に抑止することができる。また、プラズマ処理工程におけるプラズマ密度を1×1011cm−3以上1×1014cm−3以下とすることにより、処理速度を損なうことなく、入射イオンエネルギーを抑えたプロセス条件の設定が可能となる。
【0016】
請求項3のAl系材料層が形成された基板を室温以下に制御し、Al系材料層をHOまたはHの何れか一方のものと、放電解離条件下でプラズマ中に遊離の硫黄を生成する硫黄系化合物とを有するエッチングガスでエッチングする工程を有するものは、一層の低汚染化とアフターコロージョン耐性を向上させるものであり、エッチング反応生成物であるメタルのオキシ塩化物や炭素系ポリマーに加え、硫黄も配線パターンの側壁保護膜に利用できるようにするものである。硫黄は室温以下に制御されている基板に堆積するので、異方性加工に必要な入射イオンエネルギーを一層低減でき、選択性向上および低ダメージ化を徹底させることができる。また、炭素系ポリマーの堆積量を一層減少させることができるので、パーティクル汚染やアフターコロージョンをより効果的に低減することができる。さらに、基板に堆積した硫黄は、基板が略90℃以上に加熱されれば容易に昇華するので、パーティクル汚染源となる虞はない。
【0017】
【実施例】
以下、本発明の具体的な実施例の工程順について、図1の概略断面図を参照して説明する。
同図(a)はAl系材料層上にレジストマスクが形成された状態を示し、同図(b)は異方性形状を有する配線パターンが形成されるとともに、側壁保護膜が形成された状態を示し、同図(c)は側壁保護膜が除去された状態を示し、同図(d)はレジストマスクがアッシング除去された状態を示すものである。
【0018】
実施例1
本実施例は、バリヤメタル、1%のSiと0.5%のCuとを含有するAl層および反射防止膜とが順次積層されているAl系材料層をCl/BCl/HO混合ガスを用いてドライエッチングした一例である。これを図1(a)、(b)および(d)を参照して説明する。
【0019】
図1(a)に示したように、SiO層間絶縁膜1上に厚さ略0.03μmのTi層2および厚さ略0.07μmのTiN層3を有するバリヤメタル4、1%のSiと0.5%のCuとを含有し厚さが略0.4μmであるAl層5、略0.1μmの厚さを有するTiON反射防止膜6が順次積層されたAl系材料層7が形成され、さらにAl系材料層7上にフォトリソグラフィ工程によりレジストマスク8が形成された基板であるウェハを用意した。そして、ウェハをRFバイアス印加型の有磁場マイクロ波プラズマエッチング装置にセットし、下記条件でAl系材料層7をドライエッチングした。
【0020】
ガス流量 Cl/BCl/HO=80/40/30sccm
圧力 2Pa
μ波電力 900W(2.45GHz)
RFバイアス 40W(2MHz)
ウェハ温度 常温
なお、Al系材料層7のエッチング速度は略1μm/分、対レジスト選択比は略4であった。また、HOは液体ソースである為、加熱蒸発やHeガスバブリング等の手段によって気化させた状態でエッチングチャンバに供給した。
【0021】
上記条件でのエッチング過程では、ECR放電によりClとBClから解離生成する塩素ラジカルを主エッチング種とするラジカル反応が、Cl 、BCl 、O等のイオンにアシストされることによりエッチングが進行し、Al系材料層7は主にAlCl、AlOCl、TiCl、TiOCl等の生成物となり除去される。また、同時にレジストマスク8の分解生成物からは炭素系ポリマーが生成され、その生成量は従来プロセスほど多くはないが、配線パターン7a側壁部に堆積し、AlやTiのオキシ塩化物とともに図1(b)に示したような側壁保護膜9を形成して異方性加工に寄与する。このようにして、良好な異方性形状を有する配線パターン7aを形成することができた。
【0022】
また、異方性加工に必要な入射イオンエネルギーが低減して従来よりもRFバイアスパワーが小であるエッチングプロセスとすることができるので、SiO層間絶縁膜1がスパッタされて配線パターン7a側壁部に再付着する現象も見られなかった。従って、エッチング後の配線パターン7a側壁部に残留する塩素量が大きく低減され、結果的にアフターコロージョンの発生が抑制された。エッチング終了後、ウェハをプラズマアッシング装置において通常の条件でOプラズマアッシングを行った。この結果図1(d)に示したように、レジストマスク8と側壁保護膜9が燃焼除去された。そして、本実施例のプロセスでは炭素系ポリマーの生成量が少ないので、相当回数処理した後に得られたウェハにおいてもパーティクルレベルは小であった。
【0023】
実施例2
本実施例は、Al系材料層7をSCl/HO混合ガスを用いてウェハを低温冷却しながらドライエッチングした一例である。これを図1(a)、(b)および(d)を参照して説明する。
【0024】
実施例1と同様、図1(a)に示したように、SiO層間絶縁膜1上に厚さ略0.03μmのTi層2および厚さ略0.07μmのTiN層3を有するバリヤメタル4、1%のSiと0.5%のCuとを含有し厚さが略0.4μmであるAl層5、略0.1μmの厚さを有するTiON反射防止膜6が順次積層されたAl系材料層7が形成され、さらにAl系材料層7上にフォトリソグラフィ工程によりレジストマスク8が形成された基板であるウェハを用意した。そして、ウェハをRFバイアス印加型の有磁場マイクロ波プラズマエッチング装置にセットし、下記条件でAl系材料層7をドライエッチングした。
【0025】
ガス流量 SCl/HO=90/20sccm
圧力 2Pa
μ波電力 900W(2.45GHz)
RFバイアス 20W(13.56MHz)
ウェハ温度 0℃
なお、ウェハの冷却はウェハ載置電極に埋設された冷却配管に装置外部に設置されたチラーからエタノール系冷媒を供給し循環させることにより行った。また、HOは液体ソースである為、加熱蒸発やHeガスバブリング等の手段によって気化させた状態でエッチングチャンバに供給した。
【0026】
上記条件でのエッチング過程では、AlやTiのオキシ塩化物や炭素系ポリマーの他、SClから解離生成する硫黄も側壁保護膜9の構成成分として寄与する。従って、実施例1で示した事例より、さらにRFバイアスパワーを下げた条件においても図1(b)に示したように良好な異方性形状を有する配線パターン7aを形成することができた。そして、本実施例におけるAl系材料層7のエッチング速度はウェハ冷却および堆積物の増加により実施例1の事例よりも低下して900nm/分であったが、レジスト選択比は略6に向上した。このことにより、レジストマスク8の膜厚の減少やエッジの後退は殆ど見られなかった。また、硫黄の堆積が期待できる分だけ炭素系ポリマーの生成量を一層低減できることと、さらなる低バイアス化により下地選択性が向上し、SiO層間絶縁膜1のスパッタ再付着が抑制されること等によりアフターコロージョン耐性も大幅に向上した。エッチング終了後、ウェハをプラズマアッシング装置において通常の条件でOプラズマアッシングを行った。この結果、図1(d)に示したようにレジストマスク8と側壁保護膜9は速やかに除去された。側壁保護膜9には炭素系ポリマーと硫黄が含まれているが、硫黄はプラズマ輻射熱や反応熱により昇華除去される他、酸素ラジカルによる燃焼反応によっても除去され、何らウェハ上にパーティクル汚染を残すことはなかった。
【0027】
実施例3
本実施例は、Al系材料層7をSCl/H混合ガスを用いてウェハを低温冷却しながらドライエッチングした後、配線パターン7a側壁部に付着した反応生成物の除去および残留塩素のフッ素置換をCF/O混合ガスを用いたプラズマ後処理で行った一例である。これを図1(a)、(b)、(c)および(d)を参照して説明する。
【0028】
実施例1と同様、図1(a)に示したように、SiO層間絶縁膜1上に厚さ略0.03μmのTi層2および厚さ略0.07μmのTiN層3を有するバリヤメタル4、1%のSiと0.5%のCuとを含有し厚さが略0.4μmであるAl層5、略0.1μmの厚さを有するTiON反射防止膜6が順次積層されたAl系材料層7が形成され、さらにAl系材料層7上にフォトリソグラフィ工程によりレジストマスク8が形成された基板であるウェハを用意した。そして、ウェハをRFバイアス印加型の有磁場マイクロ波プラズマエッチング装置にセットし、下記条件でAl系材料層7をドライエッチングした。
【0029】
ガス流量 SCl/H=90/20sccm
圧力 2Pa
μ波電力 900W(2.45GHz)
RFバイアス 15W(2MHz)
ウェハ温度 0℃
なお、ウェハの冷却はウェハ載置電極に埋設された冷却配管に装置外部に設置されたチラーからエタノール系冷媒を供給し循環させることにより行った。また、Hは液体ソースである為、加熱蒸発やHeガスバブリング等の手段によって気化させた状態でエッチングチャンバに供給した。
【0030】
続いてウェハを後処理チェンバーへ搬送し、下記条件でプラズマ後処理を行った。
【0031】
ガス流量 CF/O=100/50sccm
圧力 10Pa
μ波電力 900W(2.45GHz)
RFバイアス 0W(2MHz)
ウェハ温度 100℃
【0032】
このプラズマ後処理により、図1(c)に示したように側壁保護膜9が速やかに除去された。この除去する機構は、炭素系ポリマーに関しては酸素ラジカルによる燃焼、フッ素置換による蒸気圧の上昇等であり、硫黄系化合物に関してはウェハ加熱による昇華、酸素ラジカルによる燃焼等である。なお、このプラズマ後処理によりレジストマスク8に吸蔵または結合して残留していた塩素もフッ素に置換された。
【0033】
続いて、ウェハをプラズマアッシング装置において通常の条件でOプラズマアッシングを行った。この結果、図1(d)に示したようにアッシング残渣のない良好な異方性形状を有する配線パターン7aを形成することができた。そして、配線パターン7aが形成されたウェハを72時間大気開放した後においてもアフターコロージョンの発生は全く見られなかった。
【0034】
以上、本発明ついて実施例1、2および3において具体的な事例を示したがこれに限定されるものでなく、被エッチング物の膜種や構造、エッチング装置、エッチング条件等、発明の主旨を逸脱しない範囲で適宜選択可能である。
例えば、使用するエッチング装置としては本実施例で用いたECRプラズマエッチング装置の他にICP、TCP、ヘリコン波プラズマエッチング装置等の高密度プラズマエッチング装置、または平行平板型RIE装置等各種エッチング装置への適用も可能である。また、プラズマ後処理に用いるガスとしてはCF/O混合ガスの他に、NF/O混合ガス、SF/O混合ガス等を用いることができる。さらに、本発明で使用するエッチングガスにはスパッタリング効果、希釈効果、冷却効果等が期待できるAr、He等の希ガスが適宜添加されていても良い。
【0035】
【発明の効果】
本発明によれば、エッチング形態が従来のラジカル反応主体であったものからイオンアシスト反応主体となるので、従来のようにレジストの分解生成物である炭素系ポリマーを側壁保護膜として厚く堆積する必要がなく、入射イオンエネルギーを軽減したエッチング条件の下においても微細配線パターンの異方性加工が可能となり、対レジストマスクおよび対下地選択性を向上させることができる。また、高異方性、高選択性を達成する為に必要な炭素系ポリマーの堆積量を低減できるので、従来の技術に比べてパーティクル汚染を減少させることができる。さらに、炭素系ポリマーや下地再付着物に取り込まれる形でエッチング後の配線パターン側壁部に残留する塩素量も減少するので、アフターコロージョン耐性が大きく向上する。従って、微細なデザインルールに基づいて設計され、高集積度、高性能、高信頼性の何れの要求にも対応できるドライエッチング方法を提供することができる。
【図面の簡単な説明】
【図1】本発明のプロセスを工程順に示す概略断面図であり、(a)はAl系材料層上にレジストマスクが形成された状態を示し、(b)は配線パターンが形成されるとともに側壁保護膜が形成された状態を示し、(c)は後処理で側壁保護膜が除去された状態を示し、(d)はレジストマスクがアッシング除去された状態を示す。
【符号の説明】
1…SiO層間絶縁膜、2…Ti層、3…TiN層、4…バリヤメタル、5…Al−Si−Cu層、6…TiON反射防止膜、7…Al系材料層、7a…配線パターン、8…レジストマスク
[0001]
TECHNICAL FIELD OF THE INVENTION
The present invention relates to a dry etching method, and more particularly, to a dry etching method for forming a wiring pattern from an Al-based material layer formed on a SiO 2 interlayer insulating film.
[0002]
[Prior art]
As the degree of integration of semiconductor devices such as LSIs advances and the design rules thereof are miniaturized from sub-half micron to quarter micron, the wiring pattern width is also reduced. Conventionally, as a wiring material of a semiconductor device, Al or an Al-Si alloy obtained by adding 1 to 2% of Si to Al, and an Al-Cu alloy obtained by adding 0.5 to 1% of Cu as a measure against stress migration are used. Many system materials are used. In dry etching of the Al-based material layer, a chlorine-based gas is used, and a mixed gas of BCl 3 / Cl 2 disclosed in Japanese Patent Publication No. 59-22374 is a typical example. A chemical species that contributes as a main etching species in the dry etching of the Al-based material layer is a chlorine radical, which causes a spontaneous and extremely rapid etching reaction to proceed. However, since etching proceeds isotropically with chlorine radicals alone, the ion assist reaction usually proceeds under conditions where the incident ion energy is increased to some extent, and the decomposition products of the resist mask sputtered by the incident ions are formed on the side walls. High anisotropy is achieved by using it as a protective film. BCl 3 is a compound added to reduce a natural oxide film on the surface of the Al-based material layer, and also plays an important role of supplying BCl x + as incident ions.
[0003]
By the way, in a process of sputtering a resist mask using a somewhat large incident ion energy in order to secure the high anisotropy as described above, a decrease in resist selectivity inevitably becomes a problem. The selectivity is only about 2. Such low selectivity causes a dimensional conversion difference from a resist mask in the processing of a fine wiring pattern, or causes a deterioration of an anisotropic shape. On the other hand, under the design rules of highly miniaturized semiconductor devices, it is required to reduce the thickness of a resist coating film from the viewpoint of improving the resolution in photolithography. Therefore, it is becoming difficult to achieve both high resolution based on a thin resist coating and high-precision etching through a resist mask formed from the thin resist coating.
[0004]
In order to cope with such a problem, conventionally, means for depositing a reaction product on the surface of a resist mask have been proposed. For example, in the Proceedings of the 33rd Integrated Circuit Symposium (1987), P114 reports a process using SiCl 4 as an etching gas. This is to improve the etching resistance of the resist mask by coating the surface of the resist mask with Si. Also, Proceedings of the 11th Symposium on Dry Process , P45, II-2 (1989) has been reported process using BBr 3. This is intended to further enhance the etching resistance of the resist mask by coating the surface of the resist mask with CBr x having a low vapor pressure. For protection mechanisms, such as a resist mask according to this CBr x is, Monthly Semiconductor World, December 1990, are described in detail in P103~107 (press journal published by), a value of about 5 is reported as a resist selection ratio ing.
However, in order to obtain high resist selectivity, such as the numerical value of selectivity of approximately 5, must be a large accumulated amount of Si and CBr x, possibly deteriorating the particle level is large.
[0005]
Another problem specific to the etching of the Al-based material layer is after-corrosion due to residual chlorine. Particularly in recent years, disadvantageous conditions have been prepared from the viewpoint of preventing after-corrosion, such as addition of Cu to an Al-based material layer, or lamination of an Al-based material layer with a different material layer such as a barrier metal or an antireflection film. A more thorough countermeasure than ever has been desired. As countermeasures against after-corrosion, plasma cleaning using a fluorocarbon-based gas such as CF 4 or CHF 3 , removal of a resist mask and a side wall protective film by oxygen plasma ashing, combination of plasma cleaning with CH 3 gas and substrate washing are known. I have. All of these are intended to remove residual chlorine, either by replacing chlorine or bromine with fluorine to increase the vapor pressure of the reaction product, or by removing a resist mask or sidewall protective film containing a large amount of residual chlorine to the atmosphere. It is removed by ashing before opening, a chlorine compound is converted into an inert compound such as ammonium chloride, or at the same time, a coating of AlF 3 or Al 2 O 3 having high corrosion resistance is made of an Al-based material. By forming on the surface of the layer, after-corrosion is suppressed. However, the fact is that even if the above-mentioned countermeasures are taken, they do not provide a decisive effect. In view of such circumstances, it has been eager to establish after-corrosion-free dry etching of an Al-based material layer having a high resist selectivity by a clean process that does not cause an increase in particles.
[0006]
[Problems to be solved by the invention]
An object of the present invention is to improve a high resist selectivity and after-corrosion resistance, to provide a clean etching process that does not cause an increase in particles, and to achieve a high integration, high performance, and a high design that are designed based on fine design rules. An object of the present invention is to provide a dry etching method that can meet any requirements of reliability.
[0007]
[Means for Solving the Problems]
In order to solve the above-mentioned problem, in the dry etching method according to the first aspect of the present invention, the Al-based material layer formed on the substrate is formed by combining one of H 2 O and H 2 O 2 with a chlorine-based compound. An etching step of performing etching while forming an oxychloride of Al as a sidewall protective film with the etching gas having the etching gas.
[0008]
In the dry etching method of the invention of claim 2, an Al-based material layer formed on the substrate, an etching gas having as of one of H 2 O or H 2 O 2 and chlorine-based compounds, sidewall protection The film is characterized by comprising an etching step of etching while forming an oxychloride of Al, and a plasma treatment step of heating the substrate after the etching step and using a gas containing a fluorine-based compound.
[0009]
In the dry etching method according to the third aspect of the present invention, the substrate on which the Al-based material layer is formed is controlled to a room temperature or less,
An etching step of etching the Al-based material layer with an etching gas containing either H 2 O or H 2 O 2 and a sulfur-based compound that generates free sulfur in plasma under discharge dissociation conditions; It is characterized by having.
[0010]
In the dry etching method according to the fourth aspect of the present invention, the substrate on which the Al-based material layer is formed is controlled to a room temperature or lower,
The Al-based material layer, and either one of the one H 2 O or H 2 O 2, and an etching step of etching with an etching gas having a sulfur-based compounds which form free sulfur in a plasma discharge dissociation conditions ,
A plasma treatment step of heating the substrate after the etching step and using a gas containing a fluorine-based compound.
[0011]
In a preferred embodiment of the plasma processing step according to claims 2 and 4, the plasma density is 1 × 10 11 cm −3 or more and 1 × 10 14 cm −3 or less.
[0012]
The operation of the above means will be described below.
The method according to claim 1, further comprising the step of etching with an etching gas containing one of H 2 O and H 2 O 2 and a chlorine-based compound, wherein the wiring material layer made of Al or the like is oxychlorinated. The etching proceeds with the substance as a main reaction product. Oxychlorides, such as Al and Ti, which are generally used as wiring material layers, have a lower vapor pressure than pure chloride, and although reaction products are volatilized and removed at the bottom of the pattern subjected to vertical irradiation of ions, The oxides and oxychlorides of these metals are not volatilized in the side wall portions which are not subjected to the vertical irradiation, and anisotropic processing is performed by forming a side wall protective film. At the time of over-etching, in which the object to be etched is removed and the etchant becomes excessive, H atoms dissociated from H 2 O or H 2 O 2 trap chlorine radicals, prevent progress of side etching, and cause anisotropic processing patterns. It is possible to maintain the natural shape.
[0013]
Therefore, since the etching form of the Al-based material layer has many elements of the ion-assisted reaction from the conventional radical reaction-based one, the side wall protection of the carbon-based polymer which is a decomposition product of the resist as in the related art is performed. It is not necessary to deposit a thick film, and anisotropic processing of a fine pattern can be performed even under etching conditions in which incident ion energy is reduced, so that selectivity to a resist mask can be improved. Then, an etching mask that can sufficiently withstand practical use can be formed even from a thin photoresist coating film, and it is possible to prevent the occurrence of a difference in processing dimension conversion, and not to sacrifice high resolution in photolithography.
[0014]
In addition, since the amount of carbon-based polymer deposition required to achieve high anisotropy and high selectivity can be reduced, particle contamination can be reduced, and after etching in a form incorporated into the carbon-based polymer. Therefore, the residual chlorine existing on the side wall of the wiring pattern is also reduced, so that the after-corrosion resistance is also improved. Furthermore, since the reduction of the incident ion energy also contributes to the improvement of the underlayer selectivity, the sputtering of the interlayer insulating film underlying the Al-based material layer during over-etching is reduced, and is taken in by the reattachment to the wiring pattern side wall. The residual chlorine existing in the form of reduced amount is also reduced, and after-corrosion can be effectively suppressed.
[0015]
After the etching step of the Al-based material layer according to Claims 2 and 4, the method further includes a step of heating the substrate and performing a plasma processing step using a gas containing a fluorine-based compound, so that the after-corrosion countermeasures are more thoroughly implemented. The chlorine remaining in the vicinity of the wiring pattern after etching is replaced with fluorine, and the vapor pressure of the wiring pattern side wall protective material that binds or occludes the residual chlorine is increased by plasma radiant heat, direct heating of the substrate, etc. Easier to do. Therefore, even if moisture in the air is adsorbed on the substrate after etching, a local battery using residual chlorine as an electrolyte is less likely to be formed, and after-corrosion of the Al-based material layer can be almost completely suppressed. Further, by setting the plasma density in the plasma processing step to 1 × 10 11 cm −3 or more and 1 × 10 14 cm −3 or less, it is possible to set process conditions in which incident ion energy is suppressed without impairing the processing speed. Become.
[0016]
Liberating substrate Al based material layer is formed of claims 3 to control the room temperature or below, the Al-based material layer and one of those of H 2 O or H 2 O 2, the plasma discharge dissociation conditions The one having a step of etching with an etching gas having a sulfur-based compound that generates sulfur is one that further reduces pollution and improves after-corrosion resistance, and includes metal oxychloride, which is an etching reaction product, In addition to the carbon-based polymer, sulfur can be used for the sidewall protective film of the wiring pattern. Since sulfur is deposited on a substrate controlled at room temperature or lower, the incident ion energy required for anisotropic processing can be further reduced, and selectivity can be improved and damage can be reduced. In addition, since the amount of carbon-based polymer deposited can be further reduced, particle contamination and after-corrosion can be more effectively reduced. Further, sulfur deposited on the substrate is easily sublimated when the substrate is heated to about 90 ° C. or higher, and thus does not become a source of particle contamination.
[0017]
【Example】
Hereinafter, the order of steps of a specific example of the present invention will be described with reference to the schematic sectional view of FIG.
FIG. 3A shows a state in which a resist mask is formed on an Al-based material layer, and FIG. 3B shows a state in which a wiring pattern having an anisotropic shape is formed and a sidewall protective film is formed. FIG. 3C shows a state in which the sidewall protective film has been removed, and FIG. 4D shows a state in which the resist mask has been removed by ashing.
[0018]
Example 1
In this embodiment, an Al-based material layer in which an Al layer containing a barrier metal, 1% Si and 0.5% Cu, and an antireflection film are sequentially laminated is mixed with Cl 2 / BCl 3 / H 2 O. This is an example of dry etching using a gas. This will be described with reference to FIGS. 1 (a), 1 (b) and 1 (d).
[0019]
As shown in FIG. 1A, a barrier metal 4 having a Ti layer 2 having a thickness of about 0.03 μm and a TiN layer 3 having a thickness of about 0.07 μm on an SiO 2 interlayer insulating film 1, and 1% Si and An Al-based material layer 7 is formed in which an Al layer 5 containing 0.5% Cu and having a thickness of about 0.4 μm and a TiON antireflection film 6 having a thickness of about 0.1 μm are sequentially laminated. Further, a wafer as a substrate having a resist mask 8 formed on the Al-based material layer 7 by a photolithography process was prepared. Then, the wafer was set in an RF bias applying type magnetic field microwave plasma etching apparatus, and the Al-based material layer 7 was dry-etched under the following conditions.
[0020]
Gas flow rate Cl 2 / BCl 3 / H 2 O = 80/40/30 sccm
Pressure 2Pa
Microwave power 900W (2.45GHz)
RF bias 40W (2MHz)
Wafer temperature Normal temperature The etching rate of the Al-based material layer 7 was approximately 1 μm / min, and the selectivity with respect to the resist was approximately 4. Since H 2 O is a liquid source, it was supplied to the etching chamber in a state of being vaporized by means such as heating evaporation or He gas bubbling.
[0021]
In the etching process under the above conditions, the radical reaction mainly using chlorine radicals dissociated and generated from Cl 2 and BCl 3 by ECR discharge is assisted by ions such as Cl x + , BCl x + , and O +. , The Al-based material layer 7 is mainly removed as a product such as AlCl x , AlOCl x , TiCl x , and TiOCl x . At the same time, a carbon-based polymer is generated from the decomposition product of the resist mask 8, and the amount of the carbon-based polymer is not as large as that of the conventional process. By forming the side wall protective film 9 as shown in (b), it contributes to anisotropic processing. Thus, the wiring pattern 7a having a favorable anisotropic shape could be formed.
[0022]
Further, since the incident ion energy required for anisotropic processing is reduced and the etching process can be performed with a smaller RF bias power than before, the SiO 2 interlayer insulating film 1 is sputtered and the side wall of the wiring pattern 7a is formed. No phenomenon of reattachment was observed. Therefore, the amount of chlorine remaining on the side wall of the wiring pattern 7a after the etching was greatly reduced, and as a result, the occurrence of after-corrosion was suppressed. After the etching was completed, the wafer was subjected to O 2 plasma ashing in a plasma ashing apparatus under ordinary conditions. As a result, as shown in FIG. 1D, the resist mask 8 and the side wall protective film 9 were removed by burning. Since the amount of the carbon-based polymer generated in the process of the present example was small, the particle level was low even in the wafer obtained after performing the processing a considerable number of times.
[0023]
Example 2
This embodiment is an example in which the Al-based material layer 7 is dry-etched while cooling the wafer at a low temperature using an S 2 Cl 2 / H 2 O mixed gas. This will be described with reference to FIGS. 1 (a), 1 (b) and 1 (d).
[0024]
As in the first embodiment, as shown in FIG. 1A, a barrier metal 4 having a Ti layer 2 having a thickness of about 0.03 μm and a TiN layer 3 having a thickness of about 0.07 μm on an SiO 2 interlayer insulating film 1. An Al-based layer in which an Al layer 5 containing 1% Si and 0.5% Cu and having a thickness of about 0.4 μm, and a TiON antireflection film 6 having a thickness of about 0.1 μm are sequentially laminated. A wafer was prepared, on which the material layer 7 was formed, and on which the resist mask 8 was formed on the Al-based material layer 7 by a photolithography process. Then, the wafer was set in an RF bias applying type magnetic field microwave plasma etching apparatus, and the Al-based material layer 7 was dry-etched under the following conditions.
[0025]
Gas flow rate S 2 Cl 2 / H 2 O = 90/20 sccm
Pressure 2Pa
Microwave power 900W (2.45GHz)
RF bias 20W (13.56MHz)
Wafer temperature 0 ℃
The cooling of the wafer was performed by supplying and circulating an ethanol-based refrigerant from a chiller provided outside the apparatus to a cooling pipe embedded in the wafer mounting electrode. Since H 2 O is a liquid source, it was supplied to the etching chamber in a state of being vaporized by means such as heating evaporation or He gas bubbling.
[0026]
In the etching process under the above conditions, sulfur generated by dissociation from S 2 Cl 2 as well as oxychloride and carbon-based polymer of Al and Ti also contribute as a component of the sidewall protective film 9. Therefore, the wiring pattern 7a having a good anisotropic shape as shown in FIG. 1B was able to be formed even under the condition where the RF bias power was further reduced from the case shown in the first embodiment. The etching rate of the Al-based material layer 7 in this embodiment was 900 nm / min, which was lower than that in the case of Embodiment 1 due to the cooling of the wafer and the increase of the deposits, but the resist selectivity was improved to approximately 6. . As a result, almost no decrease in the thickness of the resist mask 8 and no retreat of the edge were observed. In addition, the amount of carbon-based polymer generated can be further reduced by an amount that can be expected to deposit sulfur, and the underlayer selectivity is improved by further lowering the bias, and the sputter re-deposition of the SiO 2 interlayer insulating film 1 is suppressed. As a result, the after-corrosion resistance has been greatly improved. After the etching was completed, the wafer was subjected to O 2 plasma ashing in a plasma ashing apparatus under ordinary conditions. As a result, as shown in FIG. 1D, the resist mask 8 and the side wall protective film 9 were promptly removed. The sidewall protective film 9 contains a carbon-based polymer and sulfur. The sulfur is removed by sublimation by plasma radiation heat or reaction heat, and is also removed by a combustion reaction by oxygen radicals, leaving any particle contamination on the wafer. I never did.
[0027]
Example 3
In the present embodiment, after the Al-based material layer 7 is dry-etched while cooling the wafer at a low temperature by using a mixed gas of S 2 Cl 2 / H 2 O 2, a reaction product attached to the side wall of the wiring pattern 7 a is removed. This is an example in which the residual chlorine is replaced with fluorine by a plasma post-treatment using a CF 4 / O 2 mixed gas. This will be described with reference to FIGS. 1 (a), (b), (c) and (d).
[0028]
As in the first embodiment, as shown in FIG. 1A, a barrier metal 4 having a Ti layer 2 having a thickness of about 0.03 μm and a TiN layer 3 having a thickness of about 0.07 μm on an SiO 2 interlayer insulating film 1. An Al-based layer in which an Al layer 5 containing 1% Si and 0.5% Cu and having a thickness of about 0.4 μm, and a TiON antireflection film 6 having a thickness of about 0.1 μm are sequentially laminated. A wafer was prepared, on which the material layer 7 was formed, and on which the resist mask 8 was formed on the Al-based material layer 7 by a photolithography process. Then, the wafer was set in an RF bias application type magnetic field microwave plasma etching apparatus, and the Al-based material layer 7 was dry-etched under the following conditions.
[0029]
Gas flow rate S 2 Cl 2 / H 2 O 2 = 90/20 sccm
Pressure 2Pa
Microwave power 900W (2.45GHz)
RF bias 15W (2MHz)
Wafer temperature 0 ℃
The cooling of the wafer was performed by supplying and circulating an ethanol-based refrigerant from a chiller provided outside the apparatus to a cooling pipe embedded in the wafer mounting electrode. Since H 2 O 2 is a liquid source, it was supplied to the etching chamber in a state of being vaporized by means such as heating evaporation or He gas bubbling.
[0030]
Subsequently, the wafer was transferred to a post-processing chamber, and plasma post-processing was performed under the following conditions.
[0031]
Gas flow rate CF 4 / O 2 = 100/50 sccm
Pressure 10Pa
Microwave power 900W (2.45GHz)
RF bias 0W (2MHz)
Wafer temperature 100 ℃
[0032]
By this plasma post-treatment, the side wall protective film 9 was promptly removed as shown in FIG. The mechanism of this removal is, for carbon-based polymers, combustion by oxygen radicals, increase in vapor pressure by fluorine substitution, and the like, and for sulfur-based compounds, sublimation by wafer heating, combustion by oxygen radicals, and the like. Incidentally, chlorine remaining after being occluded or bonded to the resist mask 8 by the plasma post-treatment was also replaced by fluorine.
[0033]
Subsequently, the wafer was subjected to O 2 plasma ashing in a plasma ashing apparatus under ordinary conditions. As a result, as shown in FIG. 1D, a wiring pattern 7a having a good anisotropic shape without an ashing residue could be formed. Then, even after the wafer on which the wiring pattern 7a was formed was opened to the atmosphere for 72 hours, no occurrence of after-corrosion was observed.
[0034]
As described above, specific examples of the present invention have been described in Examples 1, 2 and 3, but the present invention is not limited thereto, and the gist of the invention, such as the film type and structure of an object to be etched, an etching apparatus, and etching conditions, is described. It can be appropriately selected without departing from the scope.
For example, in addition to the ECR plasma etching apparatus used in this embodiment, a high-density plasma etching apparatus such as an ICP, a TCP, a helicon wave plasma etching apparatus, or various etching apparatuses such as a parallel plate RIE apparatus may be used. Application is also possible. Further, as a gas used for the plasma post-treatment, a NF 3 / O 2 mixed gas, an SF 6 / O 2 mixed gas, or the like can be used in addition to the CF 4 / O 2 mixed gas. Further, a rare gas such as Ar or He which can be expected to have a sputtering effect, a dilution effect, a cooling effect and the like may be appropriately added to the etching gas used in the present invention.
[0035]
【The invention's effect】
According to the present invention, since the etching form is changed from a conventional radical reaction to an ion assist reaction, it is necessary to deposit a carbon-based polymer, which is a decomposition product of a resist, thickly as a sidewall protective film as in the related art. Therefore, anisotropic processing of a fine wiring pattern can be performed even under etching conditions in which incident ion energy is reduced, and selectivity to a resist mask and a base can be improved. In addition, the amount of carbon-based polymer deposited necessary to achieve high anisotropy and high selectivity can be reduced, so that particle contamination can be reduced as compared with the prior art. Further, the amount of chlorine remaining on the side wall of the wiring pattern after the etching is reduced by being incorporated into the carbon-based polymer and the reattachment to the underlayer, so that the after-corrosion resistance is greatly improved. Therefore, it is possible to provide a dry etching method which is designed based on a fine design rule and can respond to any demands of high integration, high performance and high reliability.
[Brief description of the drawings]
FIGS. 1A and 1B are schematic cross-sectional views showing a process of the present invention in the order of steps, in which FIG. 1A shows a state in which a resist mask is formed on an Al-based material layer, and FIG. (C) shows a state in which the sidewall protective film has been removed by post-processing, and (d) shows a state in which the resist mask has been removed by ashing.
[Explanation of symbols]
1 ... SiO 2 interlayer insulating film, 2 ... Ti layer, 3 ... TiN layer, 4 ... barrier metal, 5 ... Al-Si-Cu layer, 6 ... TiON antireflection film, 7 ... Al based material layer, 7a ... wiring pattern, 8. Resist mask

Claims (5)

基板上に形成されたAl系材料層を、
2OまたはH22の何れか一方のものと、塩素系化合物とを有するエッチングガスで、側壁保護膜として、Alのオキシ塩化物を形成しつつエッチングする、エッチング工程を有する
ことを特徴とするドライエッチング方法。
Al-based material layer formed on the substrate,
An etching step of performing etching while forming an Al oxychloride as a sidewall protective film with an etching gas containing either H 2 O or H 2 O 2 and a chlorine-based compound. Dry etching method.
基板上に形成されたAl系材料層を、
2OまたはH22の何れか一方のものと、塩素系化合物とを有するエッチングガスで、側壁保護膜として、Alのオキシ塩化物を形成しつつエッチングするエッチング工程と、
前記エッチング工程後に、
前記基板を加熱するとともに、フッ素系化合物を含有するガスを用いたプラズマ処理工程とを有する
ことを特徴とするドライエッチング方法。
Al-based material layer formed on the substrate,
An etching step of performing etching while forming an oxychloride of Al as a sidewall protective film with an etching gas containing one of H 2 O or H 2 O 2 and a chlorine-based compound;
After the etching step,
A plasma processing step of heating the substrate and using a gas containing a fluorine-based compound.
Al系材料層が形成された基板を室温以下に制御し、
前記Al系材料層を、
OまたはHの何れか一方のものと、放電解離条件下でプラズマ中に遊離の硫黄を生成する硫黄系化合物とを有するエッチングガスでエッチングする、エッチング工程を有すること
を特徴とするドライエッチング方法。
Controlling the substrate on which the Al-based material layer is formed to a room temperature or lower,
The Al-based material layer,
An etching step of etching with an etching gas containing either H 2 O or H 2 O 2 and a sulfur-based compound that generates free sulfur in plasma under discharge dissociation conditions. Dry etching method.
Al系材料層が形成された基板を室温以下に制御し、
前記Al系材料層を、
OまたはHの何れか一方のものと、放電解離条件下でプラズマ中に遊離の硫黄を生成する硫黄系化合物とを有するエッチングガスでエッチングするエッチング工程と、
前記エッチング工程後に、
前記基板を加熱するとともに、フッ素系化合物を含有するガスを用いたプラズマ処理工程とを有すること
を特徴とするドライエッチング方法。
Controlling the substrate on which the Al-based material layer is formed to a room temperature or lower,
The Al-based material layer,
An etching step of etching with an etching gas containing either H 2 O or H 2 O 2 and a sulfur-based compound that generates free sulfur in plasma under discharge dissociation conditions;
After the etching step,
A plasma processing step of heating the substrate and using a gas containing a fluorine-based compound.
前記プラズマ処理工程におけるプラズマ密度が1×1011cm−3以上1×1014cm−3以下であること
を特徴とする請求項2または4に記載のドライエッチング方法。
The dry etching method according to claim 2, wherein a plasma density in the plasma processing step is 1 × 10 11 cm −3 or more and 1 × 10 14 cm −3 or less.
JP19357496A 1996-07-23 1996-07-23 Dry etching method Expired - Fee Related JP3570098B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP19357496A JP3570098B2 (en) 1996-07-23 1996-07-23 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP19357496A JP3570098B2 (en) 1996-07-23 1996-07-23 Dry etching method

Publications (2)

Publication Number Publication Date
JPH1041308A JPH1041308A (en) 1998-02-13
JP3570098B2 true JP3570098B2 (en) 2004-09-29

Family

ID=16310277

Family Applications (1)

Application Number Title Priority Date Filing Date
JP19357496A Expired - Fee Related JP3570098B2 (en) 1996-07-23 1996-07-23 Dry etching method

Country Status (1)

Country Link
JP (1) JP3570098B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4780264B2 (en) * 2001-05-16 2011-09-28 信越化学工業株式会社 Method for forming chromium-based photomask
TWI365491B (en) 2003-12-24 2012-06-01 Kao Corp Composition for cleaning semiconductor device
US9425388B2 (en) 2013-09-12 2016-08-23 Kabushiki Kaisha Toshiba Magnetic element and method of manufacturing the same
US8956882B1 (en) 2013-09-12 2015-02-17 Kazuhiro Tomioka Method of manufacturing magnetoresistive element
JP6245118B2 (en) * 2013-09-27 2017-12-13 豊田合成株式会社 Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
JPH1041308A (en) 1998-02-13

Similar Documents

Publication Publication Date Title
JP4690512B2 (en) Method for reducing polymer deposition on etched vertical metal lines, corrosion of etched metal lines and corrosion during wet cleaning of etched metal features
JP3371143B2 (en) Dry etching method
US5378653A (en) Method of forming aluminum based pattern
JP3191407B2 (en) Wiring formation method
JPH0786244A (en) Dry etching method
JP3570098B2 (en) Dry etching method
US5277757A (en) Dry etching method
JPH08130206A (en) Plasma etching method of al based metal layer
JP3750231B2 (en) Method for forming multilayer wiring
JP3326868B2 (en) Method of forming aluminum-based pattern
JP3353517B2 (en) Plasma etching method for Al-based metal layer
JP3336769B2 (en) Patterning method for Al-based metal wiring
JP3746314B2 (en) Method for forming Al-based metal wiring
JP3198599B2 (en) Method of forming aluminum-based pattern
JPH07263425A (en) Dry etching of laminated wiring
JP3166242B2 (en) Dry etching method
JP3200949B2 (en) Dry etching method
JPH07263426A (en) Dry etching of laminated wiring
JPH05291204A (en) Formation method of aluminum-based pattern
JPH05182937A (en) Dry-etching method
JP3353490B2 (en) Patterning method for laminated wiring
JPH05102099A (en) Dry etching method
JP3211312B2 (en) Dry etching method
JP3353443B2 (en) Dry etching method for laminated wiring
JPH04330724A (en) Formation method of wiring

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040225

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040302

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20040428

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20040601

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20040614

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080702

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090702

Year of fee payment: 5

LAPS Cancellation because of no payment of annual fees