JP3211312B2 - Dry etching method - Google Patents

Dry etching method

Info

Publication number
JP3211312B2
JP3211312B2 JP32984191A JP32984191A JP3211312B2 JP 3211312 B2 JP3211312 B2 JP 3211312B2 JP 32984191 A JP32984191 A JP 32984191A JP 32984191 A JP32984191 A JP 32984191A JP 3211312 B2 JP3211312 B2 JP 3211312B2
Authority
JP
Japan
Prior art keywords
etching
material layer
based material
plasma
shutter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP32984191A
Other languages
Japanese (ja)
Other versions
JPH05144783A (en
Inventor
哲治 長山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
Original Assignee
Sony Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp filed Critical Sony Corp
Priority to JP32984191A priority Critical patent/JP3211312B2/en
Publication of JPH05144783A publication Critical patent/JPH05144783A/en
Application granted granted Critical
Publication of JP3211312B2 publication Critical patent/JP3211312B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Plasma Technology (AREA)
  • ing And Chemical Polishing (AREA)
  • Drying Of Semiconductors (AREA)

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【産業上の利用分野】本発明は半導体装置の製造等に適
用されるドライエッチング方法に関し、特にアフターコ
ロージョンを効果的に防止しながら高精度にアルミニウ
ム(Al)系材料層のエッチングを行う方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method applied to the manufacture of semiconductor devices and the like, and more particularly to a method of etching an aluminum (Al) -based material layer with high accuracy while effectively preventing after-corrosion. .

【0002】[0002]

【従来の技術】半導体装置の電極配線材料としては、ア
ルミニウム(Al)、あるいはこれに1〜2%のシリコ
ン(Si)を添加したAl−Si合金、さらにストレス
・マイグレーション対策として0.5〜1%の銅(C
u)を添加したAl−Si−Cu合金等のAl系材料が
広く使用されている。
2. Description of the Related Art As an electrode wiring material of a semiconductor device, aluminum (Al) or an Al-Si alloy to which 1-2% silicon (Si) is added, and 0.5 to 1 as a measure against stress migration. % Copper (C
Al-based materials such as an Al-Si-Cu alloy to which u) is added are widely used.

【0003】ところで、Al系材料層の加工において
は、従来からアフターコロージョンと対レジスト選択比
の低下が大きな問題となっている。アフターコロージョ
ンの発生メカニズムについては、たとえば月刊セミコン
ダクターワールド1989年4月号p.101〜106
(プレスジャーナル社刊)に詳述されているが、要約す
れば以下のとおりである。
[0003] In the processing of an Al-based material layer, after-corrosion and a decrease in the selectivity with respect to the resist have conventionally been a major problem. The mechanism of occurrence of after-corrosion is described in, for example, Monthly Semiconductor World, April 1989, p. 101-106
(Published by the Press Journal), which is summarized below.

【0004】Al系材料層のドライエッチングは、一般
に塩素系ガスを用いて行われている。たとえば、特公昭
59−22374号公報に開示されるBCl3 /Cl2
混合ガスはその代表例である。この結果、エッチング後
のパターン近傍には反応生成物であるAlClx やエッ
チング・ガスの分解生成物等が必然的に残留する。これ
らは、ウェハの表面に吸着するのみならず、レジスト・
マスクの内部にも吸蔵される。これら塩素系の反応生成
物やエッチング・ガスの分解生成物が空気中の水分を吸
収して電解質の液滴を形成すると、この液滴中にAlが
溶出して腐食が発生するわけである。さらに、レジスト
・マスクと塩素系活性種との反応により形成される炭素
系ポリマーCClx は側壁保護膜として異方性の確保に
重要な役割を果たしているが、この炭素系ポリマー中の
Clも有害な残留塩素となってしまう。
[0004] Dry etching of an Al-based material layer is generally performed using a chlorine-based gas. For example, BCl 3 / Cl 2 disclosed in JP-B-59-22374 is disclosed.
A mixed gas is a typical example. As a result, AlCl x as a reaction product, a decomposition product of an etching gas, and the like inevitably remain near the etched pattern. These not only adsorb to the wafer surface, but also resist and
It is also absorbed inside the mask. When these chlorine-based reaction products and decomposition products of the etching gas absorb water in the air to form electrolyte droplets, Al is eluted in the droplets and corrosion occurs. Furthermore, the carbon-based polymer CCl x formed by the reaction between the resist mask and the chlorine-based active species plays an important role in ensuring anisotropy as a sidewall protective film, but Cl in this carbon-based polymer is also harmful. Will result in residual chlorine.

【0005】上記アフターコロージョンは、Al系配線
材料にCuが添加されるようになって以来、一層深刻化
している。それは、エッチング反応生成物であるCuC
lがその低い蒸気圧のためにエッチング後もパターン近
傍に残留し、ここに水分が供給されるとCl- を電解質
とし、AlとCuとを両極とする局部電池が形成されて
しまうからである。
[0005] The after-corrosion has become more serious since Cu has been added to Al-based wiring materials. It is the etching reaction product CuC
This is because l remains in the vicinity of the pattern even after etching due to its low vapor pressure, and when moisture is supplied thereto, a local battery having Cl as an electrolyte and Al and Cu as both electrodes is formed. .

【0006】また、近年のようなデザイン・ルールの微
細化に伴ってAl系材料層が単独で配線材料層として使
用されることが少なくなっていることも、アフターコロ
ージョン防止の観点からは不利な要因である。たとえ
ば、Al系材料層とシリコン基板との間にはバリヤメタ
ルが、またAl系材料層の表面にはフォトリソグラフィ
の精度を向上させるための反射防止膜が積層されるよう
になっている。これらの場合、エッチング断面に異種材
料層が接触した状態で露出するため、局部電池効果によ
りAlの溶出が促進され易いのである。さらに、異種材
料層の界面のミクロな隙間も、塩素や塩素化合物が残留
する場となってしまう。
In addition, the use of an Al-based material layer alone as a wiring material layer with the recent miniaturization of design rules is also disadvantageous from the viewpoint of preventing after-corrosion. Is a factor. For example, a barrier metal is laminated between the Al-based material layer and the silicon substrate, and an antireflection film for improving the accuracy of photolithography is laminated on the surface of the Al-based material layer. In these cases, since the dissimilar material layer is exposed in a state of being in contact with the etched cross section, the elution of Al is easily promoted by the local battery effect. Further, micro gaps at the interface between the different material layers are also places where chlorine and chlorine compounds remain.

【0007】上述のアフターコロージョンの発生メカニ
ズムは、程度の差こそあれ、残留臭素についてもほぼ同
様である。そこで、本明細書中では特に断らない限り、
フッ素を除外し、塩素と臭素とを特にハロゲンと総称す
ることとする。アフターコロージョン対策としては、フ
ッ素系ガスを用いるプラズマ・クリーニングや水洗処理
により残留ハロゲンを除去すること、あるいはパターニ
ング後のAl系材料層を直ちにポリマーで被覆する、い
わゆるポリマー・パッシベーション等が検討されている
が、いずれも決め手となる方策とはなっていない。
[0007] The above-mentioned after-corrosion generation mechanism is almost the same for residual bromine to some extent. Therefore, unless otherwise specified in this specification,
Excluding fluorine, chlorine and bromine are collectively referred to as halogen. As countermeasures against after-corrosion, removal of residual halogen by plasma cleaning or rinsing using a fluorine-based gas, or so-called polymer passivation, in which an Al-based material layer after patterning is immediately coated with a polymer, are being studied. However, none of these are decisive measures.

【0008】一方の対レジスト選択性の低下は、Al系
材料層のエッチングの本質に係わる問題である。Al系
材料層は、たとえばCl2 ガスを流すだけでも自発的に
等方性エッチングが進行してしまうほど、塩素系ガスに
対する反応性が高い。そこで、異方性加工を行うために
は、必然的に入射イオン・エネルギーを高めざるを得
ず、これにより対レジスト選択性が低下するのである。
On the other hand, a decrease in selectivity to resist is a problem related to the essence of etching of the Al-based material layer. The reactivity of the Al-based material layer with respect to the chlorine-based gas is so high that the isotropic etching proceeds spontaneously only by flowing the Cl 2 gas, for example. Therefore, in order to perform anisotropic processing, it is inevitable to increase the incident ion energy, thereby decreasing the selectivity to resist.

【0009】対レジスト選択性を向上させる対策とし
て、従来からレジスト・マスクの表面に反応生成物を堆
積させる方法が提案されている。たとえば、第33回集
積回路シンポジウム講演予稿集(1987年),p.1
14にはエッチング・ガスとしてSiCl4 を用いるプ
ロセスが、またProceedings of the
11th Symposium on Dry Pro
cess,p.45(1989)にはBBr3 を用いる
プロセスがそれぞれ報告されている。しかし、これらの
方法は対レジスト選択性を向上させる一方で、パーティ
クル・レベルやレジスト剥離性を低下させるという問題
を生ずる。
As a countermeasure for improving the selectivity with respect to resist, a method of depositing a reaction product on the surface of a resist mask has been conventionally proposed. For example, see the 33rd Integrated Circuit Symposium Proceedings (1987), p. 1
14 is a process using SiCl 4 as an etching gas, and Processes of the
11th Symposium on Dry Pro
cess, p. 45 (1989) each report a process using BBr 3 . However, these methods have the problem of reducing the particle level and resist strippability while improving the selectivity to resist.

【0010】かかる実情に鑑みて本願出願人は、従来の
CClx の代わりに残留塩素源とならないイオウ(S)
を側壁保護物質とすることによりアフターコロージョン
を根本的に解決する方法を、先に特願平3−21051
6号明細書において提案している。この場合のエッチン
グ・ガスとしては、S/X比〔分子中のS原子数とハロ
ゲン(X)原子数の比〕の高いハロゲン化イオウを主体
とするガスを使用する。たとえば、上記ハロゲン化イオ
ウとしてS2 Cl2 を使用し、ウェハの温度をおおよそ
室温以下に制御しながらエッチングを行った場合、放電
解離条件下でプラズマ中に生成したCl* はAl系材料
層のエッチング種として寄与する。一方、同じくS2
2 から生成した遊離のSは、イオンの垂直入射が原理
的に起こらないパターン側壁部に堆積し、側壁保護膜を
形成する。この側壁保護膜は、塩素を構成元素として含
まないため、アフターコロージョンを促進する要因とは
ならない。また、気相中から堆積物を生成させるので、
異方性加工に必要な入射イオン・エネルギーを低減させ
ることができ、選択性の向上に有利である。しかも、堆
積したSはエッチング終了後にウェハをおおよそ90〜
150℃程度に加熱すれば容易に昇華除去できるので、
何らパーティクル汚染を発生させる懸念がないのであ
る。
In view of such circumstances, the applicant of the present application has proposed that sulfur (S) which does not become a residual chlorine source instead of conventional CCl x.
A method for fundamentally solving the after-corrosion by using as a side wall protective material is disclosed in Japanese Patent Application No. Hei 3-21051.
No. 6 proposes this. As the etching gas in this case, a gas mainly containing sulfur halide having a high S / X ratio [ratio of the number of S atoms in the molecule to the number of halogen (X) atoms] is used. For example, when S 2 Cl 2 is used as the halogenated sulfur and the etching is performed while controlling the temperature of the wafer to approximately room temperature or less, Cl * generated in the plasma under the discharge dissociation condition is an Al-based material layer. It contributes as an etching species. On the other hand, S 2 C
The free S generated from l 2 is deposited on the side wall of the pattern where the normal incidence of ions does not occur in principle, and forms a side wall protective film. Since this sidewall protective film does not contain chlorine as a constituent element, it does not become a factor promoting after-corrosion. In addition, since deposits are generated from the gas phase,
The incident ion energy required for anisotropic processing can be reduced, which is advantageous for improving selectivity. Moreover, the deposited S causes the wafer to reach approximately 90 to
Sublimation can be easily removed by heating to about 150 ° C.
There is no concern about particle contamination.

【0011】[0011]

【発明が解決しようとする課題】Sによる側壁保護は、
上述のように数多くのメリットを有する画期的な技術で
ある。しかし、今後さらにウェハの表面段差が増大し、
これに伴ってAl系材料層の大幅なオーバーエッチング
が行われるようになると、オーバーエッチング時の異方
性形状の劣化と対レジスト選択性の低下が深刻化するこ
とが予想される。
The side wall protection by S is as follows.
This is an epoch-making technology having many advantages as described above. However, the surface level of the wafer will increase further in the future,
If significant over-etching of the Al-based material layer is performed along with this, it is expected that the deterioration of the anisotropic shape at the time of over-etching and the decrease in selectivity to resist become serious.

【0012】これは、Al系材料層のエッチングが一般
にSiO2 等からなる絶縁膜を下地として行われること
と関連している。すなわち、オーバーエッチング時に露
出した絶縁膜の表面がイオンにスパッタされてO(酸
素)が放出されると、せっかく形成された側壁保護膜が
SOx 等の形で除去され、側壁保護効果が低下する虞れ
があるからである。折しも、オーバーエッチング時には
ハロゲン・ラジカルが相対的に過剰となっており、かか
る側壁保護効果の低下はAl系材料パターンの異方性形
状の劣化をひき起こす。また、絶縁膜から放出されたO
は、レジスト・マスクをCOx 等の形で除去する原因と
もなり、対レジスト選択性を劣化させる。
This is related to the fact that the etching of the Al-based material layer is generally performed using an insulating film made of SiO 2 or the like as a base. That is, when the surface of the insulating film exposed at the time of over-etching is sputtered ion O (oxygen) is released, the side wall protective film which is much trouble formed is removed in the form of such SO x, sidewall protection effect is reduced This is because there is a fear. Also, when over-etching, halogen radicals are relatively excessive during over-etching, and such a decrease in the side wall protection effect causes deterioration of the anisotropic shape of the Al-based material pattern. Also, the O released from the insulating film
May also cause the resist mask to be removed in the form of COx or the like, degrading resist selectivity.

【0013】この点に鑑みて、本願出願人はH2 ,H2
S,シラン系化合物等のように過剰なハロゲン・ラジカ
ルを捕捉できる化合物をエッチング・ガスに添加する技
術も提案している。しかし、今後、Sの堆積を利用する
プロセスの実用化を推進する上では、できる限り多くの
選択肢の中からエッチングの内容に応じて最適なプロセ
スを模索する方針で研究を進める必要がある。
In view of this point, the applicant of the present application has proposed H 2 , H 2
There is also proposed a technique of adding a compound capable of trapping an excessive halogen / radical, such as S or a silane-based compound, to an etching gas. However, in order to promote the practical use of the process utilizing S deposition in the future, it is necessary to conduct research with a policy of searching for an optimal process according to the content of etching from as many options as possible.

【0014】そこで本発明は、S/X比の制御技術とし
てエッチング・ガスの組成変更とは異なるアプローチを
提供し、高精度なAl系材料層のエッチングを可能とす
る方法を提供することを目的とする。
SUMMARY OF THE INVENTION Accordingly, an object of the present invention is to provide a method for controlling the S / X ratio, which is different from the method of changing the composition of the etching gas, and to provide a method which enables highly accurate etching of the Al-based material layer. And

【0015】[0015]

【課題を解決するための手段】上述の目的を達成するた
めに提案される本発明は、内壁部の少なくとも一部がイ
オウ系材料層により被覆された処理チャンバと、上記イ
オウ系材料層とプラズマとの接触面積を可変するシャッ
タ部材とを備えるプラズマ装置を用いてアルミニウム系
材料層のエッチングを行うドライエッチング方法におい
て、上記シャッタ部材を操作して上記イオウ系材料層と
上記プラズマとの接触面積を調整し、次いで、上記プラ
ズマ装置に塩素系化合物または臭素系化合物の少なくと
も一方を含むエッチング・ガスを導入し、上記イオウ系
材料層から上記調整された接触面積に応じて供給される
イオウおよび/またはイオウ系材料を被エッチング基板
の表面に堆積させながらアルミニウム系材料層のエッチ
ングを行うようにしたものである。
SUMMARY OF THE INVENTION To achieve the above object, the present invention proposes a processing chamber in which at least a part of an inner wall is covered with a sulfur-based material layer; In a dry etching method for etching an aluminum-based material layer using a plasma device having a shutter member that varies a contact area with the plasma, the contact area between the sulfur-based material layer and the plasma is controlled by operating the shutter member. Adjusting, and then introducing an etching gas containing at least one of a chlorine-based compound and a bromine-based compound into the plasma apparatus, and supplying sulfur and / or from the sulfur-based material layer according to the adjusted contact area. Etching of aluminum-based material layer while depositing sulfur-based material on the surface of substrate to be etched Those were.

【0016】[0016]

【作用】本発明者は、エッチング・ガスの組成を変更す
ることなくS/X比を制御するためには、プラズマ装置
の構成および使用方法を工夫することが不可欠であると
の認識に立って検討を進めた。本発明におけるプラズマ
装置の構成上の工夫とは、処理チャンバの内壁面の少な
くとも一部にS系材料層を配し、このS系材料層とプラ
ズマとの接触面積を可変とするためのシャッタ部材を設
けることである。また、その使用方法上の工夫とは、上
記シャッタ部材を操作することにより所望のプロセスに
最適なS/X比を設定し、さらに必要に応じてプロセス
途中でS/X比を変化させることである。
The present inventor has recognized that in order to control the S / X ratio without changing the composition of the etching gas, it is indispensable to devise the structure and use of the plasma apparatus. Examination proceeded. The configuration of the plasma apparatus according to the present invention includes a shutter member for arranging an S-based material layer on at least a part of the inner wall surface of the processing chamber and changing a contact area between the S-based material layer and the plasma. Is provided. In addition, the idea of the method of use is to set an S / X ratio optimal for a desired process by operating the shutter member, and to change the S / X ratio during the process as needed. is there.

【0017】すなわち、シャッタ部材の操作によりS系
材料層とプラズマとの接触面積を大とした場合には、こ
のS系材料層からのSの供給量が増大し、エッチング反
応系の見掛け上のS/X比が上昇する。なお、厳密に
は、S系材料層の種類によっては単体のSの他、Sを構
成元素として含む化合物がそのままの形あるいはフラグ
メントの形でスパッタされてくる可能性もあるが、以下
の明細書中では説明を簡略化するために、単体のSのみ
を取り扱うことにする。逆に上記接触面積を小とした場
合には、Sの供給量が減少し、S/X比が低下する。
That is, when the contact area between the S-based material layer and the plasma is increased by operating the shutter member, the supply amount of S from the S-based material layer increases, and the apparent appearance of the etching reaction system is increased. The S / X ratio increases. Strictly speaking, depending on the type of the S-based material layer, there is a possibility that a compound containing S as a constituent element may be sputtered as it is or in the form of a fragment in addition to S alone, In order to simplify the description, only a single S will be handled. Conversely, when the contact area is reduced, the supply amount of S decreases, and the S / X ratio decreases.

【0018】この方法によれば、シャッタ部材の機械的
な操作を行うのみでS/X比を容易に変化させることが
でき、ウェハ温度やエッチング・ガスの組成比等の他の
パラメータを変更する必要がない。したがって、放電状
態の安定化に要する時間を短縮してスループットを改善
し、かつプロセスの再現性を高めることができる。ま
た、高異方性,高選択性を達成するためにウェハ上へ堆
積させるSをS系材料層から供給させるため、エッチン
グ・ガスの主成分となる化合物が、必ずしも分子内に放
出可能なS原子を構成元素として有している必要がな
い。したがって、たとえばCl2 等の安定で汎用性が高
く、安価な化合物を使用することができる。
According to this method, the S / X ratio can be easily changed only by mechanically operating the shutter member, and other parameters such as the wafer temperature and the composition ratio of the etching gas are changed. No need. Therefore, the time required for stabilizing the discharge state can be shortened, the throughput can be improved, and the reproducibility of the process can be improved. Further, since S deposited on the wafer is supplied from the S-based material layer in order to achieve high anisotropy and high selectivity, the compound serving as the main component of the etching gas is not necessarily released into the molecule. It is not necessary to have atoms as constituent elements. Therefore, a stable, highly versatile and inexpensive compound such as Cl 2 can be used.

【0019】[0019]

【実施例】以下、本発明の具体的な実施例について説明
する。
DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, specific embodiments of the present invention will be described.

【0020】実施例1 本実施例は、本発明をCl2 を用いたAl系多層膜のエ
ッチングに適用し、ジャスト・エッチング時とオーバー
エッチング時との間でS/Cl比を変化させた例であ
る。
Embodiment 1 In this embodiment, the present invention is applied to the etching of an Al-based multilayer film using Cl 2 and the S / Cl ratio is changed between just etching and over etching. It is.

【0021】ここで、実際のエッチング・プロセスの説
明に入る前に、まず本発明を実施するにあたり使用した
RFバイアス印加型の有磁場マイクロ波プラズマ・エッ
チング装置の一構成例について、図3を参照しながら説
明する。基本的な構成要素は、2.45GHzのマイク
ロ波を発生するマグネトロン11、マイクロ波を導く矩
形導波管12および円形導波管13、上記マイクロ波を
利用してECR(電子サイクロトロン共鳴)放電により
内部にECRプラズマPを生成させるための石英製のベ
ルジャー14、上記円形導波管13と上記ベルジャー1
4を周回するように配設され8.75×10-2T(87
5Gauss)の磁場強度を達成できるソレノイド・コ
イル15、上記ベルジャー14に接続され、矢印A方向
に高真空排気される試料室16、この試料室16と上記
ベルジャー14へ処理に必要なガスをそれぞれ矢印
1 ,B2 方向から供給するガス導入管17、ウェハ1
8を載置するためのウェハ載置電極19、このウェハ載
置電極19に埋設され、チラー等の冷却設備から供給さ
れる冷媒を矢印C1 ,C2 方向に循環させてウェハ18
を所定の温度に冷却するための冷却配管20、上記ウェ
ハ載置電極19にRFバイアスを印加するため、ブロッ
キング・コンデンサ21等を介して接続されるRF電源
22等である。
Before describing the actual etching process, FIG. 3 shows an example of the configuration of an RF bias applied type magnetic field microwave plasma etching apparatus used in carrying out the present invention. I will explain while. The basic components are a magnetron 11 that generates a microwave of 2.45 GHz, a rectangular waveguide 12 and a circular waveguide 13 that guide the microwave, and an ECR (Electron Cyclotron Resonance) discharge using the microwave. A quartz bell jar 14 for generating ECR plasma P therein, the circular waveguide 13 and the bell jar 1
4.75 x 10 -2 T (87
5 Gauss), a sample coil 16 connected to the bell jar 14 and evacuated to a high vacuum in the direction of arrow A, and a gas required for processing is supplied to the sample chamber 16 and the bell jar 14 by arrows. Gas introduction pipe 17 supplied from B 1 and B 2 directions, wafer 1
A wafer mounting electrode 19 for mounting the wafer 8, a coolant buried in the wafer mounting electrode 19 and supplied from a cooling facility such as a chiller is circulated in the directions of arrows C 1 and C 2 , and the wafer 18 is cooled.
And a RF power supply 22 connected through a blocking capacitor 21 for applying an RF bias to the wafer mounting electrode 19.

【0022】ここで、上記ベルジャー14の内壁面のう
ちウェハ18の近傍部分には、S系材料層23を設け
た。このS系材料層23は、ベルジャー14の内壁面を
必ずしも連続的に周回している必要はなく、たとえばブ
ロック状や板状の固体を内壁面に不連続に配してなるも
のであっても良い。上記S系材料層23の具体例な構成
材料としては、イオウ(S),硫化シリコン(SiSま
たはSiS2 ),ポリチアジル〔(SN)x 〕等が挙げ
られる。また、S系材料層23の形成方法としては、適
当な方法にて成膜されたフィルムもしくはブロックから
切り出された板状体を貼着するか、電子ビーム蒸着やE
CRスパッタリングにより内壁面上に直接成膜する方法
等が考えられる。本実施例および後述の各実施例では、
電子ビーム蒸着により成膜されたSiS2 層を使用し
た。
Here, an S-based material layer 23 is provided on the inner wall surface of the bell jar 14 near the wafer 18. The S-based material layer 23 does not necessarily have to continuously circumnavigate the inner wall surface of the bell jar 14; for example, even if a block-shaped or plate-shaped solid is discontinuously arranged on the inner wall surface. good. Specific constituent materials of the S-based material layer 23 include sulfur (S), silicon sulfide (SiS or SiS 2 ), polythiazyl [(SN) x ], and the like. As the method for forming the S-based material layer 23, a plate-like body cut out from a film or block formed by an appropriate method is adhered, or electron beam evaporation or E-beam evaporation is performed.
A method of forming a film directly on the inner wall surface by CR sputtering may be considered. In this embodiment and each embodiment described later,
A SiS 2 layer formed by electron beam evaporation was used.

【0023】さらに、上記S系材料層23の内周側に
は、図示されない駆動手段により矢印D方向に昇降可能
とされた円筒形の昇降式シャッタ24を配設した。ここ
で、図3(a)は昇降式シャッタ24によりS系材料層
23がほぼ完全にECRプラズマPから遮蔽された状態
を示し(シャッタ開度0%)、図3(b)は上記昇降式
シャッタ14を下降させてS系材料層23の全面が露出
された状態(シャッタ開度100%)を示す。
Further, on the inner peripheral side of the S-based material layer 23, a cylindrical elevating shutter 24 which can be raised and lowered in the direction of arrow D by a driving means (not shown) is provided. Here, FIG. 3A shows a state in which the S-based material layer 23 is almost completely shielded from the ECR plasma P by the elevating shutter 24 (shutter opening degree 0%), and FIG. This shows a state where the entire surface of the S-based material layer 23 is exposed by lowering the shutter 14 (shutter opening degree 100%).

【0024】図4は、上記昇降式シャッタ24の配設状
態をより明確に示すために、ベルジャー14の内部を一
部破断して示す斜視図である。ベルジャー14の側壁
面、昇降式シャッタ24、ウェハ載置電極19は全て同
心的に配置されている。S系材料層23とECRプラズ
マPとの接触面積は、昇降式シャッタ24の矢印D方向
の昇降距離を変化させることにより任意に調節できる。
FIG. 4 is a perspective view showing the inside of the bell jar 14 partially cut away to more clearly show the arrangement of the elevating shutter 24. As shown in FIG. The side wall surface of the bell jar 14, the elevating shutter 24, and the wafer mounting electrode 19 are all arranged concentrically. The contact area between the S-based material layer 23 and the ECR plasma P can be arbitrarily adjusted by changing the vertical distance of the vertical shutter 24 in the direction of arrow D.

【0025】上記昇降式シャッタ24は、ラジカルを消
費せず、かつエッチング反応系内に不要な汚染を惹起さ
せない材料を適宜選択して構成することができ、かかる
材料としてたとえばステンレス鋼、あるいはアルミナ等
のセラミクス系材料を使用することができる。本実施例
および後述の各実施例では、ステンレス鋼からなる昇降
式シャッタ24を採用した。
The elevating shutter 24 can be formed by appropriately selecting a material that does not consume radicals and does not cause unnecessary contamination in the etching reaction system. Examples of such a material include stainless steel and alumina. Can be used. In this embodiment and each of the embodiments described later, the elevating shutter 24 made of stainless steel is employed.

【0026】次に、上述の有磁場マイクロ波プラズマ・
エッチング装置を用いて実際にAl系多層膜のエッチン
グを行った。このプロセスを、図1を参照しながら説明
する。本実施例でサンプルとして使用したウェハ18
は、図1(a)に示されるように、SiO2 層間絶縁膜
1上にAl系多層膜2が積層され、さらにその上に所定
の形状にパターニングされたレジスト・マスク3が形成
されたものである。
Next, the above-described microwave magnetic plasma having a magnetic field
The Al-based multilayer film was actually etched using an etching apparatus. This process will be described with reference to FIG. Wafer 18 used as a sample in this embodiment
As shown in FIG. 1 (a), an Al-based multilayer film 2 is laminated on an SiO 2 interlayer insulating film 1, and a resist mask 3 patterned in a predetermined shape is formed thereon. It is.

【0027】上記Al系多層膜2は、図面上では単層膜
として描かれているが、実際は下層側から順に層厚Ti
層(0.03μm)とTiON層(0.07μm)から
なる2層構造バリヤメタルの上に、Al−1%Si層
(0.4μm)、TiON反射防止膜(0.03μm)
が順次積層されてなるものである。また上記レジスト・
マスク3は、たとえばノボラック系ポジ型フォトレジス
ト(東京応化工業社製,商品名TSMR−V3)とg線
ステッパを用いて約0.5μmのパターン幅に形成され
たものである。
Although the Al-based multilayer film 2 is depicted as a single-layer film in the drawings, in practice, the layer thickness Ti
An Al-1% Si layer (0.4 μm) and a TiON antireflection film (0.03 μm) on a two-layer barrier metal consisting of a layer (0.03 μm) and a TiON layer (0.07 μm)
Are sequentially laminated. In addition, the resist
The mask 3 is formed to have a pattern width of about 0.5 μm using, for example, a novolak positive photoresist (trade name: TSMR-V3, manufactured by Tokyo Ohka Kogyo Co., Ltd.) and a g-line stepper.

【0028】このウェハ18を上述の装置のウェハ載置
電極19上にセットし、冷却配管20にエタノール冷媒
を循環させた。この状態で、一例として下記の条件によ
りAl系多層膜2をジャストエッチング状態までエッチ
ングした。 Cl2 流量 50SCCM ガス圧 1.3Pa(10mTorr) マイクロ波パワー 850W RFバイアス・パワー 30W(2MHz) ウェハ温度 20℃ シャッタ開度 80% この過程では、RFバイアス・パワーが比較的低い条件
であるにもかかわらず、図1(b)に示されるように、
異方性形状に優れるAl系配線パターン2aが形成され
た。これは、S系材料層23から供給されたSがパター
ン側壁部に堆積し、側壁保護膜4を形成するからであ
る。かかる低バイアス条件下では、入射イオンによるレ
ジスト・マスク3のスパッタ量は少ないので、CClx
等のような塩素を含む反応生成物が側壁保護に寄与する
割合は、従来の一般的なプロセスに比べて遙かに少な
い。このように、Sの堆積を利用するプロセスは、アフ
ターコロージョンを防止する観点からも極めて有利であ
る。
This wafer 18 was set on the wafer mounting electrode 19 of the above-described apparatus, and an ethanol refrigerant was circulated through the cooling pipe 20. In this state, as an example, the Al-based multilayer film 2 was etched to a just-etched state under the following conditions. Cl 2 flow rate 50 SCCM Gas pressure 1.3 Pa (10 mTorr) Microwave power 850 W RF bias power 30 W (2 MHz) Wafer temperature 20 ° C. Shutter opening 80% In this process, even if the RF bias power is relatively low, Regardless, as shown in FIG.
An Al-based wiring pattern 2a having an excellent anisotropic shape was formed. This is because S supplied from the S-based material layer 23 accumulates on the pattern side wall to form the side wall protective film 4. Since such a low bias conditions, sputtering of the resist mask 3 due to the incident ion is small, CCl x
The proportion of chlorine-containing reaction products, such as, for example, which contributes to side wall protection is much smaller than in conventional general processes. As described above, the process utilizing the deposition of S is extremely advantageous from the viewpoint of preventing after-corrosion.

【0029】次に、昇降式シャッタ24を操作すること
により、上記のエッチング条件のうちシャッタ開度のみ
を100%に増大させ、オーバーエッチングを行った。
このオーバーエッチング工程では、ウェハ表面の少なく
とも一部に下地のSiO2 層間絶縁膜1が露出している
ので、イオンのスパッタ作用によりエッチング反応系内
にOが放出される。このOは、側壁保護膜4やレジスト
・マスク3をSOx ,COx 等の形で除去する虞れがあ
るが、本実施例ではシャッタ開度を増大させることによ
り、S系材料層23からのSの供給が促進され、エッチ
ング反応系のS/Cl比が上昇している。したがって、
対レジスト選択比および側壁保護効果は何ら低下するこ
とがなく、オーバーエッチング後でもAl系配線パター
ン2aの良好な異方性形状を維持することができた。
Next, by operating the lifting / lowering type shutter 24, only the opening of the shutter among the above-mentioned etching conditions was increased to 100%, and over-etching was performed.
In this over-etching step, since the underlying SiO 2 interlayer insulating film 1 is exposed on at least a part of the wafer surface, O is released into the etching reaction system by ion sputtering. This O may remove the side wall protective film 4 and the resist mask 3 in the form of SO x , CO x, etc., but in this embodiment, the O-based material layer 23 is removed by increasing the shutter opening. Is promoted, and the S / Cl ratio of the etching reaction system is increased. Therefore,
The selectivity with respect to the resist and the side wall protection effect did not decrease at all, and a favorable anisotropic shape of the Al-based wiring pattern 2a could be maintained even after overetching.

【0030】最後に、上記ウェハ18をプラズマ・アッ
シング装置に移設し、通常の条件にしたがってO2 プラ
ズマによるレジスト・アッシングを行った。この結果、
図1(c)に示されるように、レジスト・マスク3が燃
焼して除去されると同時に、側壁保護膜4も燃焼または
昇華して除去され、ウェハ上には何らパーティクル汚染
が発生することはなかった。またこのとき、レジスト・
マスク3や側壁保護膜4に吸蔵されている残留塩素も除
去された。レジスト・アッシング後のウェハ18を大気
中に試験的に放置したが、72時間後でもアフターコロ
ージョンの発生は認められなかった。
Finally, the wafer 18 was transferred to a plasma ashing apparatus and subjected to resist ashing using O 2 plasma under ordinary conditions. As a result,
As shown in FIG. 1C, at the same time as the resist mask 3 is removed by burning, the sidewall protective film 4 is also removed by burning or sublimation, so that no particle contamination occurs on the wafer. Did not. Also, at this time,
Residual chlorine occluded in the mask 3 and the side wall protective film 4 was also removed. After the resist ashing, the wafer 18 was allowed to stand in the air on a test basis, and no after-corrosion was observed even after 72 hours.

【0031】なお、上記側壁保護膜4はエッチング後の
ウェハ18をおおよそ90〜150℃に加熱するのみで
も昇華除去できるので、レジスト・アッシングを行う前
にこれを単独で除去しても良い。
Since the sidewall protective film 4 can be removed by sublimation only by heating the wafer 18 after etching to approximately 90 to 150 ° C., it may be removed alone before resist ashing is performed.

【0032】実施例2 本実施例も、Cl2 を用いたAl系多層膜のエッチング
例であるが、実施例1と異なり回転式シャッタを備えた
有磁場マイクロ波プラズマ・エッチング装置を使用し、
またエッチング・マスクとしては3層レジスト・プロセ
スにより形成されたものを使用した。
Embodiment 2 This embodiment is also an example of etching an Al-based multilayer film using Cl 2 , but unlike the first embodiment, a magnetic field microwave plasma etching apparatus having a rotary shutter is used.
As the etching mask, a mask formed by a three-layer resist process was used.

【0033】本実施例で使用した有磁場マイクロ波プラ
ズマ・エッチング装置の概略断面図を示すと図3と同様
となるが、ベルジャー14の内部を一部破断して示す斜
視図は図5のようになる。すなわち、本実施例の装置
は、スリット状の開口部25aを有する円筒形の回転式
シャッタ25を備えており、またS系材料層23aも上
記開口部25aの開口パターンに倣って帯状に形成され
ている。上記回転式シャッタ25は、図示されない駆動
手段により矢印E方向に回転可能となされている。
A schematic sectional view of the magnetic field microwave plasma etching apparatus used in this embodiment is the same as that of FIG. 3, but a perspective view of the bell jar 14 partially cut away is shown in FIG. become. That is, the apparatus of the present embodiment includes the cylindrical rotary shutter 25 having the slit-shaped opening 25a, and the S-based material layer 23a is also formed in a strip shape following the opening pattern of the opening 25a. ing. The rotary shutter 25 is rotatable in a direction indicated by an arrow E by driving means (not shown).

【0034】ここで、回転式シャッタ25とS系材料層
23aの位置関係について図6を参照しながら説明す
る。この図は、図5のF−F線断面図であり、(a)は
S系材料層23aが回転式シャッタ25に遮蔽された状
態(シャッタ開度0%)、(b)はS系材料層23aの
全面が開口部25aを介して露出された状態(シャッタ
開度100%)を示している。S系材料層23aとEC
RプラズマPとの接触面積は、回転式シャッタ25の回
転角を変化させることにより任意に調節できる。
Here, the positional relationship between the rotary shutter 25 and the S-based material layer 23a will be described with reference to FIG. 5A is a cross-sectional view taken along the line FF of FIG. 5, wherein FIG. 5A is a state in which the S-based material layer 23 a is shielded by the rotary shutter 25 (shutter opening degree: 0%), and FIG. The state where the entire surface of the layer 23a is exposed through the opening 25a (the shutter opening is 100%) is shown. S-based material layer 23a and EC
The contact area with the R plasma P can be arbitrarily adjusted by changing the rotation angle of the rotary shutter 25.

【0035】上述の有磁場マイクロ波プラズマ・エッチ
ング装置を使用して、実際にAl系多層膜のエッチング
を行った。本実施例でサンプルとして使用したウェハ1
8を図2に示す。このウェハ18は、SiO2 層間絶縁
膜1上にAl系多層膜2が積層された部分までは図1
(a)に示したものと同様であるが、エッチング・マス
クは3層レジスト・プロセスにより形成されており、下
層レジスト層5とSOG中間膜6が順次積層されてなる
ものである。ここで、上記SOG中間膜6は、フォトリ
ソグラフィおよび現像処理により形成された上層レジス
ト層(図示せず。)をマスクとしてRIEを行うことに
より形成されている。また、下層レジスト層5は、上層
レジスト層とSOG中間膜6とマスクとしてエッチング
を行うことにより形成されている。ただし、上層レジス
ト層は解像度を重視した薄い層であるため、厚い下層レ
ジスト層5をエッチングしている間に除去されしまう。
したがって、3層レジスト・プロセスにおいて最終的に
エッチング・マスクの上表面を構成する層は、SOG中
間膜6となる。
The Al-based multilayer film was actually etched using the above-mentioned magnetic field microwave plasma etching apparatus. Wafer 1 used as a sample in this embodiment
8 is shown in FIG. This wafer 18 has the structure shown in FIG. 1 up to the portion where the Al-based multilayer film 2 is laminated on the SiO 2 interlayer insulating film 1.
7A, except that the etching mask is formed by a three-layer resist process, and the lower resist layer 5 and the SOG intermediate film 6 are sequentially laminated. Here, the SOG intermediate film 6 is formed by performing RIE using an upper resist layer (not shown) formed by photolithography and development as a mask. The lower resist layer 5 is formed by etching using the upper resist layer, the SOG intermediate film 6, and a mask. However, since the upper resist layer is a thin layer that emphasizes the resolution, it is removed while the thick lower resist layer 5 is being etched.
Therefore, the layer finally constituting the upper surface of the etching mask in the three-layer resist process becomes the SOG intermediate film 6.

【0036】このウェハ18を上述の有磁場マイクロ波
プラズマ・エッチング装置のウェハ載置電極19上にセ
ットし、一例として下記の条件でAl系多層膜2をジャ
ストエッチング状態までエッチングした。 Cl2 流量 50SCCM ガス圧 1.3Pa(10mTorr) マイクロ波パワー 850W RFバイアス・パワー 30W(2MHz) ウェハ温度 20℃ シャッタ開度 90% 3層レジスト・プロセスによるエッチング・マスクを使
用する場合、エッチング・マスクの上表面はSiO2
材料(SOG)により構成されているので、レジスト材
料に由来するCClx 等は側壁保護には原理的に寄与す
ることができない。しかし、本実施例では気相中から堆
積するSにより側壁保護膜が形成されるので、異方性加
工が可能であることはもちろん、アフターコロージョン
の防止効果も一段と向上する。上記条件のうち、シャッ
タ開度を実施例1のジャストエッチング工程に比べて1
0%増大させてあるのは、CClx の堆積が期待できな
いことによる側壁保護効果の低下分を補うためである。
これにより、図示は省略するが、やはり異方性形状に優
れたAl系配線パターンが形成された。
The wafer 18 was set on the wafer mounting electrode 19 of the above-mentioned microwave magnetic plasma etching apparatus having a magnetic field, and as an example, the Al-based multilayer film 2 was etched to the just-etched state under the following conditions. Cl 2 flow rate 50 SCCM Gas pressure 1.3 Pa (10 mTorr) Microwave power 850 W RF bias power 30 W (2 MHz) Wafer temperature 20 ° C. Shutter opening 90% When using an etching mask by a three-layer resist process, an etching mask Since the upper surface is made of SiO 2 material (SOG), CCl x and the like derived from the resist material cannot contribute in principle to sidewall protection. However, in this embodiment, since the sidewall protective film is formed by S deposited from the gas phase, anisotropic processing is possible, and the effect of preventing after-corrosion is further improved. Among the above conditions, the shutter opening was set to be 1 compared with the just etching step of the first embodiment.
The reason for increasing the value by 0% is to compensate for the decrease in the side wall protection effect due to the inability to expect the deposition of CCl x .
As a result, although not shown, an Al-based wiring pattern having an excellent anisotropic shape was formed.

【0037】次に、回転式シャッタ25を操作すること
により、上記のエッチング条件のうちシャッタ開度のみ
を100%に増大させ、オーバーエッチングを行った。
この工程では、S系材料層23aからのSの供給が促進
されるので、レジスト選択比および側壁保護効果は何ら
低下することがなく、Al系配線パターンの良好な異方
性形状を維持することができた。
Next, by operating the rotary shutter 25, only the opening degree of the shutter among the above etching conditions was increased to 100%, and over-etching was performed.
In this step, since the supply of S from the S-based material layer 23a is promoted, the resist selectivity and the side wall protection effect are not reduced at all, and the Al-based wiring pattern can maintain a favorable anisotropic shape. Was completed.

【0038】Sからなる側壁保護膜は、エッチング終了
後にウェハを加熱することにより、容易に昇華除去する
ことができた。
The sidewall protective film made of S could be easily removed by sublimation by heating the wafer after completion of the etching.

【0039】以上、本発明を2つの実施例にもとづいて
説明したが、本発明はこれらの実施例に何ら限定される
ものではない。たとえば、エッチング・ガスに含まれる
塩素系化合物および臭素系化合物としては、HCl,B
Cl3 ,Br2 ,HBr,BBr3 ,CBr4 ,SiB
4 ,CH3 Br,CH2 Br2 ,CHBr3 等を使用
しても良い。また、エッチング・ガスにHe,Ar等の
希ガスを添加して、冷却効果,スパッタリング効果,希
釈効果等を得るようにしても良い。
Although the present invention has been described based on two embodiments, the present invention is not limited to these embodiments. For example, as the chlorine-based compound and the bromine-based compound contained in the etching gas, HCl, B
Cl 3 , Br 2 , HBr, BBr 3 , CBr 4 , SiB
r 4 , CH 3 Br, CH 2 Br 2 , CHBr 3 or the like may be used. Also, a rare gas such as He or Ar may be added to the etching gas to obtain a cooling effect, a sputtering effect, a dilution effect, and the like.

【0040】オーバーエッチング時には、RFバイアス
のパワーを低下させたり、RF周波数を増大させること
により、より優れた対下地選択性および低ダメージ性を
達成することも可能である。昇降式シャッタ24もしく
は回転式シャッタ25の表面に堆積したSは、予めこれ
らシャッタ部材に加熱機構を付与しておき、これを1回
のエッチングが終了するごとに作動させて昇華除去する
か、あるいは枚葉処理の合間にプラズマ・クリーニング
を行うこと等により除去することができる。これらの対
策は、エッチング反応系のS/X比の過度の上昇を防止
する上で有効である。
At the time of over-etching, lowering the power of the RF bias or increasing the RF frequency can achieve better selectivity to the underlayer and lower damage. The S deposited on the surface of the lifting / lowering shutter 24 or the rotary shutter 25 is provided with a heating mechanism in advance to these shutter members, and is operated each time one etching is completed to remove sublimation, or It can be removed by performing plasma cleaning between sheet processing. These measures are effective in preventing an excessive increase in the S / X ratio of the etching reaction system.

【0041】さらに、本発明を、従来公知のフッ素置換
や水洗等のアフターコロージョン防止対策と適宜組み合
わせ、一層優れた効果を上げることも可能である。
Further, the present invention can be appropriately combined with conventionally known countermeasures for preventing after-corrosion such as fluorine substitution and washing with water, so that more excellent effects can be obtained.

【0042】[0042]

【発明の効果】以上の説明からも明らかなように、本発
明はSの堆積を側壁保護に利用するプロセスを提供する
ものであり、まずAl系材料層のドライエッチングにお
けるアフターコロージョン防止対策として極めて優れて
いる。しかも、プロセス途中におけるエッチング反応系
のS/X比の制御を、プラズマ装置の処理チャンバの内
壁部に設けられたS系材料層とプラズマとの接触面積を
シャッタ部材を用いて機械的に変化させるという巧妙な
手法により、迅速かつ容易に行うことができる。また、
側壁保護用のSをS系材料層から供給させることによ
り、エッチング・ガスとしては安定で安価な汎用の塩素
系化合物や臭素系化合物が使用できるようになり、経済
上のメリットも大きい。
As is clear from the above description, the present invention provides a process for utilizing the deposition of S for protecting the side wall. First, it is extremely effective as a measure for preventing after-corrosion in dry etching of an Al-based material layer. Are better. In addition, the S / X ratio of the etching reaction system during the process is controlled by mechanically changing the contact area between the plasma and the S-based material layer provided on the inner wall of the processing chamber of the plasma apparatus by using a shutter member. Can be done quickly and easily. Also,
By supplying S for protecting the side wall from the S-based material layer, a general-purpose chlorine-based compound or bromine-based compound can be used stably and inexpensively as an etching gas, which has a great economical advantage.

【0043】本発明は、微細なデザイン・ルールにもと
づいて設計され、高集積度と高性能を有する半導体装置
の製造に極めて好適である。
The present invention is extremely suitable for manufacturing a semiconductor device which is designed based on a fine design rule and has a high degree of integration and high performance.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明をSiO2 層間絶縁膜上のAl系多層膜
のエッチングに適用したプロセス例をその工程順にした
がって示す概略断面図であり、(a)はAl系多層膜上
にレジスト・マスクが形成された状態、(b)はエッチ
ングによりAl系配線パターンが形成された状態、
(c)はレジスト・マスクと側壁保護膜が除去された状
態をそれぞれ表す。
FIG. 1 is a schematic cross-sectional view showing a process example in which the present invention is applied to the etching of an Al-based multilayer film on a SiO 2 interlayer insulating film in the order of steps, and FIG. Is formed, (b) is a state in which an Al-based wiring pattern is formed by etching,
(C) shows a state in which the resist mask and the sidewall protective film have been removed, respectively.

【図2】Al系多層膜上に3層レジスト・プロセスによ
り形成されたエッチング・マスクが形成されたウェハの
状態を示す概略断面図である。
FIG. 2 is a schematic cross-sectional view showing a state of a wafer having an etching mask formed by a three-layer resist process on an Al-based multilayer film.

【図3】本発明のドライエッチング方法を実施するにあ
たり使用されるRFバイアス印加型の有磁場マイクロ波
プラズマ・エッチング装置の一構成例を示す概略断面図
であり、(a)は昇降式シャッタのシャッタ開度が0%
の場合、(b)はシャッタ開度が100%の場合をそれ
ぞれ表す。
FIG. 3 is a schematic cross-sectional view showing an example of a configuration of an RF bias application type magnetic field microwave plasma etching apparatus used in carrying out the dry etching method of the present invention, and FIG. 0% shutter opening
(B) represents the case where the shutter opening is 100%.

【図4】図3に示される有磁場マイクロ波プラズマ・エ
ッチング装置の昇降式シャッタおよびその周辺部材を一
部破断して示す概略斜視図である。
FIG. 4 is a schematic perspective view showing a vertically movable shutter and its peripheral members of the magnetic field microwave plasma etching apparatus shown in FIG.

【図5】本発明のドライエッチング方法を実施するにあ
たり使用されるRFバイアス印加型の有磁場マイクロ波
プラズマ・エッチング装置の他の構成例において、回転
式シャッタおよびその周辺部材を一部破断して示す概略
斜視図である。
FIG. 5 is a partial cutaway view of a rotary shutter and its peripheral members in another configuration example of an RF bias application type magnetic field microwave plasma etching apparatus used in carrying out the dry etching method of the present invention. It is a schematic perspective view shown.

【図6】図5のF−F線断面図であり、(a)は回転式
シャッタのシャッタ開度が0%の場合、(b)はシャッ
タ開度が100%の場合をそれぞれ表す。
6A and 6B are cross-sectional views taken along the line FF of FIG. 5, wherein FIG. 6A illustrates a case where the shutter opening of the rotary shutter is 0%, and FIG. 6B illustrates a case where the shutter opening is 100%.

【符号の説明】[Explanation of symbols]

1 ・・・SiO2 層間絶縁膜 2 ・・・Al系多層膜 2a ・・・Al系配線パターン 3 ・・・レジスト・マスク 4 ・・・側壁保護膜 5 ・・・下層レジスト層 6 ・・・SOG中間膜 14 ・・・ベルジャー 16 ・・・試料室 18 ・・・ウェハ 19 ・・・ウェハ載置電極 23,23a・・・S系材料層 24 ・・・昇降式シャッタ 25 ・・・回転式シャッタ 25a ・・・開口部1 ... SiO 2 interlayer insulating film 2, ... Al-based multilayer film 2a ... Al-based wiring patterns 3 ... resist mask 4 ... side wall protective film 5 ... lower resist layer 6 ... SOG intermediate film 14 ··· Bell jar 16 ··· Sample chamber 18 ··· Wafer 19 ··· Wafer mounting electrode 23 and 23a ··· S-based material layer 24 ··· Lifting type shutter 25 ··· Rotating type Shutter 25a ... opening

───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 C23F 4/00 ──────────────────────────────────────────────────続 き Continued on front page (58) Field surveyed (Int.Cl. 7 , DB name) H01L 21/3065 C23F 4/00

Claims (1)

(57)【特許請求の範囲】(57) [Claims] 【請求項1】 内壁部の少なくとも一部がイオウ系材料
層により被覆された処理チャンバと、上記イオウ系材料
層とプラズマとの接触面積を可変するシャッタ部材とを
備えるプラズマ装置を用いてアルミニウム系材料層のエ
ッチングを行うドライエッチング方法において、 上記シャッタ部材を操作して上記イオウ系材料層と上記
プラズマとの接触面積を調整し、次いで、上記 プラズマ
装置に塩素系化合物または臭素系化合物の少なくとも一
方を含むエッチング・ガスを導入し、上記イオウ系材料
層から上記調整された接触面積に応じて供給されるイオ
ウおよび/またはイオウ系材料を被エッチング基板の表
面に堆積させながらアルミニウム系材料層のエッチング
を行うことを特徴とするドライエッチング方法。
And wherein 1 inner wall portion of the at least partially coated with a sulfur-based material layer processing chamber, and a shutter member for changing the contact area between the sulfur-based material layer and the plasma
Of an aluminum-based material layer using a plasma
In the dry etching method for performing the etching, the shutter member is operated and the sulfur-based material layer and the
The contact area with plasma is adjusted, and then an etching gas containing at least one of a chlorine-based compound and a bromine-based compound is introduced into the plasma device, and supplied from the sulfur-based material layer according to the adjusted contact area. A dry etching method characterized by etching an aluminum-based material layer while depositing sulfur and / or a sulfur-based material on a surface of a substrate to be etched.
JP32984191A 1991-11-19 1991-11-19 Dry etching method Expired - Fee Related JP3211312B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP32984191A JP3211312B2 (en) 1991-11-19 1991-11-19 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP32984191A JP3211312B2 (en) 1991-11-19 1991-11-19 Dry etching method

Publications (2)

Publication Number Publication Date
JPH05144783A JPH05144783A (en) 1993-06-11
JP3211312B2 true JP3211312B2 (en) 2001-09-25

Family

ID=18225832

Family Applications (1)

Application Number Title Priority Date Filing Date
JP32984191A Expired - Fee Related JP3211312B2 (en) 1991-11-19 1991-11-19 Dry etching method

Country Status (1)

Country Link
JP (1) JP3211312B2 (en)

Also Published As

Publication number Publication date
JPH05144783A (en) 1993-06-11

Similar Documents

Publication Publication Date Title
US5302240A (en) Method of manufacturing semiconductor device
KR0176715B1 (en) Dry etching method
JP3371143B2 (en) Dry etching method
US4444618A (en) Processes and gas mixtures for the reactive ion etching of aluminum and aluminum alloys
JP3191407B2 (en) Wiring formation method
JP3220992B2 (en) Dry etching method
US5378653A (en) Method of forming aluminum based pattern
JPH0786244A (en) Dry etching method
JP3116533B2 (en) Dry etching method
JPH0484414A (en) Dry etching method
JP3318801B2 (en) Dry etching method
JP3211312B2 (en) Dry etching method
JP3570098B2 (en) Dry etching method
JP3118946B2 (en) Dry etching method
JP3353462B2 (en) Dry etching method
JP3082396B2 (en) Method for manufacturing semiconductor device
JP3105905B2 (en) Pattern formation method
JP3225559B2 (en) Dry etching method
JP3263852B2 (en) Plasma apparatus and dry etching method using the same
JP3166242B2 (en) Dry etching method
JP3259295B2 (en) Dry etching method and ECR plasma device
JPH07263426A (en) Dry etching of laminated wiring
JPH07297281A (en) Method for manufacturing connection hole
JPH05182937A (en) Dry-etching method
JPH06314689A (en) Formation method of aluminum-based pattern

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010619

LAPS Cancellation because of no payment of annual fees