JPH04282770A - Device for synthesizing multistage logical circuit - Google Patents

Device for synthesizing multistage logical circuit

Info

Publication number
JPH04282770A
JPH04282770A JP3070370A JP7037091A JPH04282770A JP H04282770 A JPH04282770 A JP H04282770A JP 3070370 A JP3070370 A JP 3070370A JP 7037091 A JP7037091 A JP 7037091A JP H04282770 A JPH04282770 A JP H04282770A
Authority
JP
Japan
Prior art keywords
circuit
gate
logical
input
gates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP3070370A
Other languages
Japanese (ja)
Inventor
Tomoki Kanemochi
金持 知己
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP3070370A priority Critical patent/JPH04282770A/en
Publication of JPH04282770A publication Critical patent/JPH04282770A/en
Withdrawn legal-status Critical Current

Links

Abstract

PURPOSE:To form a multistage logical circuit by evaluating the synthesis of the multistage logical circuit by an input gate having the optional number of inputs in a multistage circuit synthesizing device. CONSTITUTION:The multistage logical circuit synthesizing device for forming a required multistage logical circuit based upon circuit logical information applied by a logical expression, a truth table, etc., is constituted of an input part 1 for inputting the logical information, a main storage device 2, a compiling part 3 for converting inputted logical information into an internal data format and developing the converted result in a main storage device, a logical optimizing part 4 for removing a redundant part by two-stage logical simplified algorithm, multistaging processing part 5 for extracting the common part of circuit parts by using a gate evaluation function in consideration of a circuit area and a delay time, a parameter setting part 6 connected to the processing part 5 to input the maximum number of inputs per gate to be used for the gate evaluation function, and a real circuit conversion part 7 for converting a multistaged logical circuit into a real circuit 8.

Description

【発明の詳細な説明】[Detailed description of the invention]

【0001】0001

【産業上の利用分野】本発明は多段論理回路合成装置に
係り、特に論理式、真理表等で与えられる回路の論理情
報から所望の多段論理回路を生成する多段論理回路合成
装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a multi-stage logic circuit synthesis apparatus, and more particularly to a multi-stage logic circuit synthesis apparatus for generating a desired multi-stage logic circuit from circuit logic information given by logical formulas, truth tables, etc.

【0002】0002

【従来の技術】一般に与えられた論理情報から多段論理
回路を合成する多段論理回路合成装置として次の様な構
成を有するものがある。これは図6に示すように、論理
情報を入力する入力部41と、主記憶装置42と、入力
された論理情報を内部データ形式に変換して主記憶装置
に展開するコンパイル部43と、二段論理簡単化アルゴ
リズムにより冗長部分を除去する論理最適化部44と、
2入力ゲートを基本とするゲート評価関数を用いて回路
面積、遅延時間を考慮して回路部分の共通部を括り出す
多段化処理部45と、多段化された論理回路を実回路4
7に変換する実回路変換部56とから構成されたもので
ある。
2. Description of the Related Art Generally, there is a multi-stage logic circuit synthesis apparatus for synthesizing a multi-stage logic circuit from given logic information, which has the following configuration. As shown in FIG. 6, this consists of an input section 41 that inputs logical information, a main memory 42, and a compile section 43 that converts the input logical information into an internal data format and develops it in the main memory. a logic optimization unit 44 that removes redundant parts using a stage logic simplification algorithm;
A multi-stage processing unit 45 uses a gate evaluation function based on two-input gates to divide common parts of circuit parts in consideration of circuit area and delay time, and a multi-stage logic circuit is integrated into an actual circuit 4.
7, and a real circuit converting section 56 for converting into 7.

【0003】0003

【発明が解決しようとする課題】ところで、このような
、従来多段論理回路合成装置にあっては多段化処理部に
45おいては2入力ゲートを基準として固定的に括り出
しを行うのもとしているため実際に使用されるテクノロ
ジによっては誤差が大きなものとなるという問題点があ
る。
[Problem to be solved by the invention] By the way, in such a conventional multi-stage logic circuit synthesis device, the multi-stage processing section 45 performs fixed grouping based on two input gates. Therefore, there is a problem that the error can be large depending on the technology actually used.

【0004】これは、CMOS素子の場合には入力数に
略比例してセルサイズが増加するため、2入力ゲート単
位で評価して多段化しておけば、テクノロジマッピング
後のゲート数(セルサイズ)との間にはそれほど誤差は
発生しない。
[0004] In the case of CMOS devices, the cell size increases approximately in proportion to the number of inputs, so if you evaluate it in units of 2-input gates and increase the number of stages, the number of gates (cell size) after technology mapping can be reduced. There is not much error between them.

【0005】ところが、例えば4入力までは同一サイズ
のセルで実現されるようなテクノロジを使用する場合に
は、2入力ゲート単位で評価した多段化結果を用いてテ
クノロジマッピングしても良好な結果を得ることはでき
ない。
However, when using a technology in which up to 4 inputs are realized using cells of the same size, for example, it is not possible to obtain good results even if technology mapping is performed using multistage results evaluated in units of 2-input gates. You can't get it.

【0006】そこで、本発明は、任意の入力数の入力ゲ
ートで評価して多段化することができる多段論理回路合
成装置を提供することを目的とする。
SUMMARY OF THE INVENTION Accordingly, an object of the present invention is to provide a multistage logic circuit synthesis device that can perform evaluation using input gates having an arbitrary number of inputs and can be multistaged.

【0007】[0007]

【課題を解決するための手段】本発明において、上記の
課題を解決するための手段は、図1に示すように、論理
式、真理表等で与えられる回路の論理情報から所望の多
段論理回路を生成する多段論理回路合成装置であって、
論理情報を入力する入力部1と、主記憶装置2と、入力
された論理情報を内部データ形式に変換して主記憶装置
に展開するコンパイル部3と、二段論理簡単化アルゴリ
ズムにより冗長部分を除去する論理最適化部4と、ゲー
ト評価関数を用いて回路面積、遅延時間を考慮して回路
部分の共通部を括り出す多段化処理部5と、多段化処理
部5に接続され、ゲート評価関数に用いる1ゲート当た
りの最大入力数を入力するパラメータ設定部6と、多段
化された論理回路を実回路8に変換する実回路変換部7
とから構成したことである。
[Means for Solving the Problems] In the present invention, the means for solving the above problems is as shown in FIG. A multi-stage logic circuit synthesis device that generates
An input unit 1 that inputs logical information, a main memory 2, a compile unit 3 that converts the input logical information into an internal data format and expands it to the main memory, and a two-stage logic simplification algorithm that removes redundant parts. A logic optimization unit 4 that performs gate evaluation; A parameter setting unit 6 that inputs the maximum number of inputs per gate used for a function, and a real circuit conversion unit 7 that converts a multistage logic circuit into a real circuit 8.
It is composed of

【0008】[0008]

【作用】本発明によれば、ゲート評価関数に用いる1ゲ
ート当たりの最大入力数をパラメータとしてパラメータ
入力部から多段化処理部に入力するものとしたから、必
要に応じた入力入力ゲート数で多段化処理を行うことが
でき、所望の回路技術に応じた最適化を行うことができ
る。
[Operation] According to the present invention, since the maximum number of inputs per gate used in the gate evaluation function is input from the parameter input section to the multistage processing section as a parameter, the maximum number of inputs per gate used in the gate evaluation function is inputted to the multistage processing section. It is possible to perform optimization processing according to the desired circuit technology.

【0009】[0009]

【実施例】以下本発明に係る多段論理回路合成装置の実
施例を図面に基づいて説明する。図1乃至図5は本発明
に係る多段論理回路合成装置の実施例を示すものである
DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, embodiments of a multi-stage logic circuit synthesis apparatus according to the present invention will be described with reference to the drawings. 1 to 5 show an embodiment of a multi-stage logic circuit synthesis apparatus according to the present invention.

【0010】本実施例において多段論理回路合成装置は
、論理情報を入力する入力部1と、主記憶装置2と、入
力された論理情報を内部データ形式に変換して主記憶装
置に展開するコンパイル部3と、二段論理簡単化アルゴ
リズムにより冗長部分を除去する論理最適化部4と、ゲ
ート評価関数を用いて回路面積、遅延時間を考慮して回
路部分の共通部を括り出す多段化処理部5と、多段化処
理部5に接続され、ゲート評価関数に用いる1ゲート当
たりの最大入力数を入力するパラメータ設定部6と、多
段化された論理回路を実回路8に変換する実回路変換部
7とからなる。
In this embodiment, the multi-stage logic circuit synthesis apparatus includes an input section 1 for inputting logic information, a main memory device 2, and a compiler for converting the input logic information into an internal data format and developing it in the main memory device. 3, a logic optimization unit 4 that removes redundant parts using a two-stage logic simplification algorithm, and a multistage processing unit that uses a gate evaluation function to divide common parts of circuit parts in consideration of circuit area and delay time. 5, a parameter setting unit 6 which is connected to the multi-stage processing unit 5 and inputs the maximum number of inputs per gate used for the gate evaluation function, and a real circuit conversion unit which converts the multi-stage logic circuit into an actual circuit 8. It consists of 7.

【0011】そして入力部から入力された論理式、真理
値表の形式で与えられた初期回路は、コンパイル部で内
部データ形式に変換され、主記憶装置に展開される。こ
の内部データを取り入れた論理最適化部は公知の二段論
理簡単化アルゴリズムを用いて論理的に冗長な部分を除
去する。
[0011] The initial circuit given in the form of logical formulas and truth tables inputted from the input section is converted into an internal data format by the compiling section and expanded into the main memory. The logic optimization unit that incorporates this internal data removes logically redundant parts using a known two-stage logic simplification algorithm.

【0012】次に、多段化処理部では、回路面積及び遅
延時間を考慮して回路中の共通部分回路を見つけて、こ
れによる括り出しを行う。この際どの共通部分回路で括
り出すかを決定するため、回路中に存在する、各共通部
分回路で括り出した場合のゲートの削減数を求める。
Next, the multi-stage processing section finds a common partial circuit in the circuit, taking into account the circuit area and delay time, and performs grouping based on this. At this time, in order to determine which common partial circuit should be divided, the number of gates to be reduced in each common partial circuit existing in the circuit is determined.

【0013】本実施例では、パラメータ設定部から1ゲ
ート当たりの最大入力数を入力してゲートの削減数を計
算する公知のゲート評価関数で削減数を計算する。これ
により、どの様な回路技術への実回路変換に対しても、
最適な回路を生成できるものとしている。
In this embodiment, the number of gates to be reduced is calculated using a known gate evaluation function that calculates the number of gates to be reduced by inputting the maximum number of inputs per gate from the parameter setting section. As a result, for actual circuit conversion to any circuit technology,
It is assumed that an optimal circuit can be generated.

【0014】ここでゲート評価関数は、nを着目するゲ
ートの入力数、Nをパラメータ設定部から入力される1
ゲート当たりの最大入力数とすると、 G(n.N)=(n+(N−3))/(N−1)…式1
により与えられる。但し、n≧2,N≧2である。
Here, in the gate evaluation function, n is the number of inputs of the gate of interest, and N is 1 input from the parameter setting section.
Assuming the maximum number of inputs per gate, G(n.N) = (n+(N-3))/(N-1)...Equation 1
is given by However, n≧2 and N≧2.

【0015】例えば、n=10,N=4のときゲート評
価関数は、 G(10,4)=3 となる。
For example, when n=10 and N=4, the gate evaluation function becomes G(10,4)=3.

【0016】また、ある共通部分回路で括りだした場合
のゲートの削減数は M=(共通部分回路による括りだしの影響を受ける部分
の括りだし前のゲ ート数)−(共通部分回路による括りだしにより付加さ
れるゲート数)…式2 で与えられる。尚、式2の各項のゲート数を計算するた
めには、式1を用いる。
[0016] Furthermore, the number of gates to be reduced when a certain common subcircuit is grouped is M = (the number of gates before grouping in the part affected by the grouping by the common subcircuit) - (the number of gates before grouping in the part affected by the grouping by the common subcircuit). (number of gates added) is given by Equation 2. Note that to calculate the number of gates for each term in Equation 2, Equation 1 is used.

【0017】次に具体例によりこれを説明する。初期回
路として、 Y=a・b・c・e* +b・c・d・e・f* ・g
+a・b* ・c・d・e・f*  が与えられたとする。但し「* 」は反転を意味すると
している。
Next, this will be explained using a specific example. As the initial circuit, Y=a・b・c・e* +b・c・d・e・f* ・g
Suppose that +a, b*, c, d, e, f* are given. However, "*" means inversion.

【0018】これを論理回路で表すと、図2に示すよう
に、a、b、c、e* を入力した第1のアンドゲート
11と、b、c、d、e、f*を入力した第2のアンド
ゲート12と、a、b* 、c、d、e、f* を入力
した第3のアンドゲート13と、第1乃至第3のアンド
ゲート11,12,13の3つの出力を入力とする1つ
のオアゲート14で実現することができる。この初期回
路を2入力ゲートで実現した場合には15ゲートが必要
となり、また4入力ゲートで実現した場合には6ゲート
が必要となる。
If this is expressed in a logic circuit, as shown in FIG. The second AND gate 12, the third AND gate 13 inputting a, b*, c, d, e, f*, and the three outputs of the first to third AND gates 11, 12, 13. This can be realized with one OR gate 14 as input. If this initial circuit is implemented using 2-input gates, 15 gates will be required, and if implemented using 4-input gates, 6 gates will be required.

【0019】そこで論理を最適化してゲート数の減少を
計る。この初期回路に対する共通部分は、p=a・b*
 +b・g q=c・d・e・f*  がある。
Therefore, the number of gates is reduced by optimizing the logic. The common part for this initial circuit is p=a・b*
There is +b・g q=c・d・e・f*.

【0020】ここで、pは図2の第1乃至第3のゲート
11,12,13に、qは第2及び第3のゲート12,
13に影響する共通部分回路である。表1は共通部分回
路p及びq二ついてのゲートの削減数をN=2,N=4
の場合について求めた結果を表している
Here, p corresponds to the first to third gates 11, 12, 13 in FIG. 2, and q corresponds to the second and third gates 12, 13.
This is a common partial circuit that affects 13. Table 1 shows the reduction number of gates for two common subcircuits p and q, N=2, N=4.
It shows the results obtained for the case of

【0021】[0021]

【表1】[Table 1]

【0022】例えば、pについてN=2の場合のゲート
の削減数は、       Mp =(アンドゲート12のゲート数+
アンドゲート13のゲート数+オ          
    アゲート14のゲート数)         
   −(pのゲート数+pを入力とするゲートのゲー
ト数            +pを入力とするゲート
の出力を入力としているゲートのゲート       
       数)           =(G(6,2)+G(6,2)
+  G(3,2))            −(G
(2,2)×3+G(5,2)+G(2,2))   
       =(5+5+2)−(3+4+1)  
        =4 のように求めることができる。
For example, when N=2 for p, the number of gates to be reduced is Mp = (number of gates of AND gate 12 +
Number of gates of AND gate 13 + O
Number of gates of Agate 14)
−(Number of gates of p + Number of gates of gates that take p as input + Gate of gates that take output of gate that takes p as input
number) = (G(6,2)+G(6,2)
+ G(3,2)) −(G
(2,2)×3+G(5,2)+G(2,2))
=(5+5+2)-(3+4+1)
It can be calculated as =4.

【0022】表1から、N=2の場合はpで、またN=
4の場合はqで括り出した方がゲート数が削減されるこ
とがわかる。
From Table 1, when N=2, p and N=
In the case of 4, it can be seen that the number of gates can be reduced by dividing by q.

【0023】図2の初期回路をp及qで括りだした結果
は、それぞれ y=a・b・c・e* +c・d・e・f* ・pp=
a・b* +b・g 及び y=a・b・c・e* +a・b* ・q+b・g・q
q=c・d・e・f*  となる。これらを回路図で表したものがそれぞれ図3及
び図4である。
The results of dividing the initial circuit in FIG. 2 into p and q are y=a, b, c, e* + c, d, e, f*, pp=, respectively.
a・b* +b・g and y=a・b・c・e* +a・b* ・q+b・g・q
q=c・d・e・f*. These are shown in circuit diagrams in FIGS. 3 and 4, respectively.

【0024】図3において、回路は、a及びb* を入
力したアンドゲ−ト21と、b及びgを入力したアンド
ゲート22と、これらの両アンドゲートの出力を入力し
たオアゲート23と、オアゲート23の出力pとc、d
、e、f* を入力したアンドゲート24と、a、b、
c、e* を入力したアンドゲート25と、両アンドゲ
ートの出力を入力したオアゲート26とから構成されて
いる。 この回路を2入力ゲートで実現した場合には11ゲート
、また4入力ゲートで実現した場合には7ゲートとなる
In FIG. 3, the circuit includes an AND gate 21 to which a and b* are input, an AND gate 22 to which b and g are input, an OR gate 23 to which the outputs of both of these AND gates are input, and an OR gate 23 to which the outputs of both AND gates are input. The outputs p, c, d
, e, f*, and the AND gate 24 which inputs a, b,
It consists of an AND gate 25 to which c and e* are input, and an OR gate 26 to which the outputs of both AND gates are input. If this circuit is implemented using 2-input gates, there will be 11 gates, and if it is implemented using 4-input gates, there will be 7 gates.

【0025】図4に示した回路は、c、d、e、f* 
を入力するアンドゲート31と、このアンドート31の
出力、b、gを入力したアンドゲートと、上記アンドゲ
ート31の出力、a、b* を入力したアンドゲート3
3と、a、b、c、e* を入力したアンドゲート34
と、上記3つのアンドゲート32,33,34の出力を
入力したオアゲート35とから構成されており、2入力
ゲートで実現した場合には12ゲート、4入力ゲートで
実現した場合には5ゲートとなる。
The circuit shown in FIG. 4 has c, d, e, f*
an AND gate 31 that inputs the output of the AND gate 31, an AND gate that inputs the output of this AND gate 31, b, g, and an AND gate 3 that inputs the output of the AND gate 31, a, b*
3, and the AND gate 34 that inputs a, b, c, e*
and an OR gate 35 into which the outputs of the three AND gates 32, 33, and 34 are input, and when realized with 2 input gates, there are 12 gates, and when realized with 4 input gates, there are 5 gates. Become.

【0026】N=2の場合,即ち図3については更に共
通部分回路として、 r=a・b・e* +d・e・f* ・pが存在する。 rに関するゲートの削減数をpの場合と同様に求めると
、       Mr =(アンドゲート24のゲート数+
アンドゲート25のゲート数+オ          
      アゲート26のゲート数)       
     −(rのゲート+rを入力とするゲートのゲ
ート数            +rを入力とするゲー
トの出力を入力としているゲートのゲート数     
     =(G(5,2)+G(4,2)+G(2,
2)            −((G(4,2)+G
(3,2)+G(2,2))            
+G(2,2)+0)          =(4+3
+1)−(6+1+0)          =1 となる。これを回路図で表示したのが図5である。
In the case of N=2, that is, in FIG. 3, r=a·b·e* +d·e·f*·p exists as a common partial circuit. When calculating the reduction number of gates for r in the same way as for p, Mr = (number of gates of AND gate 24 +
Number of gates of AND gate 25 + O
Number of gates of Agate 26)
−(Gate of r + Number of gates whose input is r + Number of gates whose input is the output of the gate whose input is r
=(G(5,2)+G(4,2)+G(2,
2) −((G(4,2)+G
(3,2)+G(2,2))
+G(2,2)+0) =(4+3
+1)-(6+1+0)=1. FIG. 5 shows this in the form of a circuit diagram.

【0027】この回路は、a、b* を入力したアンド
ゲート31と、b、gを入力したアンドゲート32と、
両アンドゲート31,32の出力を入力したオアゲート
33と、このオアゲート33の出力p、e、d、f* 
を入力したアンドゲート34と、a、b、e* を入力
したアンドゲート35と、両アンドゲート34,35の
出力を入力したオアゲート36と、このオアゲート36
の出力r、cを入力したアンドゲート37とから構成さ
れており、2入力ゲートで実現した場合には10ゲート
、4ゲートで実現した場合には7ゲートとなる。
This circuit consists of an AND gate 31 to which a and b* are input, an AND gate 32 to which b and g are input,
An OR gate 33 inputs the outputs of both AND gates 31 and 32, and outputs p, e, d, f* of this OR gate 33.
an AND gate 34 that inputs a, b, e*, an OR gate 36 that inputs the outputs of both AND gates 34 and 35, and an AND gate 36 that inputs a, b, e*.
and an AND gate 37 into which the outputs r and c of are input, and if realized with two input gates, there will be 10 gates, and if realized with four gates, there will be seven gates.

【0028】従って図2に示した初期回路を2入力ゲー
トの回路技術で実現するには、図5で示した回路によれ
ば最小のゲート数10で実現できるし、4入力ゲートの
回路技術で実現するには、図4で示した回路によれば最
小のゲート数5で実現することがわかる。
Therefore, in order to realize the initial circuit shown in FIG. 2 using a two-input gate circuit technology, the circuit shown in FIG. It can be seen that this can be realized using the minimum number of gates, 5, according to the circuit shown in FIG.

【0029】このように、本実施例によれば、パラメー
タ設定部で設定した値Nによって生成される多段論理回
路が変化するので、実回路の回路技術における基本ゲー
トの最大数をNとして与えるだけで、その実回路の回路
技術における最適な多段論理回路を生成することができ
る。
As described above, according to this embodiment, the multi-stage logic circuit generated changes depending on the value N set in the parameter setting section, so it is only necessary to give the maximum number of basic gates in the circuit technology of the actual circuit as N. Then, it is possible to generate an optimal multi-stage logic circuit based on the circuit technology of the actual circuit.

【0030】[0030]

【発明の効果】以上説明したように、本発明によれば、
多段論理回路合成装置の多段化処理部にゲート評価関数
に用いる1ゲート当たりの最大入力数を入力するパラメ
ータ設定部を設けるようにしたため、必要に応じた入力
ゲート数で多段化処理を行うことができ、所望の回路技
術に応じた最適化を行うことができ、実回路に変換した
回路の回路品質をより正確に制御することができるとい
う効果を奏する。
[Effects of the Invention] As explained above, according to the present invention,
Since the multi-stage processing section of the multi-stage logic circuit synthesis device is equipped with a parameter setting section for inputting the maximum number of inputs per gate used in the gate evaluation function, multi-stage processing can be performed with the number of input gates as required. It is possible to perform optimization according to a desired circuit technology, and it is possible to more accurately control the circuit quality of a circuit converted into an actual circuit.

【図面の簡単な説明】[Brief explanation of the drawing]

【図1】本発明の原理を示す図である。FIG. 1 is a diagram showing the principle of the invention.

【図2】実施例で処理する初期回路を示す論理回路図で
ある。
FIG. 2 is a logic circuit diagram showing an initial circuit processed in the embodiment.

【図3】図2に示した回路を2入力ゲートを単位として
評価して得られた多段論理回路を示した論理図である。
FIG. 3 is a logic diagram showing a multi-stage logic circuit obtained by evaluating the circuit shown in FIG. 2 in units of two-input gates.

【図4】図2に示した回路を4入力ゲートを単位として
評価して得られた多段論理回路を示した論理図である。
FIG. 4 is a logic diagram showing a multi-stage logic circuit obtained by evaluating the circuit shown in FIG. 2 using a 4-input gate as a unit.

【図5】図3に示した回路を2入力ゲートを単位として
評価して得られた多段論理回路を示した論理図である。
FIG. 5 is a logic diagram showing a multi-stage logic circuit obtained by evaluating the circuit shown in FIG. 3 in units of two-input gates.

【図6】従来の多段論理回路合成装置を示すブロック図
である。
FIG. 6 is a block diagram showing a conventional multi-stage logic circuit synthesis device.

【符号の説明】[Explanation of symbols]

1  入力部 2  主記憶装置 3  コンパイル部 4  論理最適化部 5  多段化処理部 6  パラメータ設定部 7  実回路変換部 8  実回路 1 Input section 2 Main memory 3 Compilation part 4 Logic optimization section 5 Multi-stage processing section 6 Parameter setting section 7 Actual circuit conversion section 8 Actual circuit

Claims (1)

【特許請求の範囲】[Claims] 【請求項1】論理式、真理表等で与えられる回路の論理
情報から所望の多段論理回路を生成する多段論理回路合
成装置であって、論理情報を入力する入力部(1)と、
主記憶装置(2)と、入力された論理情報を内部データ
形式に変換して主記憶装置に展開するコンパイル部(3
)と、二段論理簡単化アルゴリズムにより冗長部分を除
去する論理最適化部(4)と、ゲート評価関数を用いて
回路面積、遅延時間を考慮して回路部分の共通部を括り
出す多段化処理部(5)と、多段化処理部(5)に接続
され、ゲート評価関数に用いる1ゲート当たりの最大入
力数を入力するパラメータ設定部(6)と、多段化され
た論理回路を実回路(8)に変換する実回路変換部(7
)とからなることを特徴とする多段論理回路合成装置。
1. A multistage logic circuit synthesis device that generates a desired multistage logic circuit from logic information of a circuit given by a logical formula, a truth table, etc., comprising an input section (1) for inputting logic information;
A main memory (2) and a compile unit (3) that converts input logical information into an internal data format and expands it to the main memory.
), a logic optimization unit (4) that removes redundant parts using a two-stage logic simplification algorithm, and a multi-stage processing that uses a gate evaluation function to separate common parts of circuit parts by considering circuit area and delay time. A parameter setting section (6) connected to the multi-stage processing section (5) and inputting the maximum number of inputs per gate used for the gate evaluation function, 8).
) A multi-stage logic circuit synthesis device.
JP3070370A 1991-03-12 1991-03-12 Device for synthesizing multistage logical circuit Withdrawn JPH04282770A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP3070370A JPH04282770A (en) 1991-03-12 1991-03-12 Device for synthesizing multistage logical circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3070370A JPH04282770A (en) 1991-03-12 1991-03-12 Device for synthesizing multistage logical circuit

Publications (1)

Publication Number Publication Date
JPH04282770A true JPH04282770A (en) 1992-10-07

Family

ID=13429487

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3070370A Withdrawn JPH04282770A (en) 1991-03-12 1991-03-12 Device for synthesizing multistage logical circuit

Country Status (1)

Country Link
JP (1) JPH04282770A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0863498A (en) * 1994-08-18 1996-03-08 Nec Corp Method and device for synthesizing logic circuit

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0863498A (en) * 1994-08-18 1996-03-08 Nec Corp Method and device for synthesizing logic circuit

Similar Documents

Publication Publication Date Title
US5805462A (en) Automatic synthesis of integrated circuits employing boolean decomposition
US6526542B2 (en) Multi-rail asynchronous flow with completion detection and system and method for designing the same
US5850568A (en) Circuit having plurality of carry/sum adders having read count, write count, and offset inputs to generate an output flag in response to FIFO fullness
Liu et al. Computing RBF kernel for SVM classification using stochastic logic
Cardarilli et al. Design space exploration based methodology for residue number system digital filters implementation
Shelekhov Verification and synthesis of addition programs under the rules of correctness of statements
Parhi Analysis of stochastic logic circuits in unipolar, bipolar and hybrid formats
Varma et al. High speed, low power approximate multipliers
Liu et al. Synthesis of correlated bit streams for stochastic computing
Zografos et al. Majority logic synthesis for spin wave technology
JPH11143686A (en) Partial product generation circuit
JPH04282770A (en) Device for synthesizing multistage logical circuit
Al-Wardi et al. Towards line-aware realizations of expressions for HDL-based synthesis of reversible circuits
Chen et al. Timing optimization of logic network using gate duplication
Calland et al. Retiming DAGs [direct acyclic graph]
Zapletina et al. The global interconnect routing approach for reconfigurable system-on-a-chip
JP3102408B2 (en) Method and apparatus for reducing signal delay
Bernasconi et al. Computing the full quotient in bi-decomposition by approximation
JPH05334465A (en) Device for counting the number of required computing elements/storage devices/data transfer devices
SU805322A1 (en) System for automatic design and control of technological processes in microelectronics
Kagliwal et al. Measuring area-complexity using Boolean difference
Khvatov Method for Fast Evaluation of the Circuit Performance After Structural Resynthesis for RSoC
JP3702475B2 (en) Automatic circuit generator
Nguyen et al. Activity measures for fast relative power estimation in numerical transformation for low power DSP synthesis
JPH056408A (en) Multi-stage logic circuit synthesizing device

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 19980514