JPH03231174A - Test pattern generator - Google Patents

Test pattern generator

Info

Publication number
JPH03231174A
JPH03231174A JP2026167A JP2616790A JPH03231174A JP H03231174 A JPH03231174 A JP H03231174A JP 2026167 A JP2026167 A JP 2026167A JP 2616790 A JP2616790 A JP 2616790A JP H03231174 A JPH03231174 A JP H03231174A
Authority
JP
Japan
Prior art keywords
path
test pattern
critical
paths
critical path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2026167A
Other languages
Japanese (ja)
Other versions
JP2641954B2 (en
Inventor
Shigenari Minazu
水津 繁斉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2026167A priority Critical patent/JP2641954B2/en
Publication of JPH03231174A publication Critical patent/JPH03231174A/en
Application granted granted Critical
Publication of JP2641954B2 publication Critical patent/JP2641954B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Test And Diagnosis Of Digital Computers (AREA)

Abstract

PURPOSE:To generate a test pattern for shortening the processing time of a calculator and conformable to the purpose of test by a method wherein all of paths capable of reaching an end point from a start point are traced while delay calculation is performed and the most critical path is selected. CONSTITUTION:A path trace delay calculation part 1 traces all of paths capable of reaching an end point from a start point while performing delay calculation and a critical path selection part 2 selects the traced paths. A pulse activating part 3 activates the path selected by the critical path selection part 2 and, when the selected path can be activated, a test pattern output part 4 generates the test pattern of the activated path and, when the selected path can not be activated, the selection part 2 selects a new path to activate the same. By this method, a critical path can be certainly selected and, since the path tried at the time of activation is limited, the processing time of a calculator can be shortened.

Description

【発明の詳細な説明】 〔概要〕 テストパターンの発生装置に係り、特にディジタル回路
の設計製造時においてディレィ計算等に用いるテストパ
ターンの発生装置に関し、計算機の処理時間が短く、テ
ストの目的に合致したテストパターンを発生することが
てきるようにすることを目的とし、 テストパターンの発生装置を始点から終点に至りうる全
てのパスをディレィ計算を行ないながらトレースするパ
ストレース・ディレィ計算部と、トレースしたパス中か
ら最もクリティカルなパスを選択するクリティカルパス
選択部と、上記クリティカルパス選択部で選択したパス
を活性化するパス活性化部と、活性化したパスのテスト
パターンを発生するテストパターン出力部とから構成す
る。
[Detailed Description of the Invention] [Summary] This invention relates to a test pattern generation device, especially a test pattern generation device used for delay calculation etc. during the design and manufacturing of digital circuits, which has a short computer processing time and meets the purpose of testing. In order to be able to generate test patterns based on a critical path selection section that selects the most critical path from among the paths selected; a path activation section that activates the path selected by the critical path selection section; and a test pattern output section that generates a test pattern for the activated path. It consists of

(産業上の利用分野〕 本発明は、テストパターンの発生装置に係り、特にディ
ジタル回路の設計製造時においてディレィ計算等に用い
るテストパターンの発生装置に関する。
(Industrial Application Field) The present invention relates to a test pattern generator, and more particularly to a test pattern generator used for delay calculations and the like during the design and manufacture of digital circuits.

〔従来の技術〕[Conventional technology]

近年、コンピュータシステムの大規模化、高速化の要求
に伴ない、特にメインフレームやスーパーコンピュータ
のディジタル回路においては信号伝播速度遅延時間(デ
ィレィ)の異常によって正しい動作か行なわれないこと
が知られており、計算機の信頼性を確保するためには、
上記の伝播速度遅延時間の検出を行なうことが必要とさ
れる。このような信号伝播速度の測定は、最も時間のか
かる(クリティカルな)パスを想定して行なわれる必要
があり、ディジタル回路の設定をこのようなパスとなる
ような条件、即ちテストパターンを設定する必要がある
。しかしながら対象となるディジタル回路が大規模化し
ており、パスの組み合せ数もこれに比例的に増大し、上
記の処理を実行するためには計算機の処理時間が長くな
るため、効率的な方式が望まれる。従来上述したような
ディジタル回路のテストパターンの発生は多くの場合活
性化可能なパス肢を検索しつつ、最終的テストパターン
を作成するものとしている。即ち全ての組み合せ可能な
パスに対して活性化を試みるという手順を取るものであ
る。
In recent years, with the demand for larger and faster computer systems, it has become known that the digital circuits of mainframes and supercomputers, in particular, do not operate correctly due to abnormalities in signal propagation velocity (delay). Therefore, in order to ensure the reliability of the calculator,
It is necessary to perform the detection of the propagation velocity delay time described above. Such signal propagation speed measurements must be performed assuming the most time-consuming (critical) path, and the digital circuit must be set up under conditions that will result in such a path, that is, the test pattern must be set. There is a need. However, as the scale of the target digital circuits increases, the number of path combinations increases proportionally, and the computer processing time required to perform the above processing increases, so an efficient method is desired. It will be done. Conventionally, in the generation of test patterns for digital circuits as described above, in many cases, a final test pattern is created while searching for path limbs that can be activated. That is, a procedure is taken in which activation is attempted for all combinable paths.

(発明か解決しようとする課題) ところで上述した従来のテストパターンの発生において
は、全ての組み合せに対して活性化を行なうものとして
いるから、活性化率を向上させるためには、計算機の処
理時間が長くなるという問題がある。また活性化された
パスが必ずしもディレィ時間に関してクリティカルな(
時間がかかる)ものではなく、活性化しやすいパスが選
択されるといった問題もある。即ち、活性化条件がより
簡単な(活性化条件が少ない)パスが選択され、テスト
パターンが作成されることがある。
(Problem to be solved by the invention) By the way, in the conventional test pattern generation described above, activation is performed for all combinations, so in order to improve the activation rate, it is necessary to increase the processing time of the computer. The problem is that it becomes long. Also, the activated path is not necessarily critical in terms of delay time (
There is also the problem that a path that is easy to activate is selected instead of one that takes time. That is, a path with simpler activation conditions (fewer activation conditions) may be selected and a test pattern created.

そこで、本発明は、計算機の処理時間が短く、テストの
目的に合致したテストパターンを発生することができる
テストパターンの発生装置を提供することを目的とする
SUMMARY OF THE INVENTION Accordingly, an object of the present invention is to provide a test pattern generation device that can generate a test pattern that meets the purpose of a test with a short computer processing time.

〔課題を解決するための手段〕[Means to solve the problem]

本発明にあって、上記の課題を解決するための手段は、
第1図に示すように、始点から終点に至りうる全てのパ
スをディレィ計算を行ないながらトレースするパストレ
ース・ディレィ計算部1と、トレースしたパス中から最
もクリティカルなパを選択するクリティカルパス選択部
2と、上記クリティカルパス選択部で選択したパスを活
性化するパス活性化部3と、活性化したパスのテストパ
ターンを発生するテストパターン出力部4とから構成し
たことである。
In the present invention, means for solving the above problems are as follows:
As shown in Figure 1, there is a path trace/delay calculation unit 1 that traces all possible paths from the start point to the end point while calculating the delay, and a critical path selection unit that selects the most critical path from the traced paths. 2, a path activation section 3 that activates the path selected by the critical path selection section, and a test pattern output section 4 that generates a test pattern of the activated path.

(作用) 本発明によれば、パストレース・ディレィ計算部は始点
から終点に至りつる全てのパスをディレィ計算を行ない
ながらトレースし、クリティカルパス選択部はトレース
したパス中から最もクリティカルなパスを選択する。そ
して、パス活性化部は上記クリティカルパス選択部で選
択したパスを活性化し、テストパターン出力部は活性化
したパスのテストパターンを発生するから、確実にクリ
ティカルなパスを選択する事ができる他、活性化時に試
行するパスが限定されるから計算機処理時間を短縮する
ことができる。
(Operation) According to the present invention, the path trace/delay calculation unit traces all paths from the start point to the end point while performing delay calculation, and the critical path selection unit selects the most critical path from the traced paths. do. Then, the path activation section activates the path selected by the critical path selection section, and the test pattern output section generates a test pattern for the activated path, so it is possible to reliably select a critical path. Since the paths tried during activation are limited, computer processing time can be reduced.

〔実施例〕〔Example〕

以下本発明に係るテストパターンの発生装置の実施例を
図面に基づいて説明する。
Embodiments of the test pattern generation device according to the present invention will be described below with reference to the drawings.

第1図乃至第3図は本発明に係るテストパターンの発生
装置の実施例を示すものである。本実施例において、テ
ストパターン発生装置は第1図に示すように、始点から
終点に至りつる全てのパスをディレィ計算を行ないなが
らトレースするパストレース・ディレィ計算部1と、ト
レースしたパス中から最もクリティカルなパスを選択す
るクリティカルパス選択部2と、上記クリティカルパス
選択部で選択したパスを活性化するパス活性化部3と、
活性化したパスのテストパターンを発生するテストパタ
ーン出力部4とからなる。
1 to 3 show an embodiment of a test pattern generating device according to the present invention. In this embodiment, as shown in FIG. 1, the test pattern generation device includes a path trace/delay calculation unit 1 that traces all paths from a start point to an end point while calculating the delay, and a path trace/delay calculation unit 1 that traces all paths from the start point to the end point while a critical path selection unit 2 that selects a critical path; a path activation unit 3 that activates the path selected by the critical path selection unit;
The test pattern output section 4 generates a test pattern of an activated path.

先ずパストレース・ディレィ計算部は1始点から終点に
至りうる全でのパスをディレィ計算を行ないながらトレ
ースしく5TI)、クリティカルパス選択部はトレース
したパス中から最もクリティカルなパスを選択する(S
r2)。そして、パス活性化部は上記クリティカルパス
選択部で選択したパスを活性化しく5T3)、活性化が
できたなら(Sr1)、テストパターン出力部(Sr1
)は活性化したパスのテストパターンを発生し、活性化
ができない場合には新たなパスを選択して活性化を行な
うから、確実にクリティカルなパスを選択する事かでき
る他、活性化時に試行するパスか限定されるから計算機
処理時間を短縮することができる。
First, the path trace/delay calculation unit traces all possible paths from one start point to the end point while calculating the delay (5TI), and the critical path selection unit selects the most critical path from the traced paths (S
r2). Then, the path activation section activates the path selected by the critical path selection section 5T3), and if activation is successful (Sr1), the test pattern output section (Sr1
) generates a test pattern for the activated path, and if activation is not possible, selects a new path and activates it, so it is possible to reliably select a critical path, and also to perform a trial at the time of activation. Since the number of paths to be used is limited, computer processing time can be reduced.

以上の処理を第3図に示す具体的な回路について説明す
る。第3図に示したのは4台のラッチ(Fl〜F4)、
2台のアンドゲート(A。
The above processing will be explained with reference to a specific circuit shown in FIG. Figure 3 shows four latches (Fl to F4),
Two AND gates (A.

B)、1台のノアゲート(C)及び1台のオアゲート(
D)から構成されたものである。
B), one Noah gate (C) and one or gate (
D).

先ずFlからF4まてのクリティカルパスを見つける。First, find the critical path from Fl to F4.

■Flから出た信号がゲートDで結合するため、F1→
A→C→Dのトータルディレィ「13」と、F1→B−
Dのトータルディレィ「11」とを求める。
■Since the signal from Fl is combined at gate D, F1→
Total delay of A→C→D “13” and F1→B-
Find the total delay of D "11".

■クリティカルなディレィはF1→A−C→Dであるた
めF1→A−C−+Dをテストパスとして選択する。
(2) Since the critical delay is F1→A-C→D, F1→A-C-+D is selected as the test path.

次にテストパスを活性化する。Next, activate the test path.

■ゲートAはアンドゲートであるため、他の入力は“1
゛でなければならないため、外部入力端子P1には“1
パを与える。
■Since gate A is an AND gate, other inputs are “1”
Therefore, the external input terminal P1 must be “1”.
give pa.

■ゲートCはノアゲートであるため他の入力は“0°°
てなければならないため、ラッチF2をOITにスキャ
ンインする。
■Gate C is a Noah gate, so other inputs are “0°°
Therefore, latch F2 is scanned into OIT.

■ゲートDはオアケートであるため他の入力は“OII
にしなければならず、そのため、ゲートBを“0″にす
る必要があり、このためラッチF3を11011にスキ
ャンインする。
■Since gate D is OR, other inputs are “OII”.
Therefore, the gate B needs to be set to "0", and therefore the latch F3 is scanned in to 11011.

これによりラッチF1から“O”及び“1゛′を転送す
るパターンを加えて、テストパターンが出力される。
As a result, a test pattern is output by adding a pattern for transferring "O" and "1" from latch F1.

従って本実施例によれば、クリティカルなパスFl→A
−+C−4Dが選択され活性化はこのパスのみに行なわ
れることとなる。
Therefore, according to this embodiment, the critical path Fl→A
-+C-4D is selected and activation is performed only on this path.

〔発明の効果〕〔Effect of the invention〕

以上説明したように、本発明によれば、テストパターン
発生装置を始点から終点に至りつる全てのパスをディレ
ィ計算を行ないながらトレースするパストレース・ディ
レィ計算部と、トレースしたパス中から最もクリティカ
ルなパスを選択するクリティカルパス選択部と、上記ク
リティカルパス選択部て選択したパスを活性化するパス
活性化部と、活性化したパスのテストパターンを発生す
るテストパターン出力部とから構成したから、確実にク
リティカルなパスを選択する事ができる他、活性化時に
試行するパスが限定されるから計算機処理時間を短縮す
ることができるという効果を奏する。
As explained above, according to the present invention, the test pattern generator includes a path trace/delay calculation unit that traces all the paths from the start point to the end point while calculating the delay, and a path trace delay calculation unit that traces all the paths from the start point to the end point, and It is composed of a critical path selection section that selects a path, a path activation section that activates the path selected by the critical path selection section, and a test pattern output section that generates a test pattern of the activated path. In addition to being able to select a critical path, it also has the effect of reducing computer processing time because the paths to be tried at the time of activation are limited.

【図面の簡単な説明】 第1図は本発明の原理及び実施例を示すブロック図、第
2図は第1図に示したテストパターン発生装置の作動を
示すフローチャート、第3図はテストパターンの発生例
を示す図である。 1・・・パストレース・ディレィ計算部2・・・クリテ
ィカルパス選択部 3・・・パス活性化部 4・・・テストパターン出力部
[Brief Description of the Drawings] Fig. 1 is a block diagram showing the principle and embodiments of the present invention, Fig. 2 is a flowchart showing the operation of the test pattern generator shown in Fig. 1, and Fig. 3 is a block diagram showing the operation of the test pattern generator shown in Fig. 1. It is a figure showing an example of occurrence. 1... Path trace delay calculation section 2... Critical path selection section 3... Path activation section 4... Test pattern output section

Claims (1)

【特許請求の範囲】 始点から終点に至りうる全てのパスをディレィ計算を行
ないながらトレースするパスストレース・ディレィ計算
部(1)と、 トレースしたパス中から最もクリティカルなパスを選択
するクリティカルパス選択部(2)と、 上記クリティカルパス選択部で選択したパスを活性化す
るパス活性化部(3)と、 活性化したパスのテストパターンを発生するテストパタ
ーン出力部(4)とからなることを特徴とするテストパ
ターンの発生装置。
[Scope of Claims] A path trace/delay calculation unit (1) that traces all possible paths from a start point to an end point while performing delay calculations; and a critical path selection unit that selects the most critical path from the traced paths. (2), a path activation section (3) that activates the path selected by the critical path selection section, and a test pattern output section (4) that generates a test pattern of the activated path. A test pattern generator for
JP2026167A 1990-02-07 1990-02-07 Test pattern generator Expired - Lifetime JP2641954B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2026167A JP2641954B2 (en) 1990-02-07 1990-02-07 Test pattern generator

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2026167A JP2641954B2 (en) 1990-02-07 1990-02-07 Test pattern generator

Publications (2)

Publication Number Publication Date
JPH03231174A true JPH03231174A (en) 1991-10-15
JP2641954B2 JP2641954B2 (en) 1997-08-20

Family

ID=12185990

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2026167A Expired - Lifetime JP2641954B2 (en) 1990-02-07 1990-02-07 Test pattern generator

Country Status (1)

Country Link
JP (1) JP2641954B2 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006145401A (en) * 2004-11-19 2006-06-08 Handotai Rikougaku Kenkyu Center:Kk Test pattern compression method and apparatus, test pattern compression program, and medium with the program stored
JP2011069706A (en) * 2009-09-25 2011-04-07 Fujitsu Ltd Apparatus, method and program for delay test
US8001437B2 (en) 2007-04-23 2011-08-16 Kyushu Institute Of Technology Test pattern generation method for avoiding false testing in two-pattern testing for semiconductor integrated circuit
JP5221554B2 (en) * 2007-10-19 2013-06-26 株式会社Lptex Don't care bit extraction method and don't care bit extraction program

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6466578A (en) * 1987-09-08 1989-03-13 Nec Software Ltd Delay analysis system of logical circuit
JPH01197852A (en) * 1988-02-02 1989-08-09 Fujitsu Ltd Critical path deciding device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6466578A (en) * 1987-09-08 1989-03-13 Nec Software Ltd Delay analysis system of logical circuit
JPH01197852A (en) * 1988-02-02 1989-08-09 Fujitsu Ltd Critical path deciding device

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006145401A (en) * 2004-11-19 2006-06-08 Handotai Rikougaku Kenkyu Center:Kk Test pattern compression method and apparatus, test pattern compression program, and medium with the program stored
US8001437B2 (en) 2007-04-23 2011-08-16 Kyushu Institute Of Technology Test pattern generation method for avoiding false testing in two-pattern testing for semiconductor integrated circuit
JP5221554B2 (en) * 2007-10-19 2013-06-26 株式会社Lptex Don't care bit extraction method and don't care bit extraction program
JP2011069706A (en) * 2009-09-25 2011-04-07 Fujitsu Ltd Apparatus, method and program for delay test

Also Published As

Publication number Publication date
JP2641954B2 (en) 1997-08-20

Similar Documents

Publication Publication Date Title
US4635261A (en) On chip test system for configurable gate arrays
KR940022262A (en) Data generation method, logic calculation method and logic calculator for logic calculation
US5422891A (en) Robust delay fault built-in self-testing method and apparatus
JPS58207152A (en) Test system for pipeline arithmetic device
CN114548027A (en) Method for tracking signal in verification system, electronic device and storage medium
JPH03231174A (en) Test pattern generator
US10929584B1 (en) Environmental modification testing for design correctness with formal verification
US20150145580A1 (en) Apparatus for controlling semiconductor chip characteristics
JPH0836034A (en) Multiple-bit test pattern generating unit
JP4899927B2 (en) Test pattern automatic generation method and test pattern automatic generation program
RU2779754C1 (en) Apparatus for implementing a cubic operation or
RU2772311C1 (en) Device for implementing the cubic operation and
US6457149B1 (en) Semiconductor integrated circuit and semiconductor integrated circuit test method
Yu et al. An ACS robotic control algorithm with fault tolerant capabilities
JP2567985B2 (en) Digital circuit path automatic selection method and digital circuit path automatic selection device
Malan et al. Designing elementary-tree space compressors using AND/NAND and XOR/XNOR combinations
Afzaal et al. Observing the Impact of Critical Faults in Arithmetic Circuits for Digital Image Processing
Liang et al. Multi-function controller for low-power multiple scan test of transition delay faults
JP4268966B2 (en) Circuit analysis apparatus, circuit analysis method, program, and computer-readable recording medium
KR970049445A (en) Multibit Adder in Digital Signal Processor
Soomro et al. A general real-time decoder based on amd2900 devices
JPH06103337B2 (en) Algorithmic pattern generator circuit
JPS5858699B2 (en) error correction circuit
JPH03222199A (en) Semiconductor memory
JPH0528217A (en) Logical simulator