JPH03135022A - Treatment apparatus for substrate - Google Patents

Treatment apparatus for substrate

Info

Publication number
JPH03135022A
JPH03135022A JP27359689A JP27359689A JPH03135022A JP H03135022 A JPH03135022 A JP H03135022A JP 27359689 A JP27359689 A JP 27359689A JP 27359689 A JP27359689 A JP 27359689A JP H03135022 A JPH03135022 A JP H03135022A
Authority
JP
Japan
Prior art keywords
vacuum
transfer
chamber
transfer chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP27359689A
Other languages
Japanese (ja)
Inventor
Shimao Yoneyama
詩麻夫 米山
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP27359689A priority Critical patent/JPH03135022A/en
Publication of JPH03135022A publication Critical patent/JPH03135022A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE:To simplify a constitution and to make an apparatus small by a method wherein an evcauation system is used in common for a plurality of transfer chambers. CONSTITUTION:Vacuum treatment chambers 1, 1' are arranged and installed, via gate valves 9a, 9b, on both sides of a vacuum-type transfer chamber 7 in which a substrate transfer means 8 has been installed; in addition, transfer chambers 2a, 2b which can be vacuum-evacuated and in which substrate-transfer means 8 have been installed and arranged and installed on both sides of the chambers. Vacuum systems 11a, 11b, 11c which are used to vacuum-evacuate chambers 7, 2a, 2b are used in common or are made common. Consequently, an evacuation system is simplified and a treatment apparatus can be made small.

Description

【発明の詳細な説明】 [発明の目的] (産業上の利用分野) 本発明は、たとえばプラズマエツチング処理用およびプ
ラズマアッシング処理用などの真空化可能な移送室およ
び真空型処理室を有する基板の処理装置に関する。
Detailed Description of the Invention [Objective of the Invention] (Industrial Application Field) The present invention relates to a substrate having a transfer chamber and a vacuum-type processing chamber that can be evacuated, such as for plasma etching processing and plasma ashing processing. It relates to a processing device.

(従来の技術) たとえばガラス基板面に被着形成した薄い導電性膜を、
所要の微細パターン化する手段として、前記導電性膜上
にレジストマスクを施して、プラズマエツチング処理し
露出していた導電性膜を選択的に除去した後、所要のア
ッシング処理を施し前記レジストマスクを除去して所定
の微細パターンを形成することが知られている。すなわ
ち、プラズマエツチング装置を用い、該装置の真空型処
理室内にほぼ平行に水平配置された平板電極の下側の電
極上にレジスト薄膜を形成したガラス基板のような被処
理基板を載置して、該真空型処理室を真空引きし所要の
エツチングガスを導入しかつ、排出しながら前記電極間
に高周波電圧を印加して上記被処理基板面上の露出した
薄膜をエツチングする。次いで前記エツチング装置の処
理室内の処理ガスや処理条件を変えることにより前記レ
ジストマスクを除去(アッシング)すことが知られてい
る。
(Conventional technology) For example, a thin conductive film deposited on a glass substrate surface,
As a means of forming the required fine pattern, a resist mask is applied on the conductive film, and after plasma etching is performed to selectively remove the exposed conductive film, a required ashing process is performed to remove the resist mask. It is known to form a predetermined fine pattern by removing it. That is, a plasma etching device is used, and a substrate to be processed, such as a glass substrate on which a resist thin film is formed, is placed on the lower electrode of flat plate electrodes arranged horizontally in a vacuum type processing chamber of the device. The vacuum type processing chamber is evacuated, a necessary etching gas is introduced, and while being exhausted, a high frequency voltage is applied between the electrodes to etch the exposed thin film on the surface of the substrate to be processed. It is known that the resist mask is then removed (ashing) by changing the processing gas and processing conditions in the processing chamber of the etching apparatus.

ところで、上記プラズマエツチング装置においては、第
4図にその構成を模式的に示すように、真空型処理室1
両側には、処理サイクルを速くするとともに塵埃の侵入
を避けるために、真空引き可能な移送室(ロードロック
室) 2a、2bがゲートバルブ3a、3bを介して連
設されており、被処理基数は、移送室2a内に配置され
た搬送手段によってクリーンベンチ4内のポジショニン
グテーブル4aから前記真空型処理室1内に移送され、
所要の処理を施した後、この真空型処理室1にゲートバ
ルブ3bを介して別途配設された移送室2bにその移送
室2b内に配置された搬送手段によって取り出され、さ
らにゲートバルブ5bを介してクリーンベンチ4にある
ポジショニングテーブル4a’上に取り出される。すな
わち、上記真空型処理室1で被処理基板に所要の処理を
施すに当っては、クリーンベンチ4と真空引き可能な移
送室2aとを仕切るゲートバルブ5aを先ず開放し、移
送室2a内に配置された搬送手段、たとえば先端部に基
板搭載部を有する屈伸可能な搬送アームにより、前記開
放されたゲートバルブ5aを通って移送室2a外のクリ
ーンベンチ4にあるボジショニングテーブル4aがら先
ず移送室2a内に移送される。、次いで前記開放されて
いたゲートバルブ5aを閉鎖し、移送室2a内をこれに
連接したメカニカルポンプ6aおよびロータリーポンプ
6bを備えた真空、排気系6aの駆動により真空、排気
した後、真空型処理室1との間を仕切るゲートバルブ3
aを開放し、前記真空型処理室1の電極ia上に移送し
、搬送手段が前記移送室2aに戻る一方、前記真空型処
理室1との間を仕切るゲートバルブ3aが閉鎖され、前
記真空型処理室1内では所要の処理を行う。し力電して
1、・所要の処理が終了するに先立って前記真空型処理
室lにゲートバルブ3bを介して別途配設された搬送手
段を内装しかつ真空引き可能な移送室2bを、所定の排
気系6cの駆動により真空、排気した後、前記真空型処
理室1と別途配設された移送室2L+とを仕切るゲート
バルブ3bを開放し、前記真空型処理室1内の電極ia
上から被処理基板を、前記搬送手段にて移送室2b内に
取り出す一方、前記開放していたゲートバルブ3bを閉
鎖するとともに駆動させた排気系6cの駆動を停止させ
る。次にこの移送室2bを不活性ガスの導入により大気
圧に戻してクリーンベンチ4゛とを仕切るゲートバルブ
5bを開放し、被処理基板を、前記搬送手段にてクリー
ンベンチ4°側に取り出し得るように構成されている。
By the way, in the above plasma etching apparatus, as shown schematically in FIG.
On both sides, transfer chambers (load lock chambers) 2a and 2b that can be evacuated are connected via gate valves 3a and 3b in order to speed up the processing cycle and prevent dust from entering. is transferred from the positioning table 4a in the clean bench 4 to the vacuum type processing chamber 1 by a transfer means arranged in the transfer chamber 2a,
After performing the required processing, the vacuum type processing chamber 1 is taken out via a gate valve 3b to a separately provided transfer chamber 2b by means of a transfer means disposed within the transfer chamber 2b, and is then transferred through a gate valve 5b. The sample is then taken out onto a positioning table 4a' on the clean bench 4. That is, when performing the required processing on the substrate to be processed in the vacuum processing chamber 1, first open the gate valve 5a that partitions the clean bench 4 and the transfer chamber 2a that can be evacuated, and then open the transfer chamber 2a. By means of a disposed transfer means, for example, a bendable transfer arm having a substrate mounting portion at its tip, the positioning table 4a on the clean bench 4 outside the transfer chamber 2a is first transferred to the transfer chamber through the opened gate valve 5a. 2a. Then, the previously opened gate valve 5a is closed, and the inside of the transfer chamber 2a is evacuated and evacuated by driving a vacuum and exhaust system 6a equipped with a mechanical pump 6a and a rotary pump 6b connected thereto, and then vacuum type processing is performed. Gate valve 3 that separates room 1
a is opened, the transfer means is transferred onto the electrode ia of the vacuum processing chamber 1, and the transfer means returns to the transfer chamber 2a, while the gate valve 3a that partitions off the vacuum processing chamber 1 is closed and the vacuum In the mold processing chamber 1, necessary processing is performed. 1. Prior to the completion of the required processing, a transfer chamber 2b which is equipped with a transfer means separately provided through a gate valve 3b in the vacuum type processing chamber l and which can be evacuated; After evacuating and evacuating by driving a predetermined exhaust system 6c, the gate valve 3b that partitions the vacuum processing chamber 1 and the separately provided transfer chamber 2L+ is opened, and the electrode ia in the vacuum processing chamber 1 is opened.
The substrate to be processed is taken out from above into the transfer chamber 2b by the transfer means, while the previously opened gate valve 3b is closed and the driven exhaust system 6c is stopped. Next, the transfer chamber 2b is returned to atmospheric pressure by introducing an inert gas, the gate valve 5b separating it from the clean bench 4' is opened, and the substrate to be processed can be taken out to the 4° side of the clean bench by the transfer means. It is configured as follows.

(発明が解決しようとする課題) 上記プラズマエツチング装置は、エツチング装置の真空
型処理室1内の処理ガスや処理条件を変えることにより
、上記真空型処理室1内でたとえばプラズマエツチング
およびプラズマアッシングのような、互いに異なる真空
処理機能を果している。しかしながら、上記処理装置に
おいては、真空型処理室内1および真空引き可能な移送
室2a、2b内を、それぞれ所要の真空乃至減圧状態に
連続的にもしくは間欠的に真空、排気する各別に(専用
な)排気系8a、8b、8eを備えている。このため排
気系’(ia、6b、8cが複雑になり、また装置自体
も大型化するなどの不都合がある。特に真空型処理室を
真空型移送室を介して多段に配設し、異なる処理を流れ
作業的に行い得るように構成した処理装置の場合には、
前記き排気系の複雑化などはさらに助長される。
(Problems to be Solved by the Invention) The plasma etching apparatus described above can perform, for example, plasma etching and plasma ashing in the vacuum processing chamber 1 by changing the processing gas and processing conditions in the vacuum processing chamber 1 of the etching apparatus. They perform different vacuum processing functions. However, in the above processing apparatus, the vacuum type processing chamber 1 and the vacuum-capable transfer chambers 2a and 2b are evacuated and evacuated separately (dedicated) to the required vacuum or reduced pressure state, respectively, continuously or intermittently. ) Equipped with exhaust systems 8a, 8b, and 8e. For this reason, there are disadvantages such as the exhaust system' (ia, 6b, 8c) becoming complicated and the equipment itself becoming larger.In particular, vacuum type processing chambers are arranged in multiple stages via vacuum type transfer chambers, and different processing In the case of a processing device configured so that it can be performed in an assembly line,
This further increases the complexity of the exhaust system.

本発明はかかる従来の問題を解消すべくなされたもので
、複数の移送室についての排気系を共用化することによ
り、構成の簡略化や装置の小形化を図った基板の処理装
置を提供することを目的とする。
The present invention has been made to solve such conventional problems, and provides a substrate processing apparatus that has a simplified configuration and a smaller size by sharing an exhaust system for a plurality of transfer chambers. The purpose is to

[発明の構成] (課題を解決するための手段) 上記目的を達成するため、本発明は基板の移送手段を内
装した真空引き可能な移送室と、該真空引き可能な移送
室にゲートバルブを介して配設した真空型処理室と、該
真空型処理室および真空引き可能な移送室を真空、排気
する排気系とを具備し、前記排気系のうち真空引き可能
な移送室に連接する排気系を共通化したことを特徴とす
る。
[Structure of the Invention] (Means for Solving the Problems) In order to achieve the above object, the present invention includes a transfer chamber that can be evacuated and has a means for transferring a substrate inside, and a gate valve in the transfer chamber that can be evacuated. a vacuum processing chamber disposed through the vacuum processing chamber, and an exhaust system for evacuating and evacuating the vacuum processing chamber and the transfer chamber that can be evacuated; It is characterized by having a common system.

(作用) 本発明においては、基板の移送手段を内装した真空型移
送室の真空、排気に関与する排気系を共通乃至共用する
構成としている。つまり、処理装置が具備している移送
手段を内装する真空引き可能な複数個の移送室に対する
排気系を各別とせず共通乃至共用する構成となっている
。このため、排気系の構成は簡略化しメンテナンスなど
の問題も軽減する一方、小形化も容易に図り得る。
(Function) In the present invention, the exhaust system involved in evacuation and evacuation of the vacuum type transfer chamber in which the substrate transfer means is installed is commonly or commonly used. In other words, the configuration is such that the exhaust systems for the plurality of vacuum-capable transfer chambers in which the transfer means of the processing apparatus are installed are not separate but shared. Therefore, the configuration of the exhaust system can be simplified and problems such as maintenance can be reduced, and it can also be easily miniaturized.

(実施例) 次に本発明の実施例について説明する。(Example) Next, examples of the present invention will be described.

第1図は本発明に係る基板の処理装置例を概略的に示す
もので、7は基板の移送手段8を内装した真空型移送室
、t、t’は前記真空型移送室7の両側にゲートバルブ
9a、9bを介してそれぞれ配設した互いに処理機能が
異なるたとえばプラズマエツチング用およびプラズマア
ッシング用の真空型処理室、また2a、2bは前記真空
型処理室1.1’にゲートバルブ3a、3bを介して配
設した基板の移送手段8°を内装しかつ真空引き可能な
移送室、9a、9bは前記真空型処理室1.1’をそれ
ぞれ所要の真空状態に真空、排気する各専用の排気系で
たとえばメカニカルブースターポンプloaおよびロー
タリーポンプfobを備えており、またlla、11b
、11eは前記真空型移送室8および真空引・き可能な
移送室2a、2bにそれぞれ一端はメカニカルブースタ
ーポンプ10aを介して接続しながら、゛他端側ではロ
ータリーポンプ10b°に集中した排気系である。なお
、前記排気系11b、11cには対応する真空引き可能
な真空移送室t、i’の動作に同期して所要の真空、排
気を行い得るようにそれぞれ制御バルブ12a、12b
が配設しである。
FIG. 1 schematically shows an example of a substrate processing apparatus according to the present invention, where 7 is a vacuum transfer chamber equipped with a substrate transfer means 8, and t and t' are on both sides of the vacuum transfer chamber 7. Vacuum type processing chambers for plasma etching and plasma ashing, which have different processing functions, are respectively disposed through gate valves 9a and 9b, and gate valves 3a and 2a and 2b are connected to the vacuum type processing chambers 1.1'. 3b is a transfer chamber equipped with a substrate transfer means 8° and capable of being evacuated; 9a and 9b are dedicated for evacuating and evacuating the vacuum type processing chamber 1.1' to a required vacuum state, respectively; For example, the exhaust system is equipped with a mechanical booster pump loa and a rotary pump fob, and lla, 11b
, 11e are connected to the vacuum-type transfer chamber 8 and the evacuation-capable transfer chambers 2a and 2b through a mechanical booster pump 10a at one end, while an exhaust system concentrated on the rotary pump 10b at the other end. It is. The exhaust systems 11b and 11c are provided with control valves 12a and 12b, respectively, so as to perform the required vacuum and exhaust in synchronization with the operation of the corresponding vacuum transfer chambers t and i'.
is arranged.

上記基板の処理装置例において、基板の移送手段8を内
装した真空型移送室7は、第2図に斜視的に示す如く、
真空容器本体7aならびに上部開口を密閉する開閉自在
の覆蓋7bからなる真空室7cと、転の真空室7cの中
央に配置された基板搬送用の伸縮自在の搬送アーム8と
から主として構成されている。
In the above-mentioned example of the substrate processing apparatus, the vacuum type transfer chamber 7 containing the substrate transfer means 8 is as shown in perspective in FIG.
It mainly consists of a vacuum chamber 7c consisting of a vacuum chamber body 7a and a lid 7b that can be opened and closed to seal the upper opening, and a telescopic transfer arm 8 for transferring substrates placed in the center of the vacuum chamber 7c. .

また、上記真空室7cの対向する側壁には、それぞれ偏
平なアーム通過孔7d、7cが穿設されている。
In addition, flat arm passage holes 7d and 7c are formed in opposing side walls of the vacuum chamber 7c, respectively.

このアーム通過孔7d 、 7eには、図示しないゲー
トバルブ(3a、3b)が設けられ、この真空室7Cを
気密に閉鎖できるようにされている。しかして、これら
のアーム通過孔7d 、 7eを形設した側壁と隣接す
る側壁内面には、屈曲した搬送アーム8先端の軌跡に沿
ってそれぞれ円弧状の凹部7rが形成されており(図で
は一方だけ示している)、この凹部7rの下側には、真
空ポンプに連通ずる吸気用の透孔7gが穿設されている
Gate valves (3a, 3b), not shown, are provided in the arm passage holes 7d and 7e, so that the vacuum chamber 7C can be closed airtight. Arc-shaped recesses 7r are formed on the inner surface of the side wall adjacent to the side wall in which these arm passage holes 7d and 7e are formed, respectively, along the locus of the bent tip of the transfer arm 8 (in the figure, one side is A through hole 7g for air intake, which communicates with the vacuum pump, is provided below the recess 7r.

ところで、上記搬送アーム8は、回転軸により枢動可能
とされた2つの関節部で連結された3つのアーム8a、
8b、8cからなり、第1アーム8aの基端部は真空室
7c中央に突設された図示しない2重回転軸の外軸に固
定され、先端の第3アーム8cには基板13の搭載部A
が形成されている。前記2重回軸および搬送アーム8の
各関節部の回転軸には図示しないプーリーが軸装され、
各ブー9−間には複数のガイドローラーを介してベルト
が掛は渡されて、2重回転軸の内軸の回転に、よ・り各
関節部が回転するように構成されている。そして2重回
転軸の外軸と内袖とは各アーム8a、8b、8cが第3
図に示す動きをするよう別々にその回転が制御される。
By the way, the above-mentioned transport arm 8 includes three arms 8a, which are connected by two joints that can pivot about a rotating shaft.
8b and 8c, the base end of the first arm 8a is fixed to the outer shaft of a double rotating shaft (not shown) protruding from the center of the vacuum chamber 7c, and the third arm 8c at the tip has a mounting portion for the substrate 13. A
is formed. A pulley (not shown) is mounted on the double rotation shaft and the rotation shaft of each joint of the transport arm 8,
A belt is passed between each boot 9 via a plurality of guide rollers, and each joint is configured to rotate as the inner shaft of the double rotating shaft rotates. And the outer shaft and inner sleeve of the double rotating shaft are each arm 8a, 8b, 8c.
Their rotations are separately controlled to produce the movements shown in the figure.

第3図は、搬送アーム8が第1のアーム通過孔7dから
伸び出して、一方の真空型処理室2a内の電極1a面上
に載置された基板13を搭載した状態から、第2のアー
ム通過孔7eから伸び出して基板13を隣接する他の真
空型処理室l°内の電111a’面上に挿入するまでの
動きを示したものである。
FIG. 3 shows a state in which the transfer arm 8 extends from the first arm passage hole 7d and carries the substrate 13 placed on the surface of the electrode 1a in one vacuum type processing chamber 2a, and then transfers the substrate 13 to the second one. This figure shows the movement of the arm extending from the arm passage hole 7e and inserting the substrate 13 onto the surface of the electrode 111a' in another adjacent vacuum processing chamber 1°.

上記搬送アーム8の動作において、2ff1回転軸の回
転により最大伸長位置で基板13を搭載した状態から第
1アーム8aは図で反時計方向に等速度で回転し、第2
アーム8bは時計方向に第1アーム8aの2倍の角速度
で回転し、第3アーム8cは第1アーム8aと同方向に
同一角速度で回転する。したがって、搬送アーム8は、
同図(a)の状態から同図(b) 、 (e)に示すよ
うに、第1アーム8aと第2アーム8bの関節部が屈曲
しつつ第3アーム8Cは同一直線上を後退する。このと
きアーム通過孔7d側のゲートバルブ9aは開放され、
アーム通過孔7C側のゲートバルブ9bは閉鎖されてい
る。
In the operation of the transfer arm 8, the first arm 8a rotates counterclockwise in the figure at a constant speed from the state where the substrate 13 is mounted at the maximum extension position due to the rotation of the 2ff1 rotation axis, and the second
The arm 8b rotates clockwise at twice the angular velocity of the first arm 8a, and the third arm 8c rotates in the same direction as the first arm 8a at the same angular velocity. Therefore, the transfer arm 8 is
From the state shown in FIG. 12A, as shown in FIGS. 3B and 3E, the joint portions of the first arm 8a and the second arm 8b are bent, and the third arm 8C retreats on the same straight line. At this time, the gate valve 9a on the side of the arm passage hole 7d is opened,
The gate valve 9b on the side of the arm passage hole 7C is closed.

このようにして第1アーム8aの回転軸から第3アーム
8cの先端までの距離がほぼ第1アーム8aの長さと等
しくなったところで、内軸の回転が一旦停止し、同図(
d)、(e)に示すように、この状態を維持したまま 
180’回転する。このときアーム通過孔7d側のゲー
トバルブ9aが閉鎖され、かつ真空室7C内が補助的に
真空引きされてゲートバルブ9bを介してアーム通過孔
7Cと接続された処理室l°の真空度より低い真空度と
される。しかる後、アーム通過孔7e側のゲートバルブ
9bが開放され、2重回転軸の外輪と内軸がこれまでと
反対側に回転され、同図(r)に示すようにアーム通過
孔7eから第3アーム8Cが伸び出して行き、基板13
が真空状態の処理室1°内に挿入される。
In this way, when the distance from the rotation axis of the first arm 8a to the tip of the third arm 8c becomes approximately equal to the length of the first arm 8a, the rotation of the inner shaft is temporarily stopped, and as shown in the figure (
While maintaining this state as shown in d) and (e),
Rotate 180'. At this time, the gate valve 9a on the side of the arm passage hole 7d is closed, and the inside of the vacuum chamber 7C is evacuated auxiliary to lower the degree of vacuum in the processing chamber l° connected to the arm passage hole 7C via the gate valve 9b. It is considered to have a low degree of vacuum. After that, the gate valve 9b on the side of the arm passage hole 7e is opened, and the outer ring and inner shaft of the double rotating shaft are rotated in the opposite direction, and as shown in FIG. 3 arm 8C extends and the board 13
is inserted into a processing chamber 1° in a vacuum state.

一方、前記基板の移送手段8を内装した真空型移送室7
にゲートバルブ9a、 9bを介して配設した真空型処
理室l、1゛にそれぞれゲートバルブ3a、3bを介し
て配設した基板の移送手段8°を内装した真空引−き可
能な真空移送室2a、2bも、その基本的な構成は前記
基板の移送手段ゆを内装した真空移送室7の場合と同様
で、ゲートバルブ5a、5bを介して大気圧のクリーン
ベンチ4.4゛に接続されている。
On the other hand, a vacuum transfer chamber 7 containing the substrate transfer means 8
Vacuum processing chambers 1 and 1 are provided with substrate transfer means 8° via gate valves 3a and 3b, respectively, and vacuum transfer is possible. The basic configuration of the chambers 2a and 2b is the same as that of the vacuum transfer chamber 7, which is equipped with the means for transferring the substrate, and is connected to an atmospheric pressure clean bench 4.4'' via gate valves 5a and 5b. has been done.

次に、この処理装置の動作について説明する。Next, the operation of this processing device will be explained.

この処理装置においては、先ず真空引き可能な真空移送
室2a内が大気圧の状態でクリーンベンチ4のボジシッ
ニングテーブル4aにインデクサから、たとえばレジス
トマスクを設けたたガラス基板が供給される。このガラ
ス基板は真空移送室2aの搬送アーム8°によりその真
空移送室2a内に取り込まれ、この状態でゲートバルブ
5aが閉鎖されるとともに、このゲートバルブ5aが閉
鎖に対応(連動)して排気系11bが駆動し、真空移送
室2aにゲートバルブ3aを介して連接された真空型処
理室1の真空度より高い真空度に真空引きされる。次い
でゲートバルブ3aが開放され搬送アーム8′が伸長し
て、真空型処理室1内に配設しである対向電極1a、I
bの下部電極Ia上にガラス基板が搭載し、搬送アム8
“は再び真空移送室2a内に引き込まれてゲートバルブ
3aか閉鎖され、前記真空型処理室1内では所要のプラ
ズマエツチング処理が行なわれる。前記ゲートバルブ3
aが閉鎖された後、排気系11bの駆動は停止される一
方、ゲートバルブ5aが開放となり移送室2aは大気圧
に戻されクリーンベンチ4側から次の被処理基数を移送
する態勢に入る。
In this processing apparatus, first, a glass substrate provided with, for example, a resist mask is supplied from an indexer to a body thinning table 4a of a clean bench 4 while the interior of a vacuum transfer chamber 2a that can be evacuated is at atmospheric pressure. This glass substrate is taken into the vacuum transfer chamber 2a by the transfer arm 8° of the vacuum transfer chamber 2a, and in this state, the gate valve 5a is closed, and the gate valve 5a corresponds to (interlocks with) the closing and evacuates. The system 11b is driven and the vacuum transfer chamber 2a is evacuated to a higher vacuum level than the vacuum processing chamber 1 connected via the gate valve 3a. Next, the gate valve 3a is opened, the transfer arm 8' is extended, and the counter electrodes 1a and I arranged in the vacuum processing chamber 1 are
A glass substrate is mounted on the lower electrode Ia of b, and the transport am 8
" is drawn into the vacuum transfer chamber 2a again and the gate valve 3a is closed, and a necessary plasma etching process is performed in the vacuum processing chamber 1.
After a is closed, the drive of the exhaust system 11b is stopped, while the gate valve 5a is opened and the transfer chamber 2a is returned to atmospheric pressure and ready to transfer the next number of substrates to be processed from the clean bench 4 side.

そして真空型処理室1内で所要のプラズマエツチング処
理が行なわれているとき、真空型移送室7内が真空引き
され、前記ガラス基板のプラズマエツチング処理が終了
するとゲートバルブ9aが開放され、真空型移送室7の
搬送アーム8が真空型処理室1内に挿入されてガラス基
板は真空型移送室7内に取り込まれて再びゲートバルブ
9aが閉じられる。しかる後、真空型移送室7の出口側
のゲートバルブ9bが開放されて、このガラス基板を保
持したアーム8は伸長して、前記真空移送室7にゲート
バルブ9bを介して連接された他の真空型処理室l°内
に配設しである対向電極1a’#1b’の下部電極1a
’上にガラス基板を搭載し、搬送ア、−ム8は再び真空
移送室7内に引き込まれてゲートバルブ9bが閉鎖され
、前記真空型処理室1゛内では所要のアッシング処理が
行なわれる。この状態でゲートバルブ5bが閉鎖される
と、このゲートバルブ5bの閉鎖に応答して真空移送室
2bに一端を接続した排気系11cが駆動し、前記真空
移送室2bに連接された真空型処理室1°の真空度より
高い真空度に真空引きされる。次いでゲートバルブ3b
が開放され、ガラス基板は真空移送室l°の搬送アーム
8“によりその真空移送室l°内に取り込まれると前記
ゲートバルブ3bが閉鎖される一方、前記ゲートバルブ
3bの閉鎖に呼応して排気系lieの駆動による真空移
送室1゛の真空、排気操作が停止する。
While the required plasma etching process is being performed in the vacuum processing chamber 1, the vacuum transfer chamber 7 is evacuated, and when the plasma etching process for the glass substrate is completed, the gate valve 9a is opened and the vacuum transfer chamber 7 is evacuated. The transfer arm 8 of the transfer chamber 7 is inserted into the vacuum processing chamber 1, the glass substrate is taken into the vacuum transfer chamber 7, and the gate valve 9a is closed again. Thereafter, the gate valve 9b on the exit side of the vacuum transfer chamber 7 is opened, and the arm 8 holding this glass substrate is extended and connected to the vacuum transfer chamber 7 via the gate valve 9b. Lower electrode 1a of counter electrode 1a'#1b' disposed in vacuum type processing chamber l°
A glass substrate is mounted thereon, and the transport arm 8 is again drawn into the vacuum transfer chamber 7, the gate valve 9b is closed, and the required ashing process is performed in the vacuum processing chamber 1. When the gate valve 5b is closed in this state, the exhaust system 11c, which has one end connected to the vacuum transfer chamber 2b, is activated in response to the closure of the gate valve 5b, and the vacuum type process connected to the vacuum transfer chamber 2b is activated. The chamber is evacuated to a higher degree of vacuum than the vacuum level of 1°. Next, the gate valve 3b
is opened and the glass substrate is taken into the vacuum transfer chamber 1° by the transfer arm 8'' of the vacuum transfer chamber 1°, the gate valve 3b is closed, and in response to the closing of the gate valve 3b, the air is evacuated. The evacuation and evacuation operations of the vacuum transfer chamber 1' driven by the system ie are stopped.

上記により、真空移送室l°内にガラス基板を取り込ん
だ後、ゲートバルブ5bを開放して真空移送室l°内を
大気圧に戻す一方、真空移送室1°の搬送アーム8°を
開放したゲートバルブ5bを通しクリーンベンチ4°側
に伸長させて、前記所要の真空処理を施したガラス基板
をクリーンベンチ4゛のボジショニングテーブル4a’
上に載置して、図示しないコンベア装置により搬出され
る。
After taking the glass substrate into the vacuum transfer chamber 1° as described above, the gate valve 5b was opened to return the inside of the vacuum transfer chamber 1° to atmospheric pressure, while the transfer arm 8° of the vacuum transfer chamber 1° was opened. The glass substrate, which has been subjected to the necessary vacuum treatment, is extended to the 4° side of the clean bench through the gate valve 5b and placed on the positioning table 4a' of the clean bench 4'.
It is placed on top and carried out by a conveyor device (not shown).

第1図に示した構成の処理装置においては、上記したよ
うに基板に対して異種の処理を順次連続的に施すことが
でき、また被処理基板を連続的に供給して所要の処理を
施し得る。ところで、被処理基板を連続的に供給して所
要の処理を施す場合、各真空引き可能な真空移送室t、
t’、真空移送室7および各真空型処理室2a、2bは
それぞれ一定の動作乃至操作を繰り返えすことになる。
The processing apparatus having the configuration shown in Figure 1 can sequentially and continuously perform different types of processing on substrates as described above, and can also continuously supply substrates to be processed and perform the required processing. obtain. By the way, when the substrates to be processed are continuously supplied and subjected to the required processing, each vacuum transfer chamber t, which can be evacuated,
t', the vacuum transfer chamber 7 and the vacuum type processing chambers 2a, 2b each repeat a certain operation or operation.

しかして、この連続操作においては、前記真空型移送室
7および各真空型処理室2a、2bはそれぞれ排気系9
a、9b、llaの連続的な駆動によって、連続的に真
空。
In this continuous operation, the vacuum transfer chamber 7 and the vacuum processing chambers 2a, 2b each have an exhaust system 9.
A, 9b, and lla are continuously driven to create a vacuum continuously.

排気されるが、真空引き可能な真空移送室1.1°にあ
っては大気圧の状態にあるクリーンベンチ4゜4°側と
の被処理基板の授受を行うため、排気系す、llcの駆
動は間欠的になる。しかも前記排気系11b、lieの
間欠的駆動は同期していないが、前記排気系11b、1
1cにそれぞれ配設されている制御バルブ12a、12
bによってロータリーポンプjob’に連接し、対応す
る真空引き可能な真空移送室t、t’の動作−に同期し
て所要の真空、排気を行う。
However, in the vacuum transfer chamber 1.1°, which can be evacuated, the exhaust system is connected to the clean bench 4°4°, which is at atmospheric pressure, to transfer the substrate to be processed. The drive becomes intermittent. Moreover, although the intermittent driving of the exhaust systems 11b and 1ie is not synchronized,
Control valves 12a and 12 respectively disposed in 1c
It is connected to the rotary pump job' by b, and performs the required vacuum and exhaust in synchronization with the operation of the corresponding vacuum transfer chambers t and t'.

なお、上記例では・、真空型移送室7の両側に真空型処
理室2a、2bを配設し、さらにその真空型処理室2a
、2bの反対側に真空引き可能な真空移送室l。
In the above example, the vacuum processing chambers 2a and 2b are provided on both sides of the vacuum transfer chamber 7, and the vacuum processing chamber 2a and
, 2b, there is a vacuum transfer chamber l which can be evacuated.

I゛を配設した構成を示したが、真空型移送室7を複数
個とし、それぞれそ9両側に真空型処理室2a、2b・
・・を配設した構成としてもよいし、また真空型処理室
の両側に真空引き可能な真空移送室をそれぞれ配設した
構成としてもよい。また、前記真空引き可能な真空移送
室1.1’および真空型移送室7が内装する基板の移送
手段も前記例示に限定されるものでなく、他の移送手段
であっても勿論よい。
Although the configuration is shown in which a plurality of vacuum transfer chambers 7 are provided, vacuum processing chambers 2a, 2b, and 2b are provided on both sides of each vacuum transfer chamber 7.
. . . may be provided, or a vacuum transfer chamber capable of evacuation may be provided on both sides of the vacuum type processing chamber. Further, the means for transferring the substrate contained in the vacuum transfer chamber 1.1' which can be evacuated and the vacuum type transfer chamber 7 are not limited to the above-mentioned examples, and of course, other transfer means may be used.

[発明の効果] 以上説明したように、本発明に係る基板の処理装置にお
いては、基板の移送手段を内装した真空型移送室の両側
にゲートバルブを介して真空処理室を配設し、さらにそ
の両側に基板の移送手段を内装した真空引き可能な移送
室が配設されて成る装置などにおいて、前記真空型移送
室および真空引き可能な移送室を真空、排気する真空系
を共用乃至共通にさせである。つまり、真空型移送室お
よび真空引き可能な移送室移送の真空、排気系は一つに
集中化した構成としである。したがって、排気系は簡略
化しく複雑な構成の回避)、処理装置の小形化やメンテ
ナンスの問題を改善し得るばかりでなく、コストダウン
も図ることができる。
[Effects of the Invention] As explained above, in the substrate processing apparatus according to the present invention, a vacuum processing chamber is disposed via a gate valve on both sides of a vacuum type transfer chamber equipped with a substrate transfer means, and further In an apparatus in which a transfer chamber that can be evacuated is provided with substrate transfer means on both sides, a vacuum system for evacuating and evacuating the vacuum-type transfer chamber and the evacuable transfer chamber is shared or shared. It's a shame. In other words, the vacuum and exhaust systems for transferring the vacuum type transfer chamber and the vacuum-capable transfer chamber are integrated into one structure. Therefore, it is possible to simplify the exhaust system (avoiding a complicated configuration), reduce the size of the processing device, and improve maintenance problems, as well as reduce costs.

【図面の簡単な説明】[Brief explanation of the drawing]

第1図は本発明に係る基板の処理装置の一実施例を概略
的に示す断面図、第2図は本発明に係る基板の処理装置
の一実施例において用いた基板の移送手段を内装した真
空型移送室の構成を示す斜視図、第3図は第2図示の真
空移送室が内装した移送手段の動作を示す説明図、第4
図は従来のプラズマエツチング装置の要部を示す断面図
である。 1、l゛・・・真空型処理室 2a、 2b・・・真空引き可能な真空移送室3a、3
b、5a、51y 9a、9b b −ゲートバルブ4
.4°・・・クリーンベンチ 7・・・基板の移送手段を内装した真空移送室8.8“
・・・移送手段
FIG. 1 is a cross-sectional view schematically showing an embodiment of a substrate processing apparatus according to the present invention, and FIG. 2 is a cross-sectional view showing an internal structure of a substrate transfer means used in an embodiment of the substrate processing apparatus according to the present invention. FIG. 3 is an explanatory diagram showing the operation of the transfer means installed in the vacuum transfer chamber shown in FIG. 4.
The figure is a sectional view showing the main parts of a conventional plasma etching apparatus. 1, l゛... Vacuum processing chambers 2a, 2b... Vacuum transfer chambers 3a, 3 that can be evacuated
b, 5a, 51y 9a, 9b b - Gate valve 4
.. 4°...Clean bench 7...Vacuum transfer chamber with substrate transfer means 8.8"
...Transportation means

Claims (2)

【特許請求の範囲】[Claims] (1)真空型処理室と、該真空型処理室の両側にゲート
バルブを介して配設した基板の移送手段を内装しかつ真
空引き可能な移送室と、該真空引き可能な移送室および
真空型処理室をそれぞれ真空排気する排気系とを具備し
、該排気系のうち真空引き可能な移送室に連接する排気
系を共用化して成ることを特徴とする基板の処理装置。
(1) A vacuum type processing chamber, a transfer chamber equipped with a substrate transfer means arranged via gate valves on both sides of the vacuum type processing chamber, and capable of being evacuated; the transfer chamber capable of being evacuated; 1. A substrate processing apparatus comprising: an evacuation system for evacuating each mold processing chamber; the evacuation system connected to a transfer chamber that can be evacuated among the evacuation systems is shared;
(2)基板の移送手段を内装した真空型移送室と、該真
空型移送室の両側にゲートバルブを介してそれぞれ配設
した真空型処理室と、該真空型処理室にゲートバルブを
介して配設した基板の移送手段を内装しかつ真空引き可
能な移送室と、該真空引き可能な移送室、真空型移送室
および真空型処理室をそれぞれ真空排気する排気系とを
具備し、該排気系のうち真空型移送室および真空引き可
能な移送室に連接する排気系を共用化して成ることを特
徴とする基板の処理装置。
(2) A vacuum transfer chamber equipped with substrate transfer means, a vacuum processing chamber provided on both sides of the vacuum transfer chamber via gate valves, and It is equipped with a transfer chamber that is equipped with a transfer means for the disposed substrate and that can be evacuated, and an exhaust system that evacuates the transfer chamber that can be evacuated, the vacuum type transfer chamber, and the vacuum type processing chamber, respectively. A substrate processing apparatus characterized in that an exhaust system connected to a vacuum type transfer chamber and a vacuum-capable transfer chamber is shared.
JP27359689A 1989-10-20 1989-10-20 Treatment apparatus for substrate Pending JPH03135022A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP27359689A JPH03135022A (en) 1989-10-20 1989-10-20 Treatment apparatus for substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP27359689A JPH03135022A (en) 1989-10-20 1989-10-20 Treatment apparatus for substrate

Publications (1)

Publication Number Publication Date
JPH03135022A true JPH03135022A (en) 1991-06-10

Family

ID=17529982

Family Applications (1)

Application Number Title Priority Date Filing Date
JP27359689A Pending JPH03135022A (en) 1989-10-20 1989-10-20 Treatment apparatus for substrate

Country Status (1)

Country Link
JP (1) JPH03135022A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174366B1 (en) 1993-12-23 2001-01-16 Heikki Ihantola Apparatus and method for processing of semiconductors, such as silicon chips

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS59231816A (en) * 1983-06-15 1984-12-26 Hitachi Ltd Dry-etching apparatus
JPS6338322B2 (en) * 1978-08-24 1988-07-29 Toyama Chemical Co Ltd
JPH01274428A (en) * 1988-04-27 1989-11-02 Hitachi Ltd Dry etching device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6338322B2 (en) * 1978-08-24 1988-07-29 Toyama Chemical Co Ltd
JPS59231816A (en) * 1983-06-15 1984-12-26 Hitachi Ltd Dry-etching apparatus
JPH01274428A (en) * 1988-04-27 1989-11-02 Hitachi Ltd Dry etching device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174366B1 (en) 1993-12-23 2001-01-16 Heikki Ihantola Apparatus and method for processing of semiconductors, such as silicon chips

Similar Documents

Publication Publication Date Title
US5611861A (en) Rotary type apparatus for processing semiconductor wafers and method of processing semiconductor wafers
KR0165112B1 (en) Multiple chamber staged-vacuum semiconductor wafer processing system
JPH05160241A (en) Board processor
JP3215643B2 (en) Plasma processing equipment
JP2831820B2 (en) Substrate transfer device
JPH09237748A (en) Thin-film preparing apparatus and method
KR100196036B1 (en) Plasma treating apparatus and operating method therefor
JPWO2011007753A1 (en) Substrate processing equipment
JPH07227777A (en) Carrier and processing device for article to be processed
JPH03135022A (en) Treatment apparatus for substrate
JP2645750B2 (en) Substrate processing equipment
JPH098094A (en) Vacuum treatment equipment
JPH04314349A (en) Vacuum lithography device
JPH0615720B2 (en) Vacuum processing device
JPH03155619A (en) Vacuum processor
JPH03124022A (en) Treatment device
JP2003129235A (en) Sputtering method
JPH0542507B2 (en)
KR100408161B1 (en) Apparatus for manufacturing Multi-Layered Thin Film for mass-production
JPH06349931A (en) Processing system
JPH03135024A (en) Treatment of substrate
JP2579818B2 (en) Substrate transfer device
JP4433570B2 (en) Substrate processing apparatus and substrate processing method
JPH03135023A (en) Bidirectional treatment apparatus for substrate
JP3565177B2 (en) Vacuum transfer device