JP7492990B2 - Plasma processing apparatus and plasma processing method - Google Patents

Plasma processing apparatus and plasma processing method Download PDF

Info

Publication number
JP7492990B2
JP7492990B2 JP2022101845A JP2022101845A JP7492990B2 JP 7492990 B2 JP7492990 B2 JP 7492990B2 JP 2022101845 A JP2022101845 A JP 2022101845A JP 2022101845 A JP2022101845 A JP 2022101845A JP 7492990 B2 JP7492990 B2 JP 7492990B2
Authority
JP
Japan
Prior art keywords
electrode
power
cycle
frequency
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2022101845A
Other languages
Japanese (ja)
Other versions
JP2024002574A (en
Inventor
大地 鈴木
泰宏 森川
謙太 土居
敏幸 中村
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Ulvac Inc
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ulvac Inc filed Critical Ulvac Inc
Priority to JP2022101845A priority Critical patent/JP7492990B2/en
Priority to CN202310741171.9A priority patent/CN117293007A/en
Priority to US18/213,228 priority patent/US20230420220A1/en
Priority to KR1020230080330A priority patent/KR20240001060A/en
Publication of JP2024002574A publication Critical patent/JP2024002574A/en
Application granted granted Critical
Publication of JP7492990B2 publication Critical patent/JP7492990B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3323Problems associated with coating uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching
    • H01J2237/3346Selectivity

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Electrical Discharge Machining, Electrochemical Machining, And Combined Machining (AREA)

Description

本発明はプラズマ処理装置およびプラズマ処理方法に関する。 The present invention relates to a plasma processing apparatus and a plasma processing method.

半導体装置の製造や、FPD(flat panel display)の製造においては、エッチング工程やデポ工程としてプラズマを用いた処理が用いられる。この際、らせん状のアンテナ構造から形成される対向電極を備えたプラズマ処理装置を用いることが知られている(特許文献1)。 In the manufacture of semiconductor devices and flat panel displays (FPDs), plasma is used in etching and deposition processes. In these processes, it is known to use a plasma processing device equipped with an opposing electrode formed from a spiral antenna structure (Patent Document 1).

これらのプラズマ処理においては、被処理基板に対して、生成されるプラズマの空間分布が所定の状態となるように、装置構成および処理条件の設定・制御が必要である。例えば、被処理基板に対するプラズマ処理の面内分布が均一になるようなブラズマ分布(配置)状態が例示できる。 In these plasma processes, it is necessary to set and control the device configuration and processing conditions so that the spatial distribution of the generated plasma is in a specified state relative to the substrate being processed. For example, a plasma distribution (arrangement) state can be exemplified so that the in-plane distribution of the plasma processing on the substrate being processed is uniform.

また、このような装置を用いる処理としては、エッチング工程やデポ工程のように、異なる処理を同一のチャンバでおこなう場合、あるいは、同じ処理であっても異なるガス種などの異条件となる処理を同一のチャンバでおこなう場合があった。
この場合でも、それぞれの処理において、処理内容に対応した好ましいプラズマ分布(配置)とすることが必要である。
In addition, in processes using such an apparatus, there are cases where different processes, such as an etching process and a deposition process, are performed in the same chamber, or where the same process is performed under different conditions, such as using different gas types, in the same chamber.
Even in this case, it is necessary to obtain a preferable plasma distribution (arrangement) in accordance with the processing content in each processing.

国際公開第2016/114232号International Publication No. 2016/114232

しかし、特定の処理において好ましいあるいは必要なプラズマ分布状態を形成できる装置が、他の処理において好ましいあるいは必要なプラズマ分布状態を、必ずしも形成できるわけではない。特に、異なるガス種を用いる処理では、一方のガス種で必要な処理ができるプラズマ分布状態が形成可能であっても、他方のガス種で必要な処理ができるプラズマ分布状態がまったく形成できないといった不具合が生じる場合もあり、このような問題を解決できる装置は知られていない。 However, an apparatus that can form a plasma distribution state that is preferable or required for a particular process does not necessarily form a plasma distribution state that is preferable or required for another process. In particular, in processes that use different gas species, even if a plasma distribution state that allows the required process to be performed with one gas species can be formed, a problem may arise in which a plasma distribution state that allows the required process to be performed with the other gas species cannot be formed at all, and no apparatus is known that can solve this problem.

当然、同じ被処理基板に対して同一の装置内で異なる処理をおこなうため、それぞれの処理において、例えば面内均一性など同等の処理状態を実現可能であることが求められている。
このような要求には、プラズマの空間分布(配置)をそれぞれの処理に対応可能に変化させて制御・設定することにより、対応可能であると考えられる。
しかし、それぞれの処理に対応して充分であると見なせる程度まで、プラズマの空間分布(配置)を変化・設定可能な装置・技術は知られておらず、このような処理を可能とすることが求められている。
Naturally, since different processes are performed on the same substrate in the same apparatus, it is required that the same processing conditions, such as in-plane uniformity, can be achieved in each process.
It is believed that such requirements can be met by changing and controlling the spatial distribution (arrangement) of the plasma in accordance with each process.
However, no devices or techniques are known that can change or set the spatial distribution (arrangement) of plasma to a degree that can be considered sufficient for each process, and there is a demand to make such processes possible.

さらに、たとえ上記のようなプラズマ空間分布(配置)の制御が可能な場合でも、所定の電子密度に到達していないなど、プラズマ条件が不足する場合があり、必要な処理が可能であるとはいえないという問題があった。 Furthermore, even if it were possible to control the spatial plasma distribution (arrangement) as described above, there would be cases where the plasma conditions were insufficient, such as when the required electron density was not reached, and it could not be said that the required processing was possible.

本発明は、上記の事情に鑑みてなされたもので、以下の目的を達成しようとするものである。
1.プラズマの空間分布(配置)を異なる処理においても、それぞれ充分な程度まで変化・設定可能とすること。
2.処理に必要なプラズマの空間分布(配置)と、処理に必要な電子密度等のプラズマ条件とを両立可能とすること。
The present invention has been made in consideration of the above circumstances, and aims to achieve the following objects.
1. The spatial distribution (arrangement) of the plasma must be able to be changed and set to a sufficient degree for each of the different processes.
2. To make it possible to simultaneously achieve the spatial distribution (arrangement) of plasma required for processing and the plasma conditions such as electron density required for processing.

(1)本発明の一形態に係るプラズマ処理装置は、
プラズマ処理装置であって、
内部の減圧が可能で、前記内部で被処理体に対してプラズマ処理されるように構成されるチャンバと、
前記チャンバ内に配され、前記被処理体を載置する平板状の内部電極と、
前記チャンバ外に配置され、前記チャンバの上蓋を形成する誘電体板を挟んで、前記内部電極と対向するように配置された螺旋状の外部電極と、
前記外部電極に対して、所定の周波数の交流電力を印加するプラズマ生成電源と、
前記チャンバ内にプロセスガスを導入するガス導入手段と、
を備え、
前記外部電極が径方向に分割されて、径方向中央部に配置された螺旋状の第一電極と、及び、径方向外周部に配置された螺旋状の第二電極と、径方向で前記第一電極および前記第二電極の間に挟まれて配置された螺旋状の第三電極と、を備え、
前記プラズマ生成電源が、
前記第一電極および前記第二電極に対して、第一の周波数λ1の交流電力を印加する第一の高周波電源と、
前記第三電極に対して、前記第一の周波数λ1との関係が、λ1>λ2の関係にある第二の周波数λ2の交流電力を印加する第二の高周波電源と、
前記第一電極および前記第二電極に対して所定の分配比で分配した交流電力を印加可能とする電力を分配する電力分配器と、
を備える、
ことにより上記課題を解決した。
(2)本発明のプラズマ処理装置は、上記(1)において、
前記第一電極および前記第二電極に分配して印加された前記第一の周波数λ1の交流電力によって空間分布を調節したプラズマを生成し、前記第三電極に印加された前記第二の周波数λ2の交流電力によってプラズマの電子密度を増大する、
ことができる。
(3)本発明のプラズマ処理装置は、上記(1)において、
前記電力分配器は、前記第一電極および前記第二電極により形成された磁場分布が、前記第三電極により形成された磁場分布と略一致するように所定の分配比で分配して印加可能である、
ことができる。
(4)本発明のプラズマ処理装置は、上記(1)において、
前記第一の高周波電源と前記第二の高周波電源とは、前記第一電極および前記第二電極に前記第一の周波数λ1が13.56MHzの交流電力を印加するとともに、前記第三電極に前記第二の周波数λ2が2MHzの交流電力を印加する、
ことができる。
(5)本発明のプラズマ処理装置は、上記(1)において、
前記外部電極は、螺旋の軸線方向に積層された部分を有する、
ことができる。
(6)本発明のプラズマ処理方法は、上記(1)において、
上記(1)から(5)のいずれか記載のプラズマ処理装置によってプラズマ処理をおこなう方法であって、
前記第一の高周波電源によって、前記第一の周波数λ1の交流電力を印加された前記第一電極および前記第二電極によってプラズマを生成するとともに、前記電力分配器によって、印加する前記第一の周波数λ1の交流電力を前記第一電極および前記第二電極に所定の分配比で分配することで、生成するプラズマの空間分布を調節し、
前記第二の高周波電源によって、前記第二の周波数λ2の交流電力を印加された前記第三電極によってプラズマの電子密度を増大する、
ことができる。
(7)本発明のプラズマ処理方法は、上記(6)において、
前記ガス導入手段によって導入される前記プロセスガスに応じて、前記電力分配器により前記第一電極および前記第二電極へ印加する交流電力の分配比を変化させて、生成するプラズマの空間分布を調節する、
ことができる。
(8)本発明のプラズマ処理方法は、上記(7)において、
前記電力分配器によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.5:0.5 ~ 0.1:0.9
の範囲となるように設定する、
ことができる。
(9)本発明のプラズマ処理方法は、上記(8)において、
前記プロセスガスがSiF/Oガスの成膜処理である、
ことができる。
(10)本発明のプラズマ処理方法は、上記(6)において、
前記第一の高周波電源によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.75:0.25 ~ 0.25:0.75
の範囲となるように設定する、
ことができる。
(11)本発明のプラズマ処理方法は、上記(10)において、
前記プロセスガスがCガスの成膜処理であるか、
前記プロセスガスがSF/SiF/Oガスのエッチング処理である、
ことができる。
(12)本発明のプラズマ処理方法は、上記(6)において、
前記電力分配器によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.5:0.5 ~ 0.1:0.9
の範囲となるように設定し、前記プロセスガスがSiF/Oガスの成膜処理と、
前記電力分配器によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.75:0.25 ~ 0.25:0.75
の範囲となるように設定し、前記プロセスガスがCガスの成膜処理と、
前記電力分配器によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.75:0.25 ~ 0.25:0.75
の範囲となるように設定し、前記プロセスガスがSF/SiF/Oガスのエッチング処理と、
を続けて真空破壊しないでおこなう、
ことができる。
(1) A plasma processing apparatus according to one aspect of the present invention includes:
A plasma processing apparatus comprising:
A chamber capable of reducing the pressure inside and configured to perform plasma processing on a workpiece inside the chamber;
a flat inner electrode disposed in the chamber and on which the workpiece is placed;
a spiral external electrode disposed outside the chamber and facing the internal electrode across a dielectric plate forming an upper lid of the chamber;
A plasma generating power source that applies AC power of a predetermined frequency to the external electrode;
a gas introduction means for introducing a process gas into the chamber;
Equipped with
the external electrode is divided in a radial direction to include a first spiral electrode arranged in a radial center portion, a second spiral electrode arranged in a radial outer periphery portion, and a third spiral electrode arranged and sandwiched between the first electrode and the second electrode in the radial direction,
The plasma generating power source is
a first high frequency power supply that applies AC power having a first frequency λ1 to the first electrode and the second electrode;
a second high frequency power supply that applies AC power of a second frequency λ2, the second frequency λ2 having a relationship of λ1>λ2 with the first frequency λ1 to the third electrode;
a power distributor that distributes power so that AC power distributed at a predetermined distribution ratio can be applied to the first electrode and the second electrode;
Equipped with
This has solved the above problem.
(2) The plasma processing apparatus of the present invention is the above-mentioned (1),
A plasma having a adjusted spatial distribution is generated by AC power of the first frequency λ1 distributed and applied to the first electrode and the second electrode, and an electron density of the plasma is increased by AC power of the second frequency λ2 applied to the third electrode.
be able to.
(3) The plasma processing apparatus of the present invention is the above-mentioned (1),
the power distributor is capable of distributing and applying a power at a predetermined distribution ratio such that a magnetic field distribution formed by the first electrode and the second electrode substantially coincides with a magnetic field distribution formed by the third electrode.
be able to.
(4) The plasma processing apparatus of the present invention is the above-mentioned (1),
The first high frequency power supply and the second high frequency power supply apply AC power having the first frequency λ1 of 13.56 MHz to the first electrode and the second electrode, and apply AC power having the second frequency λ2 of 2 MHz to the third electrode.
be able to.
(5) The plasma processing apparatus of the present invention is the above-mentioned (1),
The external electrode has portions stacked in the axial direction of the spiral.
be able to.
(6) The plasma processing method of the present invention is the above-mentioned (1),
A method for performing plasma processing using the plasma processing apparatus according to any one of (1) to (5) above,
A plasma is generated by the first electrode and the second electrode to which AC power of the first frequency λ1 is applied by the first high frequency power supply, and the AC power of the first frequency λ1 to be applied is distributed to the first electrode and the second electrode at a predetermined distribution ratio by the power distributor, thereby adjusting the spatial distribution of the generated plasma;
Increasing the electron density of the plasma by the third electrode to which AC power of the second frequency λ2 is applied by the second high frequency power supply;
be able to.
(7) The plasma processing method of the present invention is the above (6),
a distribution ratio of AC power applied to the first electrode and the second electrode by the power distributor is changed in response to the process gas introduced by the gas introduction means, thereby adjusting the spatial distribution of the generated plasma.
be able to.
(8) The plasma processing method of the present invention is the above (7),
The power distributor divides the AC power Winner distributed to the first electrode and the AC power Wouter distributed to the second electrode into a distribution ratio of:
Winner:Wouter = 0.5:0.5 to 0.1:0.9
Set it to be in the range of
be able to.
(9) The plasma processing method of the present invention is the above (8),
The process gas is a film formation process using SiF 4 /O 2 gas;
be able to.
(10) The plasma processing method of the present invention is the above (6),
A distribution ratio of AC power Winner distributed to the first electrode and AC power Wouter distributed to the second electrode by the first high frequency power supply is
Winner:Wouter = 0.75:0.25 to 0.25:0.75
Set it to be in the range of
be able to.
(11) The plasma processing method of the present invention, in the above (10), further comprises:
The process gas is C4F8 gas for film formation;
The process gas is SF 6 /SiF 4 /O 2 gas etching process;
be able to.
(12) The plasma processing method of the present invention is the above (6),
The power distributor divides the AC power Winner distributed to the first electrode and the AC power Wouter distributed to the second electrode into a distribution ratio of:
Winner:Wouter = 0.5:0.5 to 0.1:0.9
The process gas is set to be in the range of SiF 4 /O 2 gas for film formation,
The power distributor divides the AC power Winner distributed to the first electrode and the AC power Wouter distributed to the second electrode into a distribution ratio of:
Winner:Wouter = 0.75:0.25 to 0.25:0.75
The process gas is set to be in the range of C 4 F 8 gas for film formation,
The power distributor divides the AC power Winner distributed to the first electrode and the AC power Wouter distributed to the second electrode into a distribution ratio of:
Winner:Wouter = 0.75:0.25 to 0.25:0.75
The process gas is set to be in the range of SF 6 /SiF 4 /O 2 gas for etching;
This is done continuously without breaking the vacuum.
be able to.

(1)本発明の一形態に係るプラズマ処理装置は、
プラズマ処理装置であって、
内部の減圧が可能で、前記内部で被処理体に対してプラズマ処理されるように構成されるチャンバと、
前記チャンバ内に配され、前記被処理体を載置する平板状の内部電極と、
前記チャンバ外に配置され、前記チャンバの上蓋を形成する誘電体板を挟んで、前記内部電極と対向するように配置された螺旋状の外部電極と、
前記外部電極に対して、所定の周波数の交流電力を印加するプラズマ生成電源と、
前記チャンバ内にプロセスガスを導入するガス導入手段と、
を備え、
前記外部電極が径方向に分割されて、径方向中央部に配置された螺旋状の第一電極と、及び、径方向外周部に配置された螺旋状の第二電極と、径方向で前記第一電極および前記第二電極の間に挟まれて配置された螺旋状の第三電極と、を備え、
前記プラズマ生成電源が、
前記第一電極および前記第二電極に対して、第一の周波数λ1の交流電力を印加する第一の高周波電源と、
前記第三電極に対して、前記第一の周波数λ1との関係が、λ1>λ2の関係にある第二の周波数λ2の交流電力を印加する第二の高周波電源と、
前記第一電極および前記第二電極に対して所定の分配比で分配した交流電力を印加可能とする電力を分配する電力分配器と、
を備える。
(1) A plasma processing apparatus according to one aspect of the present invention includes:
A plasma processing apparatus comprising:
A chamber capable of reducing the pressure inside and configured to perform plasma processing on a workpiece inside the chamber;
a flat inner electrode disposed in the chamber and on which the workpiece is placed;
a spiral external electrode disposed outside the chamber and facing the internal electrode across a dielectric plate forming an upper lid of the chamber;
A plasma generating power source that applies AC power of a predetermined frequency to the external electrode;
a gas introduction means for introducing a process gas into the chamber;
Equipped with
the external electrode is divided in a radial direction to include a first spiral electrode arranged in a radial center portion, a second spiral electrode arranged in a radial outer periphery portion, and a third spiral electrode arranged and sandwiched between the first electrode and the second electrode in the radial direction,
The plasma generating power source is
a first high frequency power supply that applies AC power having a first frequency λ1 to the first electrode and the second electrode;
a second high frequency power supply that applies AC power of a second frequency λ2, the second frequency λ2 having a relationship of λ1>λ2 with the first frequency λ1 to the third electrode;
a power distributor that distributes power so that AC power distributed at a predetermined distribution ratio can be applied to the first electrode and the second electrode;
Equipped with.

上記の構成によれば、第一電極および第二電極に分配されて印加された交流電力によりプラズマを生成するとともに、その間に位置する第三電極に印加された交流電力によりプラズマの電子密度を高くして、プロセスガス分子の解離度の高いプラズマ処理をおこなうことが可能となる。
したがって、電力分配器により、第一電極および第二電極によりプラズマを発生させて所定の空間分布、つまり、チャンバの径方向において第一電極から第二電極までの位置で規定される範囲に対応して、チャンバの径方向にどの程度のプラズマを発生させるかという分布、および、外部電極と内部電極との間で、どの程度のプラズマを発生させるかという分布を制御することが可能となる。同時に、第三電極によって、電子エネルギー確率関数が所定の状態となるように制御する、つまり、空間領域制御された低電子密度プラズマを加熱することができる。これらにより、プラズマ分布と温度制御とを同時に制御したプラズマを生成して、所定のプラズマ処理をおこなうことが可能となる。
ここで、チャンバの径方向において第一電極から第二電極までの位置で規定される範囲とは、径方向において第一電極から第二電極までの位置、および、径方向において第一電極の内側となる領域、径方向において第二電極の外側となる領域を含むことができる。
さらに、外部電極と内部電極との間とは、対向する外部電極から内部電極へと向かう方向におけるチャンバ内の領域を含むことができる。
According to the above configuration, plasma is generated by AC power distributed and applied to the first electrode and the second electrode, and the electron density of the plasma is increased by AC power applied to the third electrode located between them, making it possible to perform plasma processing with a high degree of dissociation of the process gas molecules.
Therefore, the power distributor can control the distribution of the plasma generated by the first and second electrodes in a predetermined spatial distribution, i.e., the distribution of the amount of plasma generated in the radial direction of the chamber corresponding to the range defined by the positions of the first and second electrodes in the radial direction of the chamber, and the distribution of the amount of plasma generated between the outer electrode and the inner electrode. At the same time, the third electrode can control the electron energy probability function to a predetermined state, i.e., heat the low electron density plasma that is spatially controlled. As a result, it is possible to generate plasma in which the plasma distribution and temperature are simultaneously controlled, and perform a predetermined plasma processing.
Here, the range defined by the position from the first electrode to the second electrode in the radial direction of the chamber can include the position from the first electrode to the second electrode in the radial direction, as well as the area inside the first electrode in the radial direction, and the area outside the second electrode in the radial direction.
Furthermore, between the outer electrode and the inner electrode may include the area within the chamber in a direction from the opposing outer electrode toward the inner electrode.

(2)本発明のプラズマ処理装置は、上記(1)において、
前記第一電極および前記第二電極に分配して印加された前記第一の周波数λ1の交流電力によって空間分布を調節したプラズマを生成し、前記第三電極に印加された前記第二の周波数λ2の交流電力によってプラズマの電子密度を増大する。
(2) The plasma processing apparatus of the present invention is the above-mentioned (1),
A plasma having an adjusted spatial distribution is generated by AC power of the first frequency λ1 distributed and applied to the first electrode and the second electrode, and the electron density of the plasma is increased by AC power of the second frequency λ2 applied to the third electrode.

上記の構成によれば、第一電極および第二電極より形成された磁場分布を、いわゆる、RFスプリッタとなる電力分配器による分配比の制御によって、プラズマを生成する空間領域制御を可能とすることができる。同時に、単体ではプラズマ生成ができない周波数の交流電力を印加した第三電極を、生成したプラズマに対する電子振動印加を可能な構成として、プラズマ中の電子密度を高めることができる。 According to the above configuration, the spatial region in which plasma is generated can be controlled by controlling the distribution ratio of the magnetic field distribution formed by the first and second electrodes using a power distributor that serves as an RF splitter. At the same time, the third electrode, to which AC power of a frequency that cannot generate plasma by itself is applied, can be configured to apply electron vibrations to the generated plasma, thereby increasing the electron density in the plasma.

(3)本発明のプラズマ処理装置は、上記(1)または(2)において、
前記電力分配器は、前記第一電極および前記第二電極により形成された磁場分布が、前記第三電極により形成された磁場分布と略一致するように所定の分配比で分配して印加可能である。
(3) The plasma processing apparatus of the present invention is the above-mentioned (1) or (2),
The power distributor is capable of distributing and applying power at a predetermined distribution ratio so that a magnetic field distribution formed by the first electrode and the second electrode substantially coincides with a magnetic field distribution formed by the third electrode.

上記の構成によれば、第一電極および第二電極に対して印加する交流電力の分配比を電力分配器で制御することにより、第一電極と第二電極との間、つまり、チャンバの径方向に沿った位置のみならず外部電極の軸線方向に沿った位置におけるプラズマ生成の配置状態を制御することが可能となる。ここで、外部電極の軸線方向とは、螺旋状の外部電極において、螺旋形状を同心状の多重円で近似したときの中心対称軸線に沿った方向である。言い換えると、外部電極の軸線方向とは、チャンバの高さ方向中心軸線、つまり、外部電極と内部電極との対向方向の中心軸線に沿った方向を意味する。 According to the above configuration, by controlling the distribution ratio of the AC power applied to the first electrode and the second electrode with the power distributor, it is possible to control the arrangement state of plasma generation between the first electrode and the second electrode, that is, not only in the radial position of the chamber but also in the axial position of the external electrode. Here, the axial direction of the external electrode refers to the direction along the central symmetric axis when the helical shape of the helical external electrode is approximated by concentric multiple circles. In other words, the axial direction of the external electrode refers to the direction along the central axis of the chamber in the height direction, that is, the central axis in the opposing direction between the external electrode and the internal electrode.

(4)本発明のプラズマ処理装置は、上記(1)から(3)のいずれかにおいて、
前記第一の高周波電源と前記第二の高周波電源とは、前記第一電極および前記第二電極に前記第一の周波数λ1が13.56MHzの交流電力を印加するとともに、前記第三電極に前記第二の周波数λ2が2MHzの交流電力を印加する。
(4) The plasma processing apparatus of the present invention is any one of the above (1) to (3),
The first high frequency power supply and the second high frequency power supply apply AC power having the first frequency λ1 of 13.56 MHz to the first electrode and the second electrode, and apply AC power having the second frequency λ2 of 2 MHz to the third electrode.

上記の構成によれば、13.56MHzの交流電力によってプラズマを発生させるとともにプラズマ生成領域(プラズマ発生領域)を設定し、また、2MHzの交流電力によって、電子密度を高くすることができる。 According to the above configuration, plasma can be generated and a plasma generation region (plasma generation area) can be set using 13.56 MHz AC power, and electron density can be increased using 2 MHz AC power.

(5)本発明のプラズマ処理装置は、上記(1)から(4)のいずれかにおいて、
前記外部電極は、螺旋の軸線方向に積層された部分を有する。
(5) The plasma processing apparatus of the present invention is any one of the above (1) to (4),
The outer electrode has portions stacked in the axial direction of the spiral.

上記の構成によれば、第一電極、第二電極、第三電極のいずれかにおいて、複数段に積層された構成とされることで、この複数段とされた電極によって形成される磁場強度を大きくすることができる。これにより、磁場強度の空間分布を、プラズマ処理装置によっておこなう処理内容に応じて、適宜制御することが容易にできる。なお、ここで、第一電極、第二電極、第三電極のいずれか1以上を選択して複数段とすることもできるし、第一電極、第二電極、第三電極のいずれか1以上を選択してその一部を複数段とすることもできる。 According to the above configuration, by configuring any one of the first electrode, second electrode, and third electrode to be stacked in multiple stages, the magnetic field strength formed by the electrodes arranged in multiple stages can be increased. This makes it easy to appropriately control the spatial distribution of the magnetic field strength according to the processing content performed by the plasma processing apparatus. Note that here, any one or more of the first electrode, second electrode, and third electrode can be selected to form multiple stages, or any one or more of the first electrode, second electrode, and third electrode can be selected to form a portion of the multiple stages.

(6)本発明の他の態様に係るプラズマ処理方法は、
上記(1)から(5)のいずれか記載のプラズマ処理装置によってプラズマ処理をおこなう方法であって、
前記第一の高周波電源によって、前記第一の周波数λ1の交流電力を印加された前記第一電極および前記第二電極によってプラズマを生成するとともに、前記電力分配器によって、印加する前記第一の周波数λ1の交流電力を前記第一電極および前記第二電極に所定の分配比で分配することで、生成するプラズマの空間分布を調節し、
前記第二の高周波電源によって、前記第二の周波数λ2の交流電力を印加された前記第三電極によってプラズマの電子密度を増大する。
(6) A plasma processing method according to another aspect of the present invention includes:
A method for performing plasma processing using the plasma processing apparatus according to any one of (1) to (5) above,
A plasma is generated by the first electrode and the second electrode to which AC power of the first frequency λ1 is applied by the first high frequency power supply, and the AC power of the first frequency λ1 to be applied is distributed to the first electrode and the second electrode at a predetermined distribution ratio by the power distributor, thereby adjusting the spatial distribution of the generated plasma;
The electron density of the plasma is increased by the third electrode to which AC power of the second frequency λ2 is applied by the second high frequency power supply.

上記の構成によれば、電力分配器によって分配比を調整することで、所定の空間分布となるようにプラズマを発生させるとともに、同時に、プラズマの電子密度を上げることができる。したがって、供給するプロセスガス等によって異なるプラズマ配置に対応してプラズマを発生させることを同一チャンバ内で実現可能とすることができる。同時に、供給するプロセスガスや被処理体に対しておこなうプラズマ処理等によって異なる電子密度に対応したプラズマを生成することができる。これらにより、第一の高周波電源および第二の高周波電源から印加する交流電力および供給するガスを制御することだけで、異なるガス種によるプラズマ処理、あるいは、異なる条件によるプラズマ処理を、装置構成の交換等が必要ない状態で、同一の装置により同一の被処理体に対して、しかも、連続して可能とすることができる。
これにより、複数段階処理などが必要な基板処理などにおいて、好ましいプラズマ処理の分布、例えば、処理面の面内均一性を維持した状態で複数の処理をおこなうことが可能となる。
According to the above configuration, by adjusting the distribution ratio by the power distributor, plasma can be generated to have a predetermined spatial distribution, and at the same time, the electron density of the plasma can be increased. Therefore, it is possible to realize in the same chamber the generation of plasma corresponding to different plasma arrangements depending on the process gas supplied, etc. At the same time, it is possible to generate plasma corresponding to different electron densities depending on the process gas supplied and the plasma processing performed on the workpiece. As a result, by simply controlling the AC power applied from the first high frequency power supply and the second high frequency power supply and the gas supplied, it is possible to perform plasma processing using different gas species or plasma processing under different conditions on the same workpiece using the same apparatus, and moreover, continuously, without the need to replace the apparatus configuration.
This makes it possible to carry out a plurality of processes while maintaining a desirable distribution of plasma processing, for example, in-plane uniformity of the processing surface, in substrate processing requiring a multi-stage process or the like.

(7)本発明のプラズマ処理方法は、上記(6)において、
前記ガス導入手段によって導入される前記プロセスガスに応じて、前記電力分配器により前記第一電極および前記第二電極へ印加する交流電力の分配比を変化させて、生成するプラズマの空間分布を調節する。
(7) The plasma processing method of the present invention is the above (6),
The spatial distribution of the generated plasma is adjusted by changing the distribution ratio of the AC power applied to the first electrode and the second electrode by the power distributor in accordance with the process gas introduced by the gas introduction means.

上記の構成によれば、第一電極および第二電極に対して印加する交流電力の分配比を制御することにより、第一電極と第二電極との間、つまり、チャンバの径方向において第一電極から第二電極までの位置で規定される範囲である、径方向において第一電極から第二電極までの位置、および、径方向において第一電極の内側となる領域、径方向において第二電極の外側となる領域でチャンバの径方向に沿った位置におけるプラズマ生成の配置状態を制御することが可能となる。
しかも、プラズマ処理における反応性の異なる振る舞いをする複数のガスに対して、それぞれのガスに対応した空間分布を有する磁場強度を形成することが可能となる。これにより、異なるガス種により、必要な磁場強度の空間分布が異なる場合でも、それぞれのガス種に対応して、異なる空間分布を有する磁場強度を形成し、必要な処理特性の面内分布を実現することが可能となる。
According to the above configuration, by controlling the distribution ratio of the AC power applied to the first electrode and the second electrode, it is possible to control the arrangement state of plasma generation between the first electrode and the second electrode, that is, the range defined by the position from the first electrode to the second electrode in the radial direction of the chamber, the position from the first electrode to the second electrode in the radial direction, and the region inside the first electrode in the radial direction and the region outside the second electrode in the radial direction along the radial direction of the chamber.
Moreover, for a plurality of gases that behave differently in reactivity in plasma processing, it is possible to form a magnetic field strength having a spatial distribution corresponding to each gas. As a result, even if the spatial distribution of the magnetic field strength required differs depending on the different gas species, it is possible to form a magnetic field strength having a different spatial distribution corresponding to each gas species, thereby realizing the in-plane distribution of the required processing characteristics.

(8)本発明のプラズマ処理方法は、上記(6)または(7)において、
前記電力分配器によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.5:0.5 ~ 0.1:0.9
の範囲となるように設定する。
(8) The plasma processing method of the present invention is the above (6) or (7),
The power distributor divides the AC power Winner distributed to the first electrode and the AC power Wouter distributed to the second electrode into a distribution ratio of:
Winner:Wouter = 0.5:0.5 to 0.1:0.9
Set the range to be:

上記の構成によれば、上述した分配比の範囲に対応して、チャンバ径方向内側の磁場強度よりもチャンバ径方向外側の磁場強度を増大させて、チャンバの径方向中心部付近に生成するプラズマの密度よりも、チャンバの径方向外周縁部付近に生成するプラズマの密度を増大させる。
これにより、例えば、電力分配をおこなわないと基板外周部での処理特性が基板中心部での処理特性よりも小さいガス、たとえば、成膜処理における基板中心での膜厚よりも基板外周での膜厚が小さくなるガスを用いた場合などにおいて、膜厚を基板径方向で均一にする、などの対応をおこなうことが可能となる。
According to the above configuration, in accordance with the range of the distribution ratio described above, the magnetic field strength on the radially outer side of the chamber is increased more than the magnetic field strength on the radially inner side of the chamber, thereby increasing the density of plasma generated near the radial outer edge of the chamber more than the density of plasma generated near the radial center of the chamber.
This makes it possible to take measures such as making the film thickness uniform in the radial direction of the substrate in cases where, for example, a gas is used whose processing characteristics are smaller at the outer periphery of the substrate than at the center of the substrate without power distribution, such as a gas whose film thickness is smaller at the outer periphery of the substrate than at the center of the substrate during a film formation process.

(9)本発明のプラズマ処理方法は、上記(8)において、
前記プロセスガスがSiF/Oガスの成膜処理である。
(9) The plasma processing method of the present invention is the above (8),
The process gas is SiF 4 /O 2 gas for the film formation process.

上記の構成によれば、シリコンを含む膜をCVD法により成膜する場合に、基板径方向における膜厚の均一性を向上することが可能となる。
あるいは、前記チャンバの径方向中心部よりも外周部で電子密度が上がらないガスである場合や、前記チャンバの径方向中心部よりも外周部で解離しにくいガスである場合でも、基板径方向における処理特性の均一性を向上することが可能となる。
According to the above configuration, when a film containing silicon is formed by CVD, it is possible to improve the uniformity of the film thickness in the radial direction of the substrate.
Alternatively, even in the case of a gas in which the electron density is not higher at the outer periphery of the chamber than at the radial center of the chamber, or in the case of a gas that is more difficult to dissociate at the outer periphery of the chamber than at the radial center of the chamber, it is possible to improve the uniformity of the processing characteristics in the substrate radial direction.

(10)本発明のプラズマ処理方法は、上記(6)または(7)において、
前記第一の高周波電源によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.75:0.25 ~ 0.25:0.75
の範囲となるように設定する。
(10) The plasma processing method of the present invention is the above (6) or (7),
A distribution ratio of AC power Winner distributed to the first electrode and AC power Wouter distributed to the second electrode by the first high frequency power supply is
Winner:Wouter = 0.75:0.25 to 0.25:0.75
Set the range to be:

上記の構成によれば、上述した分配比の範囲に対応して、チャンバ径方向内側の磁場強度よりもチャンバ径方向外側の磁場強度を増大させる場合から、チャンバ径方向内側の磁場強度よりもチャンバ径方向外側の磁場強度を減少させる場合まで、チャンバの径方向中心部付近に生成するプラズマの密度と、チャンバの径方向外周縁部付近に生成するプラズマの密度とを、所定の分配比で均衡あるいは傾斜させることができる。
これにより、例えば、電力分配をおこなわない場合、基板外周部での処理特性と基板中心部での処理特性とがばらつくガス、たとえば、成膜処理における基板中心での膜厚と基板外周での膜厚とがばらついてしまうガスを用いた場合などにおいて、膜厚を基板径方向で均一にする、などの対応をおこなうことが可能となる。
According to the above configuration, in accordance with the range of the distribution ratio described above, the density of plasma generated near the radial center of the chamber and the density of plasma generated near the radial outer edge of the chamber can be balanced or tilted at a predetermined distribution ratio, from the case where the magnetic field strength on the radially outer side of the chamber is increased more than the magnetic field strength on the radially inner side of the chamber, to the case where the magnetic field strength on the radially outer side of the chamber is decreased more than the magnetic field strength on the radially inner side of the chamber.
This makes it possible to take measures such as making the film thickness uniform in the radial direction of the substrate in cases where, for example, power distribution is not performed and a gas is used that causes variations in processing characteristics between the outer periphery of the substrate and the center of the substrate, such as a gas that causes variations in film thickness between the center of the substrate and the outer periphery of the substrate in a film formation process.

(11)本発明のプラズマ処理方法は、上記(10)において、
前記プロセスガスがCガスの成膜処理であるか、
前記プロセスガスがSF/SiF/Oガスのエッチング処理である。
(11) The plasma processing method of the present invention, in the above (10), further comprises:
The process gas is C4F8 gas for film formation;
The process gas is SF 6 /SiF 4 /O 2 gas for etching treatment.

上記の構成によれば、電力分配をおこなわない場合、基板外周部での処理特性と基板中心部での処理特性とがばらつくような処理に対して、処理特性を基板径方向で均一にする、などの対応をおこなうことが可能となる。たとえば、上記のように、エッチング処理におけるエッチング深さや、アッシング処理による除去量、成膜処理における膜厚などの面内分布均一性を向上することができる。 With the above configuration, it is possible to take measures such as making the processing characteristics uniform in the radial direction of the substrate in a process in which the processing characteristics at the outer periphery of the substrate and the processing characteristics at the center of the substrate vary when power distribution is not performed. For example, as described above, it is possible to improve the uniformity of the in-plane distribution of the etching depth in an etching process, the amount removed by an ashing process, the film thickness in a film formation process, and the like.

(12)本発明のプラズマ処理方法は、上記(6)または(7)において、
前記電力分配器によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.5:0.5 ~ 0.1:0.9
の範囲となるように設定し、前記プロセスガスがSiF/Oガスの成膜処理と、
前記電力分配器によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.75:0.25 ~ 0.25:0.75
の範囲となるように設定し、前記プロセスガスがCガスの成膜処理と、
前記電力分配器によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.75:0.25 ~ 0.25:0.75
の範囲となるように設定し、前記プロセスガスがSF/SiF/Oガスのエッチング処理と、
を続けて真空破壊しないでおこなう。
(12) The plasma processing method of the present invention is the above (6) or (7),
The power distributor divides the AC power Winner distributed to the first electrode and the AC power Wouter distributed to the second electrode into a distribution ratio of:
Winner:Wouter = 0.5:0.5 to 0.1:0.9
The process gas is set to be in the range of SiF 4 /O 2 gas for film formation,
The power distributor divides the AC power Winner distributed to the first electrode and the AC power Wouter distributed to the second electrode into a distribution ratio of:
Winner:Wouter = 0.75:0.25 to 0.25:0.75
The process gas is set to be in the range of C 4 F 8 gas for film formation,
The power distributor divides the AC power Winner distributed to the first electrode and the AC power Wouter distributed to the second electrode into a distribution ratio of:
Winner:Wouter = 0.75:0.25 to 0.25:0.75
The process gas is set to be in the range of SF 6 /SiF 4 /O 2 gas for etching;
This is continued without breaking the vacuum.

上記の構成によれば、同一チャンバ内において、チャンバのシール状態を維持して多段階の処理をおこなう際に、それぞれの処理工程において処理特性の面内均一性を維持しつつ、これらの処理を連続しておこなうことができる。
被処理体をエッチングするエッチング方法であって、前記被処理体に樹脂からなるパターンを有するレジスト層を形成するレジストパターン形成工程と、レジストパターン形成された前記被処理体をエッチングするエッチング工程と、前記レジストパターンにレジスト保護膜を形成するレジスト保護膜形成工程と、を有し、複数回繰り返す前記エッチング工程に対して、所定の頻度で前記レジスト保護膜形成工程を挿入する場合などにおいて、適用することができる。
According to the above configuration, when multi-stage processing is performed in the same chamber while maintaining the sealed state of the chamber, these processing steps can be performed continuously while maintaining the in-plane uniformity of the processing characteristics in each processing step.
The present invention can be applied to an etching method for etching a workpiece, the method comprising: a resist pattern forming step of forming a resist layer having a pattern made of resin on the workpiece; an etching step of etching the workpiece on which the resist pattern has been formed; and a resist protective film forming step of forming a resist protective film on the resist pattern, the method including inserting the resist protective film forming step at a predetermined frequency into the etching step which is repeated a plurality of times.

本発明によれば、プラズマの空間分布(配置)を異なる処理においても、それぞれ充分な程度まで変化・設定可能とすることができ、処理に必要なプラズマの空間分布(配置)と、処理に必要な電子密度等のプラズマ条件とを両立可能とすることのできるプラズマ処理装置およびプラズマ処理方法を提供することができるという効果を奏することが可能となる。 The present invention makes it possible to provide a plasma processing apparatus and a plasma processing method that can change and set the spatial distribution (arrangement) of plasma to a sufficient degree even for different processes, and can achieve both the spatial distribution (arrangement) of plasma required for the process and the plasma conditions such as electron density required for the process.

本発明に係るプラズマ処理装置の第1実施形態を示す模式断面図である。1 is a schematic cross-sectional view showing a first embodiment of a plasma processing apparatus according to the present invention; 本発明に係るプラズマ処理装置の第1実施形態における外部電極他を示す模式斜視図である。2 is a schematic perspective view showing an external electrode and other components in the first embodiment of the plasma processing apparatus according to the present invention; FIG. 本発明に係るプラズマ処理方法の第1実施形態におけるプラズマ生成を説明する模式断面図である。1 is a schematic cross-sectional view illustrating plasma generation in a first embodiment of a plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の第1実施形態におけるプラズマ生成を説明する模式断面図である。1 is a schematic cross-sectional view illustrating plasma generation in a first embodiment of a plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の第1実施形態を用いたプラズマ処理を示すフローチャートである。1 is a flowchart showing a plasma processing using a first embodiment of a plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の第1実施形態を示す工程断面図である。1A to 1C are cross-sectional views showing process steps of a plasma processing method according to a first embodiment of the present invention. 本発明に係るプラズマ処理方法の第1実施形態を示す工程断面図である。1A to 1C are cross-sectional views showing process steps of a plasma processing method according to a first embodiment of the present invention. 本発明に係るプラズマ処理方法の第1実施形態を示す工程断面図である。1A to 1C are cross-sectional views showing process steps of a plasma processing method according to a first embodiment of the present invention. 本発明に係るプラズマ処理方法の第1実施形態を示す工程断面図である。1A to 1C are cross-sectional views showing process steps of a plasma processing method according to a first embodiment of the present invention. 本発明に係るプラズマ処理方法の実施例におけるサイクルエッチングを示す工程断面図である。1A to 1C are cross-sectional views showing process steps of cycle etching in an embodiment of a plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例におけるサイクルエッチングを示す工程断面図である。1A to 1C are cross-sectional views showing process steps of cycle etching in an embodiment of a plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例におけるサイクルエッチングを示す工程断面図である。1A to 1C are cross-sectional views showing process steps of cycle etching in an embodiment of a plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例におけるサイクルエッチングを示す工程断面図である。1A to 1C are cross-sectional views showing process steps of cycle etching in an embodiment of a plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例におけるサイクルエッチングを示す工程断面図である。1A to 1C are cross-sectional views showing process steps of cycle etching in an embodiment of a plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例におけるサイクルエッチングを示す工程断面図である。1A to 1C are cross-sectional views showing process steps of cycle etching in an embodiment of a plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例におけるサイクルエッチングを示す工程断面図である。1A to 1C are cross-sectional views showing process steps of cycle etching in an embodiment of a plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例におけるサイクルエッチングを示す工程断面図である。1A to 1C are cross-sectional views showing process steps of cycle etching in an embodiment of a plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例におけるスプリットによる膜厚変化を示すグラフである。11 is a graph showing a change in film thickness due to splitting in an embodiment of the plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例におけるスプリットによる膜厚変化を示すグラフである。11 is a graph showing a change in film thickness due to splitting in an embodiment of the plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例におけるスプリットによる膜厚変化を示すグラフである。11 is a graph showing a change in film thickness due to splitting in an embodiment of the plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例におけるスプリットによる膜厚変化を示すグラフである。11 is a graph showing a change in film thickness due to splitting in an embodiment of the plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例におけるスプリットによる膜厚変化を示すグラフである。11 is a graph showing a change in film thickness due to splitting in an embodiment of the plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例における膜厚変化を示すグラフである。4 is a graph showing a change in film thickness in an example of a plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例における膜厚変化を示すグラフである。4 is a graph showing a change in film thickness in an example of a plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例におけるスプリットによるエッチング変化を示すグラフである。11 is a graph showing a change in etching due to splitting in an embodiment of the plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例における周波数重畳によるエッチング深さ変化を示すグラフである。4 is a graph showing a change in etching depth due to frequency superposition in an embodiment of the plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例における周波数重畳の有無によるエッチング深さ変化を示すグラフである。11 is a graph showing a change in etching depth depending on whether or not frequency superposition is performed in an embodiment of the plasma processing method according to the present invention. 本発明に係るプラズマ処理方法の実施例における周波数重畳の有無によるデポ膜厚変化を示すグラフである。10 is a graph showing a change in deposition film thickness depending on whether or not frequency superposition is performed in an embodiment of the plasma processing method according to the present invention. プラズマ処理装置における磁場強度分布を示す図である。FIG. 2 is a diagram showing a magnetic field strength distribution in a plasma processing apparatus. プラズマ処理装置における磁場強度分布を示す図である。FIG. 2 is a diagram showing a magnetic field strength distribution in a plasma processing apparatus. 本発明に係るプラズマ処理装置の実施例における磁場強度分布を示す図である。FIG. 4 is a diagram showing a magnetic field strength distribution in an embodiment of the plasma processing apparatus according to the present invention. 本発明に係るプラズマ処理装置の実施例における磁場強度分布を示す図である。FIG. 4 is a diagram showing a magnetic field strength distribution in an embodiment of the plasma processing apparatus according to the present invention.

以下、本発明に係るプラズマ処理装置およびプラズマ処理方法の第1実施形態を、図面に基づいて説明する。
図1は、本実施形態におけるプラズマ処理装置を示す模式断面図である。図2は、本実施形態におけるプラズマ処理装置の外部電極および電源等を示す模式斜視図である。図2においては、図1の装置で、径方向に内周側である中央部と外周部とに2つのスパイラル状電極を配置し、径方向でこれらの間にさらに3つ目のスパイラル状電極を配置し、これら3つの各電極にそれぞれ電源を接続する位置を示している。図において、符号10は、プラズマ処理装置である。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS A first embodiment of a plasma processing apparatus and a plasma processing method according to the present invention will be described below with reference to the drawings.
Fig. 1 is a schematic cross-sectional view showing a plasma processing apparatus according to this embodiment. Fig. 2 is a schematic perspective view showing an external electrode and a power supply of the plasma processing apparatus according to this embodiment. Fig. 2 shows the position where two spiral electrodes are arranged at the center and outer periphery on the radially inner side of the apparatus shown in Fig. 1, and a third spiral electrode is further arranged between them in the radial direction, and the power supply is connected to each of these three electrodes. In the figure, reference numeral 10 denotes the plasma processing apparatus.

本実施形態に係るプラズマ処理装置10は、図1,図2に示すように、たとえば排気手段(減圧手段)TMPにより減圧可能なチャンバ11内において被処理体(シリコン基板)Sに対してプラズマ処理する装置である。 As shown in Figures 1 and 2, the plasma processing apparatus 10 according to this embodiment is an apparatus that performs plasma processing on a workpiece (silicon substrate) S in a chamber 11 that can be depressurized by, for example, an exhaust means (depressurization means) TMP.

プラズマ処理装置10は、密閉可能なチャンバ11と、平板状の内部電極(基板の支持手段)12と、上蓋13と、螺旋状の第一電極E1(アンテナAT1)と、螺旋状の第二電極E2(アンテナAT2)と、螺旋状の第三電極E3(アンテナAT3)と、ガス導入口14と、ガス導入口15と、ガス導入手段(不図示)と、電力分配器16と、高周波電源(プラズマ生成電源)17と、高周波電源(プラズマ加熱電源)18と、高周波電源(バイアス電源)19と、を備えている。なおここで螺旋状とは1ターンのみの同心円形状のものも含む。 The plasma processing apparatus 10 includes a sealable chamber 11, a flat internal electrode (substrate support means) 12, a top cover 13, a spiral first electrode E1 (antenna AT1), a spiral second electrode E2 (antenna AT2), a spiral third electrode E3 (antenna AT3), a gas inlet 14, a gas inlet 15, a gas inlet means (not shown), a power distributor 16, a high-frequency power source (plasma generation power source) 17, a high-frequency power source (plasma heating power source) 18, and a high-frequency power source (bias power source) 19. Note that the term "spiral" here includes a concentric circular shape with only one turn.

内部電極(支持手段)12は、チャンバ11内に配され、被処理体Sを載置する。高周波電源(第三の高周波電源)19は、内部電極12に対して、周波数(第三の周波数)λ3のバイアス電力を印加可能である。
螺旋状の第一電極E1(外部電極;アンテナAT1)と、螺旋状の第二電極E2(外部電極;アンテナAT2)と螺旋状の第三電極E3(外部電極;アンテナAT3)とは、いずれもチャンバ11の外部に配される。
The internal electrode (support means) 12 is disposed in the chamber 11, and supports the object to be processed S. The high frequency power supply (third high frequency power supply) 19 is capable of applying a bias power of a frequency (third frequency) λ3 to the internal electrode 12.
The first spiral electrode E1 (external electrode; antenna AT1), the second spiral electrode E2 (external electrode; antenna AT2), and the third spiral electrode E3 (external electrode; antenna AT3) are all arranged outside the chamber 11.

螺旋状の第一電極E1と螺旋状の第二電極E2と螺旋状の第三電極E3とは、チャンバ11の上蓋13を形成する石英等の誘電体板を挟んで、内部電極12と対向するように配置される。螺旋状の第一電極E1は上蓋13に沿って中央部に配置され、螺旋状の第二電極E2は上蓋13に沿って第二電極E2より外周部に配置される。螺旋状の第三電極E3は、チャンバ11の径方向において、螺旋状の第一電極E1と螺旋状の第二電極E2とに挟まれた間の位置に配置される。
プラズマ処理装置10では、ガス導入手段100がチャンバ11に接続されている。
The first spiral electrode E1, the second spiral electrode E2, and the third spiral electrode E3 are disposed opposite the internal electrode 12, sandwiching a dielectric plate such as quartz that forms the top lid 13 of the chamber 11. The first spiral electrode E1 is disposed in the center along the top lid 13, and the second spiral electrode E2 is disposed on the outer periphery of the second electrode E2 along the top lid 13. The third spiral electrode E3 is disposed in a position between the first spiral electrode E1 and the second spiral electrode E2 in the radial direction of the chamber 11.
In the plasma processing apparatus 10 , a gas introducing means 100 is connected to a chamber 11 .

プラズマ処理装置10において、第一電極E1と第二電極E2とは同じ周波数λ1が印加される。第一電極E1と第二電極E2とは印加する周波数λ1に比べて、第三電極E3は印加する周波数λ2は低く設定される。第一電極E1と第二電極E2とは印加する周波数λ1は高い方の電極であり、第三電極E3は印加する周波数λ2が低い方の電極である。すなわち、プラズマ処理装置10では、第一の周波数λ1と第二の周波数λ2が、λ1>λ2の関係にある。 In the plasma processing apparatus 10, the same frequency λ1 is applied to the first electrode E1 and the second electrode E2. Compared to the frequency λ1 applied to the first electrode E1 and the second electrode E2, the frequency λ2 applied to the third electrode E3 is set to be lower. The first electrode E1 and the second electrode E2 are the electrodes that apply the higher frequency λ1, and the third electrode E3 is the electrode that applies the lower frequency λ2. In other words, in the plasma processing apparatus 10, the first frequency λ1 and the second frequency λ2 have a relationship of λ1 > λ2.

高周波電源(第一の高周波電源)17は、第一電極E1と第二電極E2とに対して、周波数(第一の周波数)λ1の交流電力を印加可能である(図1)。第一電極E1は、螺旋状の内周端に配置され、第一の高周波電源17から高周波電力を印加する第一の部位と、螺旋状の外周端に配置され、アースに接地される第二の部位とを有する(図2)。第一の部位は、チャンバ11の径方向における中心に近接する。第二の部位は、第三電極E3に近接する。 The high frequency power supply (first high frequency power supply) 17 can apply AC power of frequency (first frequency) λ1 to the first electrode E1 and the second electrode E2 (FIG. 1). The first electrode E1 has a first portion disposed at the inner peripheral end of the spiral and to which high frequency power is applied from the first high frequency power supply 17, and a second portion disposed at the outer peripheral end of the spiral and grounded to earth (FIG. 2). The first portion is close to the radial center of the chamber 11. The second portion is close to the third electrode E3.

第二電極E2は、螺旋状の内周端に配置され、第一の高周波電源17から高周波電力を印加する第三の部位と、螺旋状の外周端に配置され、アースに接地される第四の部位とを有する(図2)。第三の部位は、第三電極E3に近接する。第四の部位は、チャンバ11の径方向における最外周に近接する。 The second electrode E2 has a third portion disposed at the inner peripheral end of the spiral and to which high frequency power is applied from the first high frequency power source 17, and a fourth portion disposed at the outer peripheral end of the spiral and grounded to earth (FIG. 2). The third portion is adjacent to the third electrode E3. The fourth portion is adjacent to the outermost circumference in the radial direction of the chamber 11.

高周波電源(第二の高周波電源)18は、第三電極E3に対して、周波数(第二の周波数)λ2の交流電力を印加可能である(図1)。第三電極E3は、螺旋状の内周端に配置され、第二の高周波電源18から高周波電力を印加する第五の部位と、螺旋状の外周端に配置され、アースに接地される第六の部位とを有する(図2)。第五の部位は、第一電極E1に近接する。第六の部位は、第二電極E2に近接する。 The high frequency power supply (second high frequency power supply) 18 can apply AC power of frequency (second frequency) λ2 to the third electrode E3 (FIG. 1). The third electrode E3 has a fifth portion located at the inner peripheral end of the spiral and to which high frequency power is applied from the second high frequency power supply 18, and a sixth portion located at the outer peripheral end of the spiral and grounded to earth (FIG. 2). The fifth portion is adjacent to the first electrode E1. The sixth portion is adjacent to the second electrode E2.

第一の高周波電源17は、第一電極E1および第二電極E2に対して、電力分配器16を介して第一の周波数λ1の交流電力を印加する。電力分配器16は、第一の高周波電源17に接続され、第一電極E1および第二電極E2に印加する交流電力の分配比を設定可能である。電力分配器16は、可変コンデンサー等を備えており、供給する電力の分配比を設定可能な構成とされる。
第二の高周波電源18は、第三電極E3に対して、第二の周波数λ2の交流電力を印加する。
The first high frequency power supply 17 applies AC power of a first frequency λ1 to the first electrode E1 and the second electrode E2 via the power distributor 16. The power distributor 16 is connected to the first high frequency power supply 17 and is capable of setting the distribution ratio of the AC power applied to the first electrode E1 and the second electrode E2. The power distributor 16 includes a variable capacitor or the like and is configured to be capable of setting the distribution ratio of the power to be supplied.
The second high frequency power supply 18 applies AC power of a second frequency λ2 to the third electrode E3.

プラズマ処理装置10においては、ガス導入手段100が上蓋13の中央部、または、チャンバ11の側壁部に接続される(図1)。プラズマ処理装置10におけるガス導入手段100は、チャンバ11の側壁部に配されたガス導入口14、または、上蓋13の中央部に配されたガス導入口15から、チャンバ11内に所定のプロセスガスGを導入する。ガス導入手段100は、チャンバ11内に導入するプロセスガスGを、プロセスに対応して異なる種類のガスとして、切り替えて供給可能である。なお、ガス導入手段100は、チャンバ11内に導入するプロセスガスGとして、単一種類のガス、または複数種類を混合したガスを所定の流量比で供給することも可能である。 In the plasma processing apparatus 10, the gas introduction means 100 is connected to the center of the top lid 13 or to the sidewall of the chamber 11 (FIG. 1). The gas introduction means 100 in the plasma processing apparatus 10 introduces a predetermined process gas G into the chamber 11 from a gas introduction port 14 arranged on the sidewall of the chamber 11 or a gas introduction port 15 arranged in the center of the top lid 13. The gas introduction means 100 can switch between different types of process gas G to be introduced into the chamber 11 depending on the process. The gas introduction means 100 can also supply a single type of gas or a mixture of multiple types of gas at a predetermined flow rate ratio as the process gas G to be introduced into the chamber 11.

ここで、ガス導入手段100は、チャンバ11内に導入するプロセスガスGとして、異なるプラズマ処理に対応して、それぞれ異なるガスを供給することも可能である。ガス導入口14とガス導入口15との、どちらを選択するかは、供給するガスの特性、プラズマ生成における特性、プラズマの空間分布、成膜等の処理特性によって、設定することが可能である。さらに、ガス導入口14とガス導入口15との両方からガスを供給するとともに、その分配比や組成比等を調整するように制御することもできる。 Here, the gas introduction means 100 can supply different gases as the process gas G to be introduced into the chamber 11 in response to different plasma processes. The choice between gas introduction port 14 and gas introduction port 15 can be determined based on the characteristics of the gas to be supplied, the characteristics in plasma generation, the spatial distribution of the plasma, the processing characteristics of film formation, etc. Furthermore, gas can be supplied from both gas introduction port 14 and gas introduction port 15, and the distribution ratio, composition ratio, etc. can also be controlled to be adjusted.

プラズマ処理装置10は、チャンバ11内において、チャンバ11の上蓋13側、かつ、内部電極12と対向する位置に、スパッタリング用の固体ソース20を有する。特に、プラズマ処理装置10では、固体ソース20の配置される領域が外周側に配された第二電極E2と重なる位置に設けられている。 The plasma processing apparatus 10 has a solid source 20 for sputtering in the chamber 11, on the side of the top lid 13 of the chamber 11 and in a position facing the internal electrode 12. In particular, in the plasma processing apparatus 10, the area in which the solid source 20 is arranged is provided in a position overlapping with the second electrode E2 arranged on the outer periphery.

プラズマ処理装置10では、チャンバ11内において、固体ソース20aの配置される領域が、第一電極E1と第三電極E3と第二電極E2と重なる位置にあり、かつ、内部電極12を覆うように配置されている。固体ソース20aはチャンバ11の上蓋13と別体として設けられている。 In the plasma processing apparatus 10, the region in the chamber 11 where the solid source 20a is arranged is located at a position that overlaps with the first electrode E1, the third electrode E3, and the second electrode E2, and is arranged so as to cover the internal electrode 12. The solid source 20a is provided separately from the top lid 13 of the chamber 11.

本実施形態におけるガス導入手段100からプロセスガスをチャンバ11内に供給する際、減圧手段TMPによってチャンバ11内を減圧することができる。減圧手段TMPはチャンバ11内を排気する際にも用いることができる。 When the process gas is supplied from the gas introduction means 100 into the chamber 11 in this embodiment, the pressure inside the chamber 11 can be reduced by the pressure reduction means TMP. The pressure reduction means TMP can also be used to evacuate the chamber 11.

プラズマ処理装置10におけるプラズマ処理では、ガス導入手段100により、ガス導入口15からチャンバ11内に所定のプロセスガスGを導入する。
プラズマ処理装置10においては、第一電極E1と第二電極E2とによって、チャンバ11内の上蓋13側に形成領域が規定された磁場が生じるとともに、チャンバ11内での空間分布が規制された磁場が生じる。また、第三電極E3によってプラズマPを加熱して電子密度を上昇可能な磁場が発生する。
これらの、第一電極E1および第二電極E2と、第三電極E3と、によって周波数の異なる電力により形成されるそれぞれの磁場は重畳される。
In plasma processing in the plasma processing apparatus 10 , a predetermined process gas G is introduced into the chamber 11 from the gas inlet 15 by the gas introduction means 100 .
In the plasma processing apparatus 10, the first electrode E1 and the second electrode E2 generate a magnetic field in which a formation region is defined on the side of the upper lid 13 in the chamber 11, and generate a magnetic field with a regulated spatial distribution in the chamber 11. In addition, the third electrode E3 generates a magnetic field capable of heating the plasma P and increasing the electron density.
The magnetic fields generated by the first electrode E1, the second electrode E2, and the third electrode E3 using powers of different frequencies are superimposed on each other.

プラズマ処理装置10においては、第一電極E1と第二電極E2とによって形成された磁場により、チャンバ11内の上蓋13側に形成領域が規定されるとともに、チャンバ11内での空間分布が規制されたプラズマPが生じる。また、第三電極E3によって形成された磁場により、プラズマPが加熱され電子密度を上昇させる。 In the plasma processing apparatus 10, the magnetic field formed by the first electrode E1 and the second electrode E2 defines a formation region on the top lid 13 side in the chamber 11, and generates plasma P with a regulated spatial distribution in the chamber 11. In addition, the magnetic field formed by the third electrode E3 heats the plasma P, increasing the electron density.

ここで、プラズマ処理装置10におけるプラズマ処理では、第一の高周波電源17によって、第一電極E1および第二電極E2に対して、第一の周波数λ1の交流電力を印加する。これにより、チャンバ11内でプラズマPを発生させる。
第一の高周波電源17が高周波電力を出力すると、第一の高周波電源17の出力した第一の周波数λ1の高周波電力は、2つのアンテナである第一電極E1および第二電極E2からなる並列回路に同時に供給される。この際、アンテナ用のマッチングボックスM/Bは、第1 マッチング回路によって、第一電極E1の出力インピーダンスと、第二電極E2を含む負荷の入力インピーダンスとを整合させる。
In the plasma processing in the plasma processing apparatus 10, AC power of a first frequency λ1 is applied to the first electrode E1 and the second electrode E2 by the first high frequency power supply 17. As a result, a plasma P is generated in the chamber 11.
When the first high frequency power supply 17 outputs high frequency power, the high frequency power of the first frequency λ1 output from the first high frequency power supply 17 is simultaneously supplied to a parallel circuit consisting of the first electrode E1 and the second electrode E2, which are two antennas. At this time, the antenna matching box M/B matches the output impedance of the first electrode E1 with the input impedance of the load including the second electrode E2 by the first matching circuit.

また、アンテナ用のマッチングボックスM/Bのマッチング回路によって、第一の高周波電源17の出力インピーダンスと、第一電極E1および第二電極E2を含む負荷の入力インピーダンスとを整合させる。
同時に、電力分配器16の出力用可変コンデンサーが、第一電極E1側に流れる電流量と、第二電極E2側に流れる電流量とを所定の分配比として設定する。
Furthermore, the output impedance of the first high frequency power supply 17 is matched with the input impedance of the load including the first electrode E1 and the second electrode E2 by the matching circuit of the antenna matching box M/B.
At the same time, the output variable capacitor of the power distributor 16 sets the amount of current flowing to the first electrode E1 side and the amount of current flowing to the second electrode E2 side as a predetermined distribution ratio.

このとき、第一の高周波電源17から第一電極E1および第二電極E2に印加する交流電力の分配比を設定することで、プラズマPの発生する領域およびその空間分布を設定可能である。
ここで、電力分配器16が、第一電極E1および第二電極E2によって形成される磁場の空間分布は、三電極E3によって形成された磁場の空間部能と一致するように設定することもできる。あるいは、生成したプラズマPによっておこなうプラズマ処理に求められる特性分布に応じて、電力分配器16が、これらの周波数の異なる磁場の重畳状態を制御することが可能である。
At this time, by setting the distribution ratio of the AC power applied from the first high frequency power supply 17 to the first electrode E1 and the second electrode E2, it is possible to set the region where the plasma P is generated and its spatial distribution.
Here, the power distributor 16 can set the spatial distribution of the magnetic field formed by the first electrode E1 and the second electrode E2 to coincide with the spatial function of the magnetic field formed by the third electrode E3. Alternatively, the power distributor 16 can control the superposition state of the magnetic fields with different frequencies according to the characteristic distribution required for the plasma processing performed by the generated plasma P.

図3は、本実施形態におけるプラズマ処理方法におけるプラズマ生成を説明する模式断面図である。
具体的には、図3に示すように、電力分配器16が、第一の高周波電源17から第一電極E1および第二電極E2に印加する交流電力の分配比として、第二電極E2よりも第一電極E1に印加する第一の周波数λ1の交流電力を大きくすると、第二電極E2の下側の空間に比べて、第一電極E1の下側の空間に発生するプラズマPの強度が強くなる。この状態を図3にP1で示す。つまりプラズマP1は、主にチャンバ11の径方向における外周側に発生することになる。
ここで、発生するプラズマPの強度が強くなるとは、プラズマPの密度が増大すること、および/または、プラズマPの発生する領域が大きくなること、を意味する。
FIG. 3 is a schematic cross-sectional view illustrating plasma generation in the plasma processing method according to the present embodiment.
Specifically, as shown in Fig. 3, when the power distributor 16 applies a larger AC power of the first frequency λ1 to the first electrode E1 than to the second electrode E2 as a distribution ratio of the AC power applied from the first high frequency power supply 17 to the first electrode E1 and the second electrode E2, the intensity of the plasma P generated in the space below the first electrode E1 becomes stronger than that of the space below the second electrode E2. This state is indicated by P1 in Fig. 3. In other words, the plasma P1 is generated mainly on the outer periphery side in the radial direction of the chamber 11.
Here, the intensity of the generated plasma P becomes stronger means that the density of the plasma P increases and/or the area in which the plasma P is generated becomes larger.

これに対して、図3に示すように、電力分配器16が、第一の高周波電源17から第一電極E1および第二電極E2に印加する交流電力の分配比として、第一電極E1よりも第二電極E2に印加する第一の周波数λ1の交流電力を大きくすると、第一電極E1の下側の空間に比べて、第二電極E2の下側の空間に発生するプラズマPの強度が強くなる。この状態を図3にP2で示す。つまりプラズマP2は、主にチャンバ11の径方向における中央側に発生することになる。 In contrast, as shown in FIG. 3, when the power distributor 16 applies a larger AC power of the first frequency λ1 to the second electrode E2 than to the first electrode E1 as a distribution ratio of the AC power applied from the first high frequency power supply 17 to the first electrode E1 and the second electrode E2, the intensity of the plasma P generated in the space below the second electrode E2 becomes stronger than that in the space below the first electrode E1. This state is indicated by P2 in FIG. 3. In other words, the plasma P2 is generated mainly in the center of the chamber 11 in the radial direction.

さらに、図3に示すように、電力分配器16が、第一の高周波電源17から第一電極E1および第二電極E2に印加する交流電力の分配比として、第一電極E1と第二電極E2とに印加する第一の周波数λ1の交流電力を略均等に分配すると、第一電極E1の下側の空間と第二電極E2の下側の空間とで発生するプラズマPがほぼ均一に分布する。この状態を図3にP3で示す。つまりプラズマP3は、チャンバ11の径方向においてほぼ均等に発生することになる。 Furthermore, as shown in FIG. 3, when the power distributor 16 distributes the AC power of the first frequency λ1 applied to the first electrode E1 and the second electrode E2 from the first high frequency power supply 17 approximately evenly as a distribution ratio of the AC power applied to the first electrode E1 and the second electrode E2, the plasma P generated in the space below the first electrode E1 and the space below the second electrode E2 is distributed approximately evenly. This state is indicated by P3 in FIG. 3. In other words, the plasma P3 is generated approximately evenly in the radial direction of the chamber 11.

ここで、プラズマPの発生領域は、電力分配器16によって、第一電極E1および第二電極E2に印加する交流電力の分配比にのみによって設定されるものではなく、供給するプロセスガスGによっても、その空間分布が変化する。
これは、プロセスガスGのガス種およびその混合比等によって、ガスの電離の容易性など、といったガス特性などが異なることに起因する。
Here, the generation area of the plasma P is not set only by the distribution ratio of the AC power applied to the first electrode E1 and the second electrode E2 by the power distributor 16, but its spatial distribution also changes depending on the process gas G being supplied.
This is because gas properties such as the ease of ionization of the gas vary depending on the type of gas in the process gas G and its mixing ratio.

例えば、電力分配器16が、第一電極E1および第二電極E2に印加する交流電力の分配比を等しくした場合でも、径方向中央部よりも外周側で電子密度が上がらない、解離しにくいなどの特性を有するガスであれば、プラズマP2のようにチャンバ11の径方向における中央側に発生しやすくなる。 For example, even if the power distributor 16 applies the same AC power distribution ratio to the first electrode E1 and the second electrode E2, if the gas has characteristics such as the electron density not increasing on the outer periphery compared to the radial center, or being difficult to dissociate, the plasma will be more likely to be generated in the radial center of the chamber 11, like plasma P2.

また、電力分配器16が、第一電極E1および第二電極E2に印加する交流電力の分配比を等しくした場合でも、径方向外周部よりも中央側で電子密度が上がらない、解離しにくいなどの特性を有するガスであれば、プラズマP3のようにチャンバ11の径方向における外周側に発生しやすくなる。 In addition, even if the power distributor 16 applies the same AC power distribution ratio to the first electrode E1 and the second electrode E2, if the gas has characteristics such as the electron density not increasing more toward the center than the radial outer periphery, or being difficult to dissociate, plasma P3 will be more likely to be generated on the radial outer periphery of the chamber 11.

つまり、第一電極E1と第二電極E2とで挟まれる方向において、その周辺の領域も含んで、供給するプロセスガスのガス種および供給状態によってプラズマPの発生する領域の傾向が異なる場合でも、電力分配器16が、いわゆるRFスプリッタとして、第一の高周波電源17から第一電極E1および第二電極E2に印加する交流電力の分配比を設定することで、プラズマPの発生する領域のバラツキおよびプラズマ強度空間配置のバラツキを制御可能である。 In other words, even if the tendency of the area where plasma P is generated varies depending on the type and supply state of the process gas supplied, including the surrounding area in the direction sandwiched between the first electrode E1 and the second electrode E2, the power distributor 16, as a so-called RF splitter, sets the distribution ratio of the AC power applied from the first high-frequency power supply 17 to the first electrode E1 and the second electrode E2, making it possible to control the variation in the area where plasma P is generated and the variation in the spatial distribution of the plasma intensity.

さらに、プラズマ処理装置10におけるプラズマ処理では、上述したように、電力分配器16が、第一の高周波電源17から第一電極E1および第二電極E2に印加する交流電力の分配比を設定することで、プラズマPの発生する空間分布を所定の状態にした上で、第二の高周波電源18によって、第三電極E3に対して第二の周波数λ2の交流電力を印加する。これにより、プラズマPの電子密度を上昇させ、単体ではプラズマ生成ができない第二の周波数λ2の交流電力を印加した第三電極E3を、生成したプラズマPに対する電子振動印加を可能な構成として、プラズマにおける温度状態を高め、プラズマ処理における反応性等の処理特性を必要な状態に設定することができる。 Furthermore, in the plasma processing in the plasma processing apparatus 10, as described above, the power distributor 16 sets the distribution ratio of the AC power applied from the first high frequency power supply 17 to the first electrode E1 and the second electrode E2, thereby setting the spatial distribution of the plasma P to a predetermined state, and then the second high frequency power supply 18 applies AC power of the second frequency λ2 to the third electrode E3. This increases the electron density of the plasma P, and the third electrode E3 to which AC power of the second frequency λ2, which cannot generate plasma by itself, is applied is configured to be able to apply electron vibrations to the generated plasma P, thereby increasing the temperature state in the plasma and setting the processing characteristics such as reactivity in the plasma processing to the required state.

図4は、本実施形態におけるプラズマ処理方法におけるプラズマ生成を説明する模式断面図である。
具体的には、図4にPPで示すように、第二の高周波電源18によって、第三電極E3に第二の周波数λ2の交流電力を印加することで、発生しているプラズマPをさらに加熱して、プラズマの電子密度を増大する。
これにより、前記チャンバの径方向中央部よりも外周側で電子密度が上がらない、解離しにくいプロセスガスといった特性を有するプロセスガスを用いた処理をおこなう場合でも、充分な処理をおこなうことが可能となる。
しかも、プラズマ分布は、電力分配器16が、第一の高周波電源17から第一電極E1および第二電極E2に印加する交流電力の分配比を設定することでプラズマPの発生する空間分布を所定の状態にしているので、電子密度のみを独立に設定することが可能となる。
FIG. 4 is a schematic cross-sectional view illustrating plasma generation in the plasma processing method according to the present embodiment.
Specifically, as shown by PP in FIG. 4, AC power of the second frequency λ2 is applied to the third electrode E3 by the second high frequency power supply 18, thereby further heating the generated plasma P and increasing the electron density of the plasma.
This makes it possible to perform sufficient processing even when using a process gas having characteristics such as a process gas that does not increase the electron density on the outer periphery side of the radial center of the chamber and is difficult to dissociate.
Furthermore, since the power distributor 16 sets the distribution ratio of the AC power applied from the first high frequency power supply 17 to the first electrode E1 and the second electrode E2, thereby setting the spatial distribution in which the plasma P is generated to a predetermined state, it becomes possible to set only the electron density independently.

さらに、本実施形態におけるプラズマ処理装置10は、プラズマ処理方法として、基板Sとして、ガラス基板、石英基板、シリコン基板、MoSi基板やSiC基板等のシリサイド基板、樹脂等の基板を用いて、ドライエッチング工程やこれに付随したデポ(成膜)工程、アッシング工程、さらに、成膜工程に続いておこなうエッチング工程など、上記の基板Sに対する複数のプラズマ処理工程を連続しておこなう工程等に用いることが可能である。 Furthermore, the plasma processing apparatus 10 in this embodiment can be used as a plasma processing method for a process in which a plurality of plasma processing steps are successively performed on the substrate S, such as a dry etching process and the associated deposition (film formation) process, an ashing process, and an etching process performed following the film formation process, using a glass substrate, a quartz substrate, a silicon substrate, a silicide substrate such as a MoSi substrate or a SiC substrate, or a resin substrate as the substrate S.

具体的には、シリコン基板に対して、フッ素化合物を使用したエッチングであるドライエッチング工程、デポ工程として、CHF、C、C、またはCなどの過フッ化炭化水素ガスを用いた異方性プラズマ処理、Oガスを供給したアッシング工程、エッチングガスとしてSF又はNFを使用し、エッチングガスにケイ素化合物としてSiFを、反応体としてO、N、NO、NO、NOまたはCOを添加して、ホール等の底部を集中的にエッチングする工程、などを例示できる。 Specifically, examples of such processes include a dry etching process for etching a silicon substrate using a fluorine compound, an anisotropic plasma treatment using a perfluorohydrocarbon gas such as CHF3, C2F6, C2F4, or C4F8 as a deposition process , an ashing process in which O2 gas is supplied, and a process in which SF6 or NF3 is used as an etching gas, SiF4 is added to the etching gas as a silicon compound, and O2 , N2 , N2O , NO, NOx , or CO2 is added to the etching gas to intensively etch the bottom of a hole, etc.

しかも、エッチング処理と、異なるガス種による複数段の成膜とを、連続的、または、断続的におこなう処理など、エッチング深さ、それぞれの成膜速度という面内均一性を維持しにくい処理を続けておこなう際に、電力分配器16によって第一電極E1および第二電極E2に印加する交流電力の分配比を、処理特性の面内分布を均一化するように、供給ガスに対応して変化させることで、基板処理面に沿った処理均一性を実現できる。 In addition, when performing processes in succession that make it difficult to maintain in-plane uniformity in terms of etching depth and respective film formation rates, such as processes in which an etching process and multiple film formation stages using different gas types are performed continuously or intermittently, the distribution ratio of the AC power applied to the first electrode E1 and the second electrode E2 by the power distributor 16 can be changed in response to the supplied gas so as to uniformize the in-plane distribution of the processing characteristics, thereby achieving processing uniformity along the substrate processing surface.

さらに、異なるガス種を供給する連続したあるいは断続的な複数段のプラズマ処理において、第三電極E3に印加する交流電力を変化させることで、プラズマ温度を制御して、基板処理面に沿った処理特性の均一性を実現してもよい。 Furthermore, in continuous or intermittent multi-stage plasma processing in which different gas species are supplied, the plasma temperature may be controlled by varying the AC power applied to the third electrode E3 to achieve uniformity of processing characteristics along the substrate processing surface.

例えば、第一の高周波電源17によって、第一電極E1および第二電極E2に対して、印加する交流電力において、第一の周波数λ1を10MHz~100MHzの範囲とし、特に、13.56MHzとすることができる。これにより、チャンバ11内に供給するプロセスガスGに対してプラズマの着火を可能とし、かつ、プラズマPの発生する空間分布領域などのプラズマ状態を設定することが可能となる。これにより、第一の周波数λ1の交流電力を、プラズマ生成のための電力とする。
また、第二の高周波電源18によって、第三電極E3に対して、印加する交流電力において、第二の周波数λ2を0.1MHz~10MHzの範囲とし、特に、2MHzとすることができる。これにより、プラズマのさらなる励起を可能として、電子エネルギー確率関数を増加させて電子密度を上昇させることができる。
For example, the first frequency λ1 of the AC power applied to the first electrode E1 and the second electrode E2 by the first high frequency power supply 17 can be set to a range of 10 MHz to 100 MHz, particularly 13.56 MHz. This makes it possible to ignite plasma in the process gas G supplied into the chamber 11, and to set the plasma state, such as the spatial distribution region where the plasma P is generated. This makes the AC power of the first frequency λ1 the power for generating plasma.
Moreover, the second frequency λ2 of the AC power applied to the third electrode E3 by the second high frequency power supply 18 can be set to a range of 0.1 MHz to 10 MHz, and particularly 2 MHz, which enables further excitation of the plasma and increases the electron energy probability function to increase the electron density.

このように、いわゆるボッシュ法に準じた方法によってシリコン基板に凹部を形成するなど、複数のプラズマ処理工程をおこなう際、さらにこの複数回の工程を1サイクルとして繰り返す場合など、特に、それぞれの工程で異なる処理ガスを用いる場合に、それぞれの処理におけるプラズマ処理の面内均一性を維持するなど、処理特性を所望の状態に設定することが可能である。
しかも、それぞれの工程において、個別にプラズマ温度を設定すること、個別にプラズマ分布を設定することで、深度到達性など、好ましい処理状態を維持することが可能となる。
In this manner, when performing a number of plasma processing steps, such as forming recesses in a silicon substrate by a method based on the so-called Bosch process, and further when these multiple steps are repeated as one cycle, it is possible to set the processing characteristics to a desired state, such as maintaining the in-plane uniformity of the plasma processing in each process, particularly when a different processing gas is used in each process.
Moreover, by individually setting the plasma temperature and plasma distribution in each process, it is possible to maintain a preferable processing state, such as depth penetration.

以下、本発明にかかる実施例を説明する。 The following describes an embodiment of the present invention.

ここで、本発明におけるプラズマ処理装置およびプラズマ処理方法の確認試験としての具体例として、シリコンのデポ・エッチングのサイクル工程について説明する。
図5は、本実施例におけるサイクル工程としてのエッチング方法を示すフローチャートである。
Here, a cycle process of silicon deposition and etching will be described as a specific example of a confirmation test of the plasma processing apparatus and plasma processing method of the present invention.
FIG. 5 is a flow chart showing an etching method as a cycle process in this embodiment.

この本実施例に係るエッチング方法は、シリコン基板Sを被処理体として、樹脂等のレジストを保護しつつエッチングをおこなうシリコンのドライエッチング方法である。なお、レジストを保護しつつエッチングをおこなうエッチング方法であれば、これに限定されない。 The etching method according to this embodiment is a silicon dry etching method in which etching is performed while protecting a resist such as resin, using a silicon substrate S as the processing object. However, the etching method is not limited to this, as long as etching is performed while protecting the resist.

本実施形態に係るシリコンのドライエッチング方法は、図5に示すように、複数サイクルの工程により、シリコン基板Sの表面に凹部パターンVSおよび凹部パターンVLを形成する(図6~図11参照)。
凹部パターンVSは、径寸法ΦSを有する。凹部パターンVLは、径寸法ΦLを有する。径寸法ΦLは、径寸法ΦSよりも大きく設定されてもよい。
In the silicon dry etching method according to this embodiment, as shown in FIG. 5, a recess pattern VS and a recess pattern VL are formed on the surface of a silicon substrate S through a multi-cycle process (see FIGS. 6 to 11).
The recessed pattern VS has a diameter dimension ΦS. The recessed pattern VL has a diameter dimension ΦL. The diameter dimension ΦL may be set to be larger than the diameter dimension ΦS.

凹部パターンVSと凹部パターンVLとの深さは等しく設定される。
凹部パターンVSと凹部パターンVLとは、例えば4~8程度、より好ましくは、8~14程度の高アスペクト比である形状に形成される。
なお、凹部パターンVSと凹部パターンVLとは、シリコン基板Sを貫通していることもできる。
The recessed pattern VS and the recessed pattern VL are set to the same depth.
The recessed pattern VS and the recessed pattern VL are formed in a shape having a high aspect ratio of, for example, about 4 to 8, and more preferably, about 8 to 14.
The recess patterns VS and VL may penetrate the silicon substrate S.

本実施例に係るシリコンのドライエッチング方法は、図5に示すように、前工程S01と、レジストパターン形成工程S02と、デポ工程S03と、ドライエッチング工程S04と、アッシング工程S05と、深さ判断工程S06aと、レジスト保護判断工程S06と、レジスト保護膜形成工程S07と、後工程S08と、を有する。
6と、レジスト保護膜形成工程S07と、後工程S08と、を有する。
As shown in FIG. 5, the silicon dry etching method according to this embodiment includes a pre-process S01, a resist pattern forming process S02, a deposition process S03, a dry etching process S04, an ashing process S05, a depth determination process S06a, a resist protection determination process S06, a resist protective film forming process S07, and a post-process S08.
6, a resist protective film forming step S07, and a post-process S08.

図5に示す前工程S01では、公知のランプヒータ等を用いた200℃以上の熱処理として、シリコン基板Sの前処理をおこなう。 In the pre-processing step S01 shown in FIG. 5, the silicon substrate S is pre-processed by heat treatment at 200°C or higher using a known lamp heater or the like.

図6は、本実施例におけるシリコンのドライエッチング方法を示す工程断面図である。
図5に示すレジストパターン形成工程S02では、図6に示すように、シリコン基板Sの表面にパターンを有するレジスト層(マスク層)Mを形成する。
レジスト層(マスク層)Mは、公知の樹脂レジストから形成することができる。ポジ型、ネガ型、露光波長などの選択、塗布方法、成膜方法等、これらの条件を適宜選択して所定の厚さに形成することができる。レジスト層(マスク層)Mを構成する材質は、一例として、感光性絶縁体、その他公知のものを挙げることができる。
6A to 6C are cross-sectional views showing steps of the dry etching method for silicon in this embodiment.
In the resist pattern forming step S02 shown in FIG. 5, a resist layer (mask layer) M having a pattern is formed on the surface of a silicon substrate S as shown in FIG.
The resist layer (mask layer) M can be formed from a known resin resist. It can be formed to a predetermined thickness by appropriately selecting conditions such as positive type, negative type, exposure wavelength, coating method, film formation method, etc. Examples of materials constituting the resist layer (mask layer) M include photosensitive insulators and other known materials.

さらに、レジストパターン形成工程S02では、図6に示すように、レジスト層(マスク層)Mにシリコン基板Sにおける凹部パターンVSの形状に対応するように処理領域を設定する開口パターン(マスクパターン)MSと、凹部パターンVLの形状に対応するように処理領域を設定する開口パターン(マスクパターン)MLと、を形成する。
具体的には、レジストパターン形成工程S02では、フォトレジストであるレジスト層(マスク層)Mを積層して、露光現像等の処理をおこない、さらに、ウェットエッチング処理、ドライエッチング処理等公知の処理をおこなうことで、開口パターンMSと開口パターンMLとを有するレジスト層(マスク層)Mを形成する。
Furthermore, in the resist pattern formation process S02, as shown in FIG. 6, an opening pattern (mask pattern) MS that sets a processing area corresponding to the shape of the recess pattern VS in the silicon substrate S, and an opening pattern (mask pattern) ML that sets a processing area corresponding to the shape of the recess pattern VL are formed in the resist layer (mask layer) M.
Specifically, in the resist pattern formation process S02, a resist layer (mask layer) M which is a photoresist is laminated, and processing such as exposure and development is performed, and further, well-known processing such as wet etching processing and dry etching processing is performed to form a resist layer (mask layer) M having an opening pattern MS and an opening pattern ML.

図7は、本実施例におけるシリコンのドライエッチング方法を示す工程断面図である。
図5に示すデポ工程S03は、ドライエッチング工程S04において、凹部パターンVSと凹部パターンVLとの側壁をエッチングから保護することができるように、図7に示すように、シリコン基板S全面にフルオロカーボン等のポリマーからなるデポ層D1を異方性プラズマ処理により形成する。
7A to 7C are cross-sectional views showing steps of the dry etching method for silicon in this embodiment.
In the deposition process S03 shown in FIG. 5, a deposition layer D1 made of a polymer such as fluorocarbon is formed on the entire surface of the silicon substrate S by anisotropic plasma processing, as shown in FIG. 7, so that the side walls of the recess patterns VS and VL can be protected from etching in the dry etching process S04.

デポ層D1は、フッ素化合物を使用したエッチングであるドライエッチング工程S04において、垂直な側壁VSq、VLqを達成するために、凹部パターンVS,VLの側壁VSq、VLqをエッチングから保護するとともに、エッチングを凹部パターンVS,VLの底部VSb,VLbに限定する。 In the dry etching process S04, which is etching using a fluorine compound, the deposition layer D1 protects the side walls VSq, VLq of the recess patterns VS, VL from etching and limits the etching to the bottoms VSb, VLb of the recess patterns VS, VL in order to achieve vertical side walls VSq, VLq.

デポ層D1は、レジスト層(マスク層)Mの表面および凹部パターンVS,VLの底部VSb,VLbに積層する。また、図7においては、凹部パターンVS,VLの側壁VSq、VLqにおいてはデポ層D1を示しているが、実際にはあまり積層されない。 The deposition layer D1 is deposited on the surface of the resist layer (mask layer) M and on the bottoms VSb, VLb of the recess patterns VS, VL. In addition, although FIG. 7 shows the deposition layer D1 on the side walls VSq, VLq of the recess patterns VS, VL, in reality, it is not deposited very much.

デポ工程S03は、CHF、C、CF4、またはCなどの過フッ化炭化水素ガスを用いて、プラズマ処理をおこなう。ここで、上述したように、図1,図2に示すプラズマ処理装置10を用いる。 In the deposition step S03, plasma processing is performed using a fluorocarbon gas such as CHF 3 , C 2 F 6 , C 2 F 4 or C 4 F 8. As described above, the plasma processing apparatus 10 shown in FIGS.

デポ工程S03のとき、プラズマ処理装置10においては、第一電極E1および第二電極E2に印加する高周波電力の周波数λ1が、第三電極E3に印加する高周波電力の周波数λ3に比べて大きく設定することができる。具体的には、周波数λ1が13.65MHzとされ、周波数λ2が2MHzとされることができる。デポ工程S03においては、周波数λ1および周波数λ2の電力のいずれも電源17,18が出力可能な最大値とし、レートを向上させることができる。 In the deposition process S03, in the plasma processing apparatus 10, the frequency λ1 of the high frequency power applied to the first electrode E1 and the second electrode E2 can be set to be higher than the frequency λ3 of the high frequency power applied to the third electrode E3. Specifically, the frequency λ1 can be set to 13.65 MHz, and the frequency λ2 can be set to 2 MHz. In the deposition process S03, both the power of frequency λ1 and frequency λ2 can be set to the maximum value that the power sources 17 and 18 can output, thereby improving the rate.

また、デポ工程S03でのプラズマ処理装置10においては、第一電極E1および第二電極E2に印加する高周波の周波数λ1である交流電力を、後述するドライエッチング工程S04およびアッシング工程S05における値よりも小さく設定することができる。また、プラズマ処理装置10においては、内部電極12に対して、バイアス電圧を印加しないことができる。
デポ工程S03においては、所定の雰囲気圧力として処理をおこなう。さらに、デポ工程S03においては、Arなどの希ガスを所定量添加してもよい。
In the deposition step S03, the AC power having a high frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to a value smaller than that in the dry etching step S04 and the ashing step S05 described later. In the plasma processing device 10, a bias voltage can be not applied to the internal electrode 12.
In the deposition step S03, the process is performed under a predetermined atmospheric pressure. Furthermore, in the deposition step S03, a predetermined amount of a rare gas such as Ar may be added.

また、デポ工程S03においては、電力分配器16によって印加される周波数λ1の交流電力が、第一電極E1と第二電極E2との間で分配される。
これにより、デポ層D1の成膜レートが径方向でばらつかないようにすることができる。ここで、電力分配器16による第一電極E1と第二電極E2との分配比は、処理ガスの種類および成膜レートのバラツキに応じて設定される。
In the deposition step S03, AC power having a frequency λ1 applied by the power distributor 16 is distributed between the first electrode E1 and the second electrode E2.
This prevents the deposition rate of the deposition layer D1 from varying in the radial direction. The power distribution ratio between the first electrode E1 and the second electrode E2 by the power distributor 16 is set according to the type of processing gas and the variation in the deposition rate.

デポ工程S03で形成されるデポ層D1は、径寸法の小さい開口パターンMSに対応する底部VSbに比べて、径寸法の大きい開口パターンMLに対応する底部VLbにおける膜厚が大きくなる。なお、開口パターンMS,MLの外方となるレジスト層(マスク層)Mの表面におけるデポ層D1の膜厚に比べて、開口パターンMLの底部VLbにおけるデポ層D1の膜厚は同等かあるいは小さくなる。 The deposition layer D1 formed in the deposition process S03 has a larger film thickness at the bottom VLb corresponding to the opening pattern ML with a larger diameter dimension than at the bottom VSb corresponding to the opening pattern MS with a smaller diameter dimension. The film thickness of the deposition layer D1 at the bottom VLb of the opening pattern ML is equal to or smaller than the film thickness of the deposition layer D1 on the surface of the resist layer (mask layer) M that is outside the opening patterns MS and ML.

つまり、デポ層D1の膜厚は、開口パターンMS,MLの外方となるレジスト層(マスク層)Mの表面におけるデポ層D1の膜厚TD1、開口パターンMLの底部VLbにおけるデポ層D1の膜厚TLD1、開口パターンMSの底部VSbにおけるデポ層D1の膜厚TSD1、の順に小さくなる。 In other words, the thickness of the deposition layer D1 decreases in the following order: thickness TD1 of the deposition layer D1 on the surface of the resist layer (mask layer) M outside the opening patterns MS, ML, thickness TLD1 of the deposition layer D1 at the bottom VLb of the opening pattern ML, and thickness TSD1 of the deposition layer D1 at the bottom VSb of the opening pattern MS.

デポ工程S03において、上記のように条件設定をおこなうことにより、開口パターンMS,MLに対応する底部VSb,VLbにおけるデポ層D1のデポジションカバレージをそれぞれ最適化するように制御することが可能となる。ここで、デポジションカバレージとして望ましい条件の方向は、必要な膜厚となるデポ層D1を底部VSb,VLbに積層する処理時間を短くすることである。つまり、デポ層D1を底部VSb,VLbに積層する成膜速度を増大することである。 In the deposition process S03, by setting the conditions as described above, it is possible to control the deposition coverage of the deposition layer D1 at the bottoms VSb and VLb corresponding to the opening patterns MS and ML so as to optimize them. Here, the direction of the conditions desirable for deposition coverage is to shorten the processing time for stacking the deposition layer D1 of the required film thickness on the bottoms VSb and VLb. In other words, it is to increase the film formation speed for stacking the deposition layer D1 on the bottoms VSb and VLb.

また、デポジションカバレージとしては、エッチング深さおよびアスペクト比に応じてデポジションカバレージを調整する。つまり、底部VSb,VLbの深さ変化に対応してアスペクト比が変化した場合でも、所望の厚さのデポ層D1を所定の積層成膜速度で成膜することを可能にする。
さらに、底部VSbに積層するデポ層D1に対する均一性および確実性と、底部VLbに積層するデポ層D1に対する均一性および確実性とを、それぞれ向上する。
The deposition coverage is adjusted according to the etching depth and the aspect ratio. That is, even if the aspect ratio changes in response to the change in the depth of the bottoms VSb and VLb, it is possible to form a deposition layer D1 of a desired thickness at a predetermined lamination deposition rate.
Furthermore, the uniformity and reliability of the deposition layer D1 laminated on the bottom portion VSb and the uniformity and reliability of the deposition layer D1 laminated on the bottom portion VLb are improved, respectively.

図8は、本実施例におけるシリコンのドライエッチング方法を示す工程断面図である。
図5に示すドライエッチング工程S04は、図8に示すように、異方性プラズマエッチングにより、開口パターンMS,MLに対応する底部VSb,VLbを掘り下げて、底部VSb1,VLb1を形成する。
8A to 8C are cross-sectional views showing steps of the dry etching method for silicon in this embodiment.
In the dry etching step S04 shown in FIG. 5, the bottoms VSb, VLb corresponding to the opening patterns MS, ML are dug down by anisotropic plasma etching to form bottoms VSb1, VLb1, as shown in FIG.

このとき、ドライエッチング工程S04における処理条件、プラズマの異方性、および、デポ工程S03によって積層したデポ層D1の膜厚差等によって、ドライエッチング工程S04において形成する開口パターンMSに対応する底部VSb1および開口パターンMLに対応する底部VLb1の深さを均一になるように設定する。 At this time, the depths of the bottom VSb1 corresponding to the opening pattern MS and the bottom VLb1 corresponding to the opening pattern ML formed in the dry etching process S04 are set to be uniform depending on the processing conditions in the dry etching process S04, the anisotropy of the plasma, and the film thickness difference of the deposition layer D1 laminated by the deposition process S03.

具体的には、開口パターンMSに対応する底部VSbに積層したデポ層D1の膜厚TSD1が、開口パターンMLに対応する底部VLbに積層したデポ層D1の膜厚TLD1に比べて小さく、かつ、開口パターンMSに対応する底部VSbに対するエッチング量が、開口パターンMLに対応する底部VLbに対するエッチング量に比べて小さいために、これらが相殺されて、開口パターンMSに対応する底部VSb1の深さと開口パターンMLに対応する底部VLb1の深さとが均一になる。 Specifically, the thickness TSD1 of the deposition layer D1 laminated on the bottom VSb corresponding to the opening pattern MS is smaller than the thickness TLD1 of the deposition layer D1 laminated on the bottom VLb corresponding to the opening pattern ML, and the amount of etching for the bottom VSb corresponding to the opening pattern MS is smaller than the amount of etching for the bottom VLb corresponding to the opening pattern ML. These are offset, and the depth of the bottom VSb1 corresponding to the opening pattern MS and the depth of the bottom VLb1 corresponding to the opening pattern ML become uniform.

また、ドライエッチング工程S04において処理条件、プラズマの異方性、および、デポ層D1によって、開口パターンMS,MLに対応する側壁VSq,VLqに及ぼすエッチングの影響を極めて低減させる。これにより、側壁VSq,VLqがシリコン基板Sの表面と垂直で、かつ、略面一となり凹凸のない側壁VSq,VLqを深さ方向に延長して形成する。
つまり、凹部パターンVS,VLとして均一径寸法となるように底部VSb1,VLb1を形成する。
In addition, in the dry etching step S04, the effect of etching on the side walls VSq, VLq corresponding to the opening patterns MS, ML is significantly reduced by the processing conditions, the anisotropy of the plasma, and the deposition layer D1. As a result, the side walls VSq, VLq are perpendicular to the surface of the silicon substrate S, are substantially flush with the surface, and are formed to extend in the depth direction without irregularities.
That is, the bottoms VSb1, VLb1 are formed so that the recess patterns VS, VL have uniform diameter dimensions.

この形状を実現するように、ドライエッチング工程S04においては、プラズマ処理に強い異方性を持たせるために、上述したように、図1,図2に示すプラズマ処理装置10を用いる。
ドライエッチング工程S04のとき、ドライエッチング工程S04プラズマ処理装置10においては、第一電極E1および第二電極E2に印加する高周波電力の周波数λ1が、第三電極E3に印加する高周波電力の周波数λ3に比べて大きく設定する。具体的には、周波数λ2が13.65MHzとされ、周波数λ3が2MHzとされることができる。
In order to realize this shape, in the dry etching step S04, the plasma processing apparatus 10 shown in FIGS. 1 and 2 is used as described above in order to impart strong anisotropy to the plasma processing.
In the dry etching step S04, the frequency λ1 of the high frequency power applied to the first electrode E1 and the second electrode E2 is set to be larger than the frequency λ3 of the high frequency power applied to the third electrode E3 in the plasma processing apparatus 10. Specifically, the frequency λ2 can be set to 13.65 MHz, and the frequency λ3 can be set to 2 MHz.

また、ドライエッチング工程S04においては、電力分配器16によって印加される周波数λ1の交流電力が、第一電極E1と第二電極E2との間で分配される。
これにより、デポ層D1に対するエッチングレートが径方向でばらつかないようにすることができる。ここで、電力分配器16による第一電極E1と第二電極E2との分配比は、処理ガスの種類およびエッチングレートのバラツキに応じて設定される。
In the dry etching step S04, the AC power having the frequency λ1 applied by the power distributor 16 is distributed between the first electrode E1 and the second electrode E2.
This prevents the etching rate of the deposition layer D1 from varying in the radial direction. The power distribution ratio between the first electrode E1 and the second electrode E2 by the power distributor 16 is set according to the type of processing gas and the variation in the etching rate.

また、ドライエッチング工程S04のプラズマ処理装置10においては、周波数λ1の供給電力が、デポ工程S03における値よも大きく、また、アッシング工程S05における値と同じ値に設定することができる。 In addition, in the plasma processing device 10 in the dry etching process S04, the power supply of frequency λ1 can be set to a value greater than that in the deposition process S03 and equal to that in the ashing process S05.

また、ドライエッチング工程S04のプラズマ処理装置10においては、第一電極E1および第二電極E2に印加する交流電力の周波数λ1の供給電力が、第三電極E3に印加する交流電力の周波数λ2よりも大きい値に設定することができる。 In addition, in the plasma processing apparatus 10 in the dry etching process S04, the supply power of the AC power having the frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to a value greater than the frequency λ2 of the AC power applied to the third electrode E3.

また、ドライエッチング工程S04のプラズマ処理装置10においては、内部電極12に対して、周波数λ3であるバイアス電圧を印加することが好ましい。周波数λ3は、第三電極E3に印加する交流電力の周波数λ2よりも低い値に設定することができる。周波数λ3は、たとえば、400kHzとすることができる。 In addition, in the plasma processing apparatus 10 in the dry etching step S04, it is preferable to apply a bias voltage having a frequency λ3 to the internal electrode 12. The frequency λ3 can be set to a value lower than the frequency λ2 of the AC power applied to the third electrode E3. The frequency λ3 can be set to, for example, 400 kHz.

また、ドライエッチング工程S04における異方性プラズマエッチングでは、SFとOの混合ガスをプラズマ分解して、Siの異方性エッチングをおこなうものである。これにより、SFが分解して生成するFラジカルが、Siをエッチングする(F+Si→SiF)。このエッチング反応は、等方性エッチングのため、異方性エッチングを行うために、側壁VSq,VLqに絶縁層(保護膜)を付着させて、側壁VSq,VLqのエッチング反応を抑制してもよい。 In the anisotropic plasma etching in the dry etching step S04, a mixed gas of SF6 and O2 is plasma-decomposed to perform anisotropic etching of Si. As a result, F radicals generated by the decomposition of SF6 etch Si (F+Si→ SiF4 ). Since this etching reaction is isotropic etching, an insulating layer (protective film) may be attached to the side walls VSq and VLq to suppress the etching reaction of the side walls VSq and VLq in order to perform anisotropic etching.

ドライエッチング工程S04におけるSF/Oの混合ガス系異方性プラズマエッチングでは、開口パターンMS,MLに対応する側壁VSq,VLqにおいてデポ層D1が除去されて側壁VSq,VLqが露出する。 In the anisotropic plasma etching using a mixed gas of SF 6 /O 2 in the dry etching step S04, the deposition layer D1 is removed from the sidewalls VSq, VLq corresponding to the opening patterns MS, ML to expose the sidewalls VSq, VLq.

ここで、ドライエッチング工程S04におけるSF/Oの混合ガス系異方性プラズマエッチングでは、絶縁層を形成して、側壁VSq,VLqが保護されてもよい。同時に、Oによる側壁VSq,VLqの酸化と、エッチング生成物であるSiFが再分解されたSiとOの反応によるSiOのデポ膜の形成とによって側壁VSq,VLqが保護される。 Here, in the anisotropic plasma etching using a mixed gas of SF 6 /O 2 in the dry etching step S04, an insulating layer may be formed to protect the side walls VSq and VLq. At the same time, the side walls VSq and VLq are protected by oxidation of the side walls VSq and VLq by O and formation of a deposition film of SiO x by reaction between O and Si that is re-decomposed from SiF 4 , which is an etching product.

また、ドライエッチング工程S04では、エッチング生成物であるSiFが不足することを防止するために、SiFをガスとして供給することもできる。 In addition, in the dry etching step S04, in order to prevent a shortage of SiF 4 , which is an etching product, SiF 4 can also be supplied as a gas.

さらに、ドライエッチング工程S04においては、エッチングガスとしてSFまたはNFを使用し、エッチングガスにケイ素化合物としてSiFを、反応体としてO、N、NO、NO、NOまたはCOを添加して、底部VSb,VLbを集中的にエッチングすることができる。
さらに、ドライエッチング工程S04においては、冷媒経路を内部に有した静電チャックを内部電極12に用いて処理中の基板温度を低温にすることで異方性を高めることができる。例えば、冷媒温度は10℃以下に設定される。
Furthermore, in the dry etching step S04, SF6 or NF3 is used as the etching gas, and SiF4 is added to the etching gas as a silicon compound, and O2 , N2 , N2O , NO, NOx or CO2 is added as a reactant, so that the bottoms VSb and VLb can be intensively etched.
Furthermore, in the dry etching step S04, the anisotropy can be enhanced by lowering the substrate temperature during processing by using an electrostatic chuck having a coolant path therein as the internal electrode 12. For example, the coolant temperature is set to 10° C. or lower.

図9は、本実施例におけるシリコンのドライエッチング方法を示す工程断面図である。
図5に示すアッシング工程S05は、図9に示すように、ドライエッチング工程S04の終了後において、残存したデポ層D1を除去する。
特に、アッシング工程S05においては、レジスト層(マスク層)Mの開口パターンMSおよび開口パターンMLの内周付近に残存したデポ層D1を確実に除去するように、その条件が設定される。
9A to 9C are cross-sectional views showing steps of the dry etching method for silicon in this embodiment.
In the ashing step S05 shown in FIG. 5, the remaining deposition layer D1 is removed after the completion of the dry etching step S04, as shown in FIG.
In particular, in the ashing step S05, the conditions are set so as to reliably remove the deposit layer D1 remaining near the inner periphery of the opening patterns MS and ML of the resist layer (mask layer) M.

アッシング工程S05においては、ドライエッチング工程S04の終了した後に、レジスト層(マスク層)Mの表面に付着しているデポ層D1と、レジスト層(マスク層)Mの開口パターンMSおよび開口パターンMLの内周付近に残存したデポ層D1と、開口パターンMS,MLに対応する側壁VSq,VLqに残存したデポ層D1と、を除去する。また、開口パターンMSに対応する底部VSb1に残存したデポ層D1と、開口パターンMLに対応する底部VLb1に残存したデポ層D1とがあった場合には、これを除去する。 In the ashing step S05, after the completion of the dry etching step S04, the deposition layer D1 adhering to the surface of the resist layer (mask layer) M, the deposition layer D1 remaining near the inner circumference of the opening patterns MS and ML of the resist layer (mask layer) M, and the deposition layer D1 remaining on the side walls VSq and VLq corresponding to the opening patterns MS and ML are removed. In addition, if there is any deposition layer D1 remaining on the bottom VSb1 corresponding to the opening pattern MS, and the deposition layer D1 remaining on the bottom VLb1 corresponding to the opening pattern ML, this is removed.

アッシング工程S05において、開口パターンMSの内周位置に残存したデポ層D1と、開口パターンMLの内周位置に残存したデポ層D1と、が除去しきれずに残存していた場合、好ましくない。
すなわち、複数の繰り返しサイクルにおける後工程である、次回以降のサイクルのデポ工程S03において、残存したデポ層D1にさらにデポ層D2が堆積してしまい、レジスト層(マスク層)Mにおける開口パターンMSおよび開口パターンMLの開口径(開口面積)が減少してしまう。
In the ashing step S05, it is not preferable if the deposit layer D1 remaining at the inner peripheral position of the opening pattern MS and the deposit layer D1 remaining at the inner peripheral position of the opening pattern ML are not completely removed and remain.
That is, in the deposition process S03 of the next or subsequent cycle, which is a subsequent process in multiple repeated cycles, further deposition layer D2 is deposited on the remaining deposition layer D1, thereby reducing the opening diameter (opening area) of the opening patterns MS and ML in the resist layer (mask layer) M.

すると、繰り返しサイクルの1サイクル目のアッシング工程S05に対して、その後工程である2サイクル目のドライエッチング工程S04において、異方性を強めたエッチングをおこなっても、デポ層D1およびデポ層D2によって底部VSb1および底部VLb1までエッチングプラズマが到達することが阻害される。したがって、底部VSb1および底部VLb1におけるエッチングが好適におこなわれない可能性がある。このため、開口パターンMS,MLに対応する側壁VSq,VLqが垂直ではなくなり、凹部パターンVS,VLの形状が先細りとなってしまう可能性を排除できなくなる。 As a result, even if etching with stronger anisotropy is performed in the dry etching step S04 of the second cycle, which is the step subsequent to the ashing step S05 of the first cycle of the repeated cycle, the deposition layers D1 and D2 prevent the etching plasma from reaching the bottoms VSb1 and VLb1. Therefore, etching at the bottoms VSb1 and VLb1 may not be performed properly. As a result, the side walls VSq and VLq corresponding to the opening patterns MS and ML are no longer vertical, and it is not possible to eliminate the possibility that the shapes of the recess patterns VS and VL will become tapered.

これに対して、開口パターンMSの内周位置にデポ層D1が残存せず、また、開口パターンMLの内周位置にデポ層D1が残存しない状態にした場合には、繰り返しサイクルの後工程である次回以降のサイクルとして、次の2サイクル目となるデポ工程S03において、残存したデポ層D1にさらにデポ層D2が堆積することがなく、レジスト層(マスク層)Mにおける開口パターンMSおよび開口パターンMLの開口径(開口面積)が所定の大きさを維持している状態に維持することができる。 In contrast, if the deposition layer D1 does not remain at the inner peripheral position of the opening pattern MS and the deposition layer D1 does not remain at the inner peripheral position of the opening pattern ML, then in the next or subsequent cycles, which are subsequent steps in the repeated cycle, in the deposition step S03, which is the second cycle, the deposition layer D2 will not be further deposited on the remaining deposition layer D1, and the opening diameter (opening area) of the opening pattern MS and the opening pattern ML in the resist layer (mask layer) M can be maintained at a predetermined size.

すると、繰り返しサイクルのうち、次のサイクルである2サイクル目のドライエッチング工程S04において、後工程として異方性を強めたエッチングをおこなうことで、デポ層D1およびデポ層D2によって底部VSb1および底部VLb1までエッチングプラズマが到達することが阻害されない。したがって、底部VSb1および底部VLb1におけるエッチングが好適におこなわれて、開口パターンMS,MLに対応する側壁VSq,VLqが垂直な状態で伸長され、凹部パターンVS,VLの形状が先細りとなってしまうことを防止して、同径の凹部パターンVS,VLを高アスペクト比で形成することが可能となる。 Then, in the dry etching step S04 of the second cycle, which is the next cycle of the repeated cycles, etching with enhanced anisotropy is performed as a post-process, so that the deposition layers D1 and D2 do not prevent the etching plasma from reaching the bottoms VSb1 and VLb1. Therefore, etching at the bottoms VSb1 and VLb1 is performed favorably, and the side walls VSq and VLq corresponding to the opening patterns MS and ML are extended in a vertical state, preventing the shapes of the recess patterns VS and VL from tapering, making it possible to form recess patterns VS and VL of the same diameter with a high aspect ratio.

1サイクル目のアッシング工程S05において、上記のように、開口パターンMSとMLとの内周位置に残存したデポ層D1を確実に除去するために、使用ガスOの解離度の高いプラズマ処理をおこなう必要がある。このために、1サイクル目のアッシング工程S05においても、上述したように、図1,図2に示すプラズマ処理装置10を用いる。 In the ashing step S05 of the first cycle, as described above, in order to reliably remove the deposit layer D1 remaining at the inner peripheral positions of the opening patterns MS and ML, it is necessary to perform a plasma treatment with a high degree of dissociation of the gas O2 used. For this reason, the ashing step S05 of the first cycle also uses the plasma treatment apparatus 10 shown in FIGS. 1 and 2 as described above.

このとき、1サイクル目のアッシング工程S05におけるプラズマ処理装置10では、第一電極E1および第二電極E2に印加する交流電圧の周波数λ1が、第三電極E3に印加する交流電圧の周波数λ2に比べて大きく設定することができる。具体的には、周波数λ1が13.65MHzとされ、周波数λ2が2MHzとされることができる。 At this time, in the plasma processing apparatus 10 in the ashing step S05 of the first cycle, the frequency λ1 of the AC voltage applied to the first electrode E1 and the second electrode E2 can be set to be greater than the frequency λ2 of the AC voltage applied to the third electrode E3. Specifically, the frequency λ1 can be set to 13.65 MHz, and the frequency λ2 can be set to 2 MHz.

また、アッシング工程S05においては、電力分配器16によって印加される周波数λ1の交流電力が、第一電極E1と第二電極E2との間で分配される。
これにより、デポ層D1に対するアッシングレートが径方向でばらつかないようにすることができる。ここで、電力分配器16による第一電極E1と第二電極E2との分配比は、処理ガスの種類およびアッシングのバラツキに応じて設定される。
In the ashing step S05, the AC power having the frequency λ1 applied by the power distributor 16 is distributed between the first electrode E1 and the second electrode E2.
This makes it possible to prevent the ashing rate for the deposition layer D1 from varying in the radial direction. Here, the distribution ratio between the first electrode E1 and the second electrode E2 by the power distributor 16 is set according to the type of processing gas and the variation in ashing.

また、1サイクル目のアッシング工程S05におけるプラズマ処理装置10では、第一電極E1および第二電極E2に印加する周波数λ1の供給電力が、デポ工程S03における値よも大きく、また、ドライエッチング工程S04における値と同じか高い値に設定することができる。 In addition, in the plasma processing device 10 in the ashing step S05 of the first cycle, the supply power of frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to a value greater than that in the deposition step S03 and equal to or greater than that in the dry etching step S04.

また、1サイクル目のアッシング工程S05におけるプラズマ処理装置10では、第一電極E1および第二電極E2に印加する周波数λ1の供給電力が、第三電極E3に印加する周波数λ2の供給電力と同じ値に設定することができる。 In addition, in the plasma processing apparatus 10 during the ashing process S05 of the first cycle, the supply power of frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to the same value as the supply power of frequency λ2 applied to the third electrode E3.

また、1サイクル目のアッシング工程S05におけるプラズマ処理装置10では、内部電極12に対して、周波数λ3であるバイアス電圧を印加することが好ましい。周波数λ3は、第三電極E3に印加する高周波の周波数λ2よりも低い値に設定することができる。1サイクル目のアッシング工程S05におけるバイアス電圧の電力は、1サイクル目のドライエッチング工程S04におけるバイアス電圧の電力と等しいか、1サイクル目のドライエッチング工程S04におけるバイアス電圧の電力よりも高く設定することができる。 In addition, in the plasma processing apparatus 10 in the ashing step S05 of the first cycle, it is preferable to apply a bias voltage with a frequency λ3 to the internal electrode 12. The frequency λ3 can be set to a value lower than the frequency λ2 of the high frequency applied to the third electrode E3. The power of the bias voltage in the ashing step S05 of the first cycle can be set to be equal to the power of the bias voltage in the dry etching step S04 of the first cycle or higher than the power of the bias voltage in the dry etching step S04 of the first cycle.

1サイクル目のアッシング工程S05において、Oガスを供給してアッシングすることができる。Oガス系異方性プラズマ処理では、開口パターンMS,MLの内周付近、および開口パターンMS,MLに対応する側壁VSq,VLqにおいてデポ層D1が確実に除去されて側壁VSq,VLqが露出する。同時に、1サイクル目のアッシング工程S05において、Oガスを供給してアッシングするため、この工程では、樹脂からなるレジスト層(マスク層)Mが、多少除去されて減厚されることもある。 In the ashing step S05 of the first cycle, O2 gas can be supplied to perform ashing. In the O2 gas-based anisotropic plasma treatment, the deposit layer D1 is reliably removed near the inner circumference of the opening patterns MS, ML and on the side walls VSq, VLq corresponding to the opening patterns MS, ML, thereby exposing the side walls VSq, VLq. At the same time, since O2 gas is supplied to perform ashing in the ashing step S05 of the first cycle, the resist layer (mask layer) M made of resin may be removed to some extent and reduced in thickness in this step.

本実施例に係るシリコンのドライエッチング方法は、図5に示すように、デポ工程S03と、ドライエッチング工程S04と、アッシング工程S05と、を1サイクルとして繰り返す。これにより、凹部パターンVS,VLの深さを長くする。
また、1サイクル目のデポ工程S03~アッシング工程S05のエッチング工程が終了した際に、図5に示すように、深さ判断工程S06aと、レジスト保護判断工程S06を有する。
5, the silicon dry etching method according to the present embodiment repeats one cycle of a deposition step S03, a dry etching step S04, and an ashing step S05, thereby increasing the depth of the recess patterns VS and VL.
Furthermore, when the etching steps from the deposition step S03 to the ashing step S05 in the first cycle are completed, as shown in FIG. 5, the method includes a depth determination step S06a and a resist protection determination step S06.

深さ判断工程S06aにおいては、次のレジスト保護判断工程S06へと進むか否かを判断する。このとき、深さ判断工程S06aにおける判断基準は、凹部パターンVS,VLの深さ、言い換えると、凹部パターンVS,VLのアスペクト比である。
凹部パターンVS,VLの深さが足りない場合、次サイクルのエッチング工程へとサイクルを重ねるために、まず、後述するレジスト保護膜形成工程S07へと進むか否かを判断するために、レジスト保護判断工程S06へと進む。また、凹部パターンVS,VLの深さが足りている場合、エッチングを終了して、後工程S08へと進む。
In the depth determination step S06a, it is determined whether to proceed to the next resist protection determination step S06. At this time, the determination criterion in the depth determination step S06a is the depth of the recess patterns VS, VL, in other words, the aspect ratio of the recess patterns VS, VL.
If the recess patterns VS and VL are not deep enough, the process proceeds to a resist protection determination step S06 to determine whether or not to proceed to a resist protective film formation step S07, which will be described later, in order to repeat the cycle to the next etching step. If the recess patterns VS and VL are deep enough, the etching is terminated and the process proceeds to a post-step S08.

レジスト保護判断工程S06においては、次サイクルのエッチングサイクルへとサイクル数を重ねるか、後述するレジスト保護膜形成工程S07へと進むか否かを判断する。
ここで、レジスト保護判断工程S06における判断基準は、凹部パターンVS,VLの深さである。
In the resist protection determination step S06, it is determined whether to continue the cycle to the next etching cycle or to proceed to the resist protective film formation step S07 described later.
Here, the criterion for the resist protection determining step S06 is the depth of the recess patterns VS and VL.

凹部パターンVS,VLの深さが足りない場合、後述するレジスト保護膜形成工程S07においてレジスト保護膜Mmを形成した場合に不具合が生じるからである。具体的には、後述するレジスト保護膜形成工程S07において、レジスト層(マスク層)Mの表面のみならず、開口パターンMS,MLの底部VSb,VLbにレジスト保護膜Mmを形成が形成されてしまう。開口パターンMS,MLの底部VSb,VLbにレジスト保護膜Mmが形成された場合、底部VSb,VLbでのエッチングが進行しないなど、エッチングに好ましくない影響を与える可能性がある。 If the recess patterns VS, VL are not deep enough, problems will occur when the resist protective film Mm is formed in the resist protective film forming step S07 described below. Specifically, in the resist protective film forming step S07 described below, the resist protective film Mm is formed not only on the surface of the resist layer (mask layer) M but also on the bottoms VSb, VLb of the opening patterns MS, ML. If the resist protective film Mm is formed on the bottoms VSb, VLb of the opening patterns MS, ML, this may have an undesirable effect on the etching, such as preventing etching at the bottoms VSb, VLb from progressing.

レジスト保護判断工程S06における判断基準は、凹部パターンVS,VLの深さ、言い換えると、凹部パターンVS,VLのアスペクト比である。具体的には、凹部パターンVS,VLのアスペクト比が例えば1~2程度である場合には、次サイクルのエッチング工程へとサイクルを進め、凹部パターンVS,VLのアスペクト比が3~4程度である場合には、後述するレジスト保護膜形成工程S07へと進める。つまり、凹部パターンVS,VLの開口面積と、1サイクル目のエッチング工程における底部VSb,VLbのエッチング量と、に基づいて判断をおこなうことになる。 The criterion for the resist protection determination step S06 is the depth of the recess patterns VS, VL, in other words, the aspect ratio of the recess patterns VS, VL. Specifically, if the aspect ratio of the recess patterns VS, VL is, for example, about 1 to 2, the cycle proceeds to the next etching step, and if the aspect ratio of the recess patterns VS, VL is about 3 to 4, the cycle proceeds to the resist protection film formation step S07, which will be described later. In other words, the determination is made based on the opening area of the recess patterns VS, VL and the amount of etching of the bottoms VSb, VLb in the first cycle etching step.

なお、レジスト保護判断工程S06における判断は、前工程である1サイクル目後に、シリコン基板Sにおいて、凹部パターンVS,VLの深さを測定した結果から判断してもよいし、前工程におけるエッチング条件から類推して2サイクル目への移行を判断してもよい。エッチング条件による判断では、あらかじめ、所定の条件によるエッチング深さを設定して判断することになる。 The judgment in the resist protection judgment step S06 may be made based on the results of measuring the depth of the recess patterns VS, VL in the silicon substrate S after the first cycle, which is the previous process, or the transition to the second cycle may be judged by analogy with the etching conditions in the previous process. When making a judgment based on the etching conditions, the etching depth is set in advance under predetermined conditions.

次に、2サイクル目にサイクルを進めた場合について説明する。 Next, we will explain what happens when the cycle advances to the second cycle.

図10は、本実施例におけるシリコンのドライエッチング方法を示す工程断面図である。
図5に示す2サイクル目のデポ工程S03は、1サイクル目の深さ判断工程S06aおよびレジスト保護判断工程S06による判断後におこなわれる。2サイクル目のデポ工程S03は、2サイクル目における後工程のドライエッチング工程S04において、凹部パターンVSと凹部パターンVLとの側壁をエッチングから保護可能とする。2サイクル目のデポ工程S03は、図10に示すように、シリコン基板S全面にフルオロカーボン等のポリマーからなるデポ層D2を異方性プラズマ処理により形成する。
10A to 10C are cross-sectional views showing the steps of the dry etching method for silicon in this embodiment.
The deposition step S03 of the second cycle shown in Fig. 5 is performed after the determination in the depth determination step S06a and the resist protection determination step S06 of the first cycle. The deposition step S03 of the second cycle makes it possible to protect the side walls of the recess pattern VS and the recess pattern VL from etching in the subsequent dry etching step S04 in the second cycle. In the deposition step S03 of the second cycle, as shown in Fig. 10, a deposition layer D2 made of a polymer such as fluorocarbon is formed on the entire surface of the silicon substrate S by anisotropic plasma processing.

デポ層D2は、2サイクル目における後工程として、フッ素化合物を使用したエッチングであるドライエッチング工程S04において、垂直な側壁MSq、MLqを達成するために、凹部パターンVS,VLの側壁VSq、VLqをエッチングから保護するとともに、エッチングを凹部パターンVS,VLの底部VSb1,VLb1に限定する。 In the dry etching process S04, which is a post-process in the second cycle and is an etching process using a fluorine compound, the deposition layer D2 protects the side walls VSq, VLq of the recess patterns VS, VL from etching and limits the etching to the bottoms VSb1, VLb1 of the recess patterns VS, VL in order to achieve vertical side walls MSq, MLq.

デポ層D2は、レジスト層(マスク層)Mの表面および凹部パターンVS,VLの底部VSb1,VLb1に積層する。また、図10においては、凹部パターンVS,VLの側壁VSq、VLqにおいてはデポ層D2を示しているが、実際にはあまり積層されない。 The deposition layer D2 is deposited on the surface of the resist layer (mask layer) M and on the bottoms VSb1, VLb1 of the recess patterns VS, VL. In addition, although the deposition layer D2 is shown on the side walls VSq, VLq of the recess patterns VS, VL in FIG. 10, it is not deposited very much in practice.

2サイクル目のデポ工程S03は、1サイクル目のデポ工程S03と同様に、過フッ化炭化水素ガスを用いて、異方性プラズマ処理をおこなう。2サイクル目のデポ工程S03においては、1サイクル目のデポ工程S03と同様、上述したように、図1,図2に示すプラズマ処理装置10を用いる。 In the deposition step S03 of the second cycle, anisotropic plasma processing is performed using a fluorocarbon gas, similar to the deposition step S03 of the first cycle. In the deposition step S03 of the second cycle, the plasma processing device 10 shown in Figures 1 and 2 is used, as described above, similar to the deposition step S03 of the first cycle.

2サイクル目のデポ工程S03において、プラズマ処理装置10では、印加周波数λ1および周波数λ2、雰囲気圧力などの条件を、1サイクル目のデポ工程S03と同様に設定することができる。ここで、2サイクル目以降のデポ工程S03における処理条件は、1サイクル目のデポ工程S03と同じであっても、異なる設定としてもよい。 In the deposition process S03 of the second cycle, the plasma processing apparatus 10 can set conditions such as the applied frequency λ1 and frequency λ2, and the atmospheric pressure in the same manner as in the deposition process S03 of the first cycle. Here, the processing conditions in the deposition processes S03 of the second and subsequent cycles may be the same as those in the deposition process S03 of the first cycle, or may be set differently.

また、2サイクル目のデポ工程S03においては、1サイクル目のデポ工程S03と同様に、電力分配器16によって印加される周波数λ1の交流電力が、第一電極E1と第二電極E2との間で分配される。
これにより、デポ層D2に対する成膜レートが径方向でばらつかないようにすることができる。ここで、電力分配器16による第一電極E1と第二電極E2との分配比は、処理ガスの種類および成膜レートのバラツキに応じて設定される。また、2サイクル目のデポ工程S03においては、1サイクル目のデポ工程S03と同じ分配比とすることもできるし、異なる分配比とすることもできる。
Furthermore, in the deposition step S03 of the second cycle, similarly to the deposition step S03 of the first cycle, the AC power of frequency λ1 applied by the power distributor 16 is distributed between the first electrode E1 and the second electrode E2.
This prevents the deposition rate for the deposition layer D2 from varying in the radial direction. The distribution ratio between the first electrode E1 and the second electrode E2 by the power distributor 16 is set according to the type of processing gas and the variation in the deposition rate. In addition, the deposition step S03 in the second cycle may have the same distribution ratio as the deposition step S03 in the first cycle, or may have a different distribution ratio.

なお、2サイクル目のデポ工程S03においては、1サイクル目のデポ工程S03と同等の設定とすることもできるが、凹部パターンVS,VLの底部VSb1,VLb1へのデポジションレートの低下に対応するため、第一電極E1および第二電極E2に印加する周波数λ1の交流電力または第三電極E3に印加する周波数λ2の交流電力、もしくはその両方を増大させてもよく、あるいは、デポジション粒子を引き込むため、内部電極12にバイアス電圧を印加する条件とすることができる。 The deposition process S03 of the second cycle can be set to the same settings as the deposition process S03 of the first cycle, but in order to accommodate the decrease in the deposition rate on the bottoms VSb1, VLb1 of the recess patterns VS, VL, the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2 or the AC power of frequency λ2 applied to the third electrode E3, or both, can be increased, or a bias voltage can be applied to the internal electrode 12 to attract deposition particles.

2サイクル目のデポ工程S03で形成されるデポ層D2は、1サイクル目のデポ工程S03と同様に、径寸法の小さい開口パターンMSに対応する底部VSbに比べて、径寸法の大きい開口パターンMLに対応する底部VLbにおける膜厚が大きくなる。なお、開口パターンMS,MLの外方となるレジスト層(マスク層)Mの表面におけるデポ層D2の膜厚に比べて、開口パターンMLの底部VLbにおけるデポ層D2の膜厚は同等かあるいは小さくなる。 The deposition layer D2 formed in the deposition process S03 of the second cycle has a larger film thickness at the bottom VLb corresponding to the opening pattern ML with a larger diameter than at the bottom VSb corresponding to the opening pattern MS with a smaller diameter, as in the deposition process S03 of the first cycle. Note that the film thickness of the deposition layer D2 at the bottom VLb of the opening pattern ML is equal to or smaller than the film thickness of the deposition layer D2 on the surface of the resist layer (mask layer) M on the outside of the opening patterns MS, ML.

つまり、デポ層D3の膜厚は、開口パターンMS,MLの外方となるレジスト層(マスク層)Mの表面におけるデポ層D2の膜厚TD2、開口パターンMLの底部VLb1におけるデポ層D2の膜厚TLD2、開口パターンMSの底部VSb1におけるデポ層D2の膜厚TSD2、の順に小さくなる。 In other words, the thickness of the deposition layer D3 decreases in the following order: the thickness TD2 of the deposition layer D2 on the surface of the resist layer (mask layer) M outside the opening patterns MS, ML, the thickness TLD2 of the deposition layer D2 at the bottom VLb1 of the opening pattern ML, and the thickness TSD2 of the deposition layer D2 at the bottom VSb1 of the opening pattern MS.

2サイクル目のデポ工程S03において、上記の条件設定により、開口パターンMS,MLに対応する底部VSb1,VLb1におけるデポ層D2のデポジションカバレージをそれぞれ最適化するように制御する。ここで、デポジションカバレージとして望ましい条件の方向は、必要な膜厚となるデポ層D2を底部VSb1,VLb1に積層する処理時間を短くすることである。つまり、デポ層D2を底部VSb1,VLb1に積層する成膜速度を増大することである。 In the deposition process S03 of the second cycle, the above condition settings are used to control the deposition coverage of the deposition layer D2 at the bottoms VSb1 and VLb1 corresponding to the opening patterns MS and ML, respectively, to be optimized. Here, the direction of the conditions desirable for deposition coverage is to shorten the processing time for stacking the deposition layer D2 of the required film thickness on the bottoms VSb1 and VLb1. In other words, it is to increase the film formation speed for stacking the deposition layer D2 on the bottoms VSb1 and VLb1.

2サイクル目のデポ工程S03において、デポジションカバレージとして望ましい条件としては、エッチング深さおよびアスペクト比に対応してデポジションカバレージを調整することである。つまり、後述するように、底部VSb,VLbからの底部VSb1,VLb1の深さ変化に対応してアスペクト比が変化した場合でも、所望の厚さのデポ層D2を所定の積層成膜速度で成膜可能とする。 In the deposition step S03 of the second cycle, a desirable condition for deposition coverage is to adjust the deposition coverage in response to the etching depth and aspect ratio. In other words, as described below, even if the aspect ratio changes in response to a change in the depth of the bottoms VSb1 and VLb1 from the bottoms VSb and VLb, a deposition layer D2 of the desired thickness can be formed at a predetermined lamination deposition rate.

さらに、底部VSb1に積層するデポ層D2に対する均一性および確実性と、底部VLb1に積層するデポ層D2に対する均一性および確実性とを、向上することである。
さらに、2サイクル目のデポ工程S03において、1サイクル目のデポ工程S03に対して、長い時間とすることができる。なお、3サイクル目以降のデポ工程S03においても同様である。
Furthermore, the object is to improve the uniformity and reliability of the deposition layer D2 laminated on the bottom portion VSb1, and the uniformity and reliability of the deposition layer D2 laminated on the bottom portion VLb1.
Furthermore, the deposition step S03 in the second cycle may be set to a longer time than the deposition step S03 in the first cycle. The same applies to the deposition steps S03 in the third and subsequent cycles.

図11は、本実施例におけるシリコンのドライエッチング方法を示す工程断面図である。
図5に示す2サイクル目のドライエッチング工程S04は、図11に示すように、異方性プラズマエッチングにより、開口パターンMS,MLに対応する底部VSb1,VLb1を掘り下げて、底部VSb2,VLb2を形成する。
11A to 11C are cross-sectional views showing steps of the dry etching method for silicon in this embodiment.
In the dry etching step S04 of the second cycle shown in FIG. 5, the bottoms VSb1, VLb1 corresponding to the opening patterns MS, ML are dug down by anisotropic plasma etching to form bottoms VSb2, VLb2, as shown in FIG.

このとき、2サイクル目のドライエッチング工程S04における処理条件、プラズマの異方性、および、2サイクル目のデポ工程S03によって積層したデポ層D2の膜厚差等によって、2サイクル目のドライエッチング工程S04において形成する開口パターンMSに対応する底部VSb2および開口パターンMLに対応する底部VLb2の深さを均一になるように設定する。 At this time, the depths of the bottom VSb2 corresponding to the opening pattern MS and the bottom VLb2 corresponding to the opening pattern ML formed in the second cycle dry etching step S04 are set to be uniform depending on the processing conditions in the second cycle dry etching step S04, the anisotropy of the plasma, and the film thickness difference of the deposition layer D2 laminated in the second cycle deposition step S03, etc.

具体的には、開口パターンMSに対応する底部VSb1に積層したデポ層D2の膜厚TSD2が、開口パターンMLに対応する底部VLb1に積層したデポ層D2の膜厚TLD2に比べて小さく、かつ、開口パターンMSに対応する底部VSb1に対するエッチング量が、開口パターンMLに対応する底部VLb1に対するエッチング量に比べて小さいために、これらが相殺されて、開口パターンMSに対応する底部VSb2の深さと開口パターンMLに対応する底部VLb2の深さとが均一になる。 Specifically, the thickness TSD2 of the deposition layer D2 laminated on the bottom VSb1 corresponding to the opening pattern MS is smaller than the thickness TLD2 of the deposition layer D2 laminated on the bottom VLb1 corresponding to the opening pattern ML, and the amount of etching for the bottom VSb1 corresponding to the opening pattern MS is smaller than the amount of etching for the bottom VLb1 corresponding to the opening pattern ML. These are offset, and the depth of the bottom VSb2 corresponding to the opening pattern MS and the depth of the bottom VLb2 corresponding to the opening pattern ML become uniform.

また、2サイクル目のドライエッチング工程S04において処理条件、プラズマの異方性、および、デポ層D2によって、開口パターンMS,MLに対応する側壁VSq,VLqに及ぼすエッチングの影響を極めて低減させる。これにより、側壁VSq,VLqがシリコン基板Sの表面と垂直で、かつ、略面一となり凹凸のない側壁VSq,VLqを深さ方向に延長して形成する。
つまり、凹部パターンVS,VLとして均一径寸法となるように底部VSb2,VLb2を形成する。
In addition, in the dry etching step S04 of the second cycle, the effect of etching on the side walls VSq, VLq corresponding to the opening patterns MS, ML is significantly reduced by the processing conditions, the anisotropy of the plasma, and the deposition layer D2. As a result, the side walls VSq, VLq are perpendicular to the surface of the silicon substrate S, are substantially flush with the surface, and are formed to extend in the depth direction without irregularities.
That is, the bottoms VSb2, VLb2 are formed so that the recess patterns VS, VL have uniform diameter dimensions.

この形状を実現するように、2サイクル目のドライエッチング工程S04においても、プラズマ処理に強い異方性を持たせる。2サイクル目のドライエッチング工程S04は、後述するプラズマ処理装置10を用いる。
このとき、2サイクル目のドライエッチング工程S04におけるプラズマ処理装置10では、1サイクル目のドライエッチング工程S04と同様の条件とすることができる。
In order to realize this shape, the plasma treatment is made to have strong anisotropy in the second cycle dry etching step S04 as well. The second cycle dry etching step S04 uses a plasma treatment apparatus 10, which will be described later.
At this time, in the plasma processing apparatus 10 in the dry etching step S04 of the second cycle, the conditions can be the same as those in the dry etching step S04 of the first cycle.

また、2サイクル目のドライエッチング工程S04においては、1サイクル目のドライエッチング工程S04と同様に、電力分配器16によって印加される周波数λ1の交流電力が、第一電極E1と第二電極E2との間で分配される。
これにより、エッチングレートが径方向でばらつかないようにすることができる。ここで、電力分配器16による第一電極E1と第二電極E2との分配比は、処理ガスの種類およびエッチングレートのバラツキに応じて設定される。また、2サイクル目のドライエッチング工程S04においては、1サイクル目のドライエッチング工程S04と同じ分配比とすることもできるし、異なる分配比とすることもできる。
In the dry etching step S04 of the second cycle, similarly to the dry etching step S04 of the first cycle, the AC power of frequency λ1 applied by the power distributor 16 is distributed between the first electrode E1 and the second electrode E2.
This prevents the etching rate from varying in the radial direction. The power distribution ratio between the first electrode E1 and the second electrode E2 by the power distributor 16 is set according to the type of processing gas and the variation in the etching rate. In the dry etching step S04 of the second cycle, the power distribution ratio can be the same as that of the dry etching step S04 of the first cycle, or can be different.

また、2サイクル目のドライエッチング工程S04においても、プラズマ処理装置10では、1サイクル目のドライエッチング工程S04と同様に、第一電極E1および第二電極E2に印加する周波数λ1の交流電力が、2サイクル目のデポ工程S03における値よも大きく、また、2サイクル目のアッシング工程S05における値と同じ値に設定することができる。 Furthermore, in the dry etching step S04 of the second cycle, in the plasma processing apparatus 10, as in the dry etching step S04 of the first cycle, the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to a value greater than that in the deposition step S03 of the second cycle and the same as that in the ashing step S05 of the second cycle.

また、2サイクル目のドライエッチング工程S04においても、プラズマ処理装置10では、1サイクル目のドライエッチング工程S04と同様に、第一電極E1および第二電極E2に印加する周波数λ1の交流電力が、第三電極E3に印加する高周波の周波数λ2の供給電力と同じ値に設定することができる。 Furthermore, in the second cycle dry etching step S04, in the plasma processing apparatus 10, as in the first cycle dry etching step S04, the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to the same value as the high frequency supply power of frequency λ2 applied to the third electrode E3.

また、2サイクル目のドライエッチング工程S04においても、プラズマ処理装置10では、1サイクル目のドライエッチング工程S04と同様に、内部電極12に対して、周波数λ3であるバイアス電圧を印加することが好ましい。周波数λ3は、第三電極E3に印加する周波数λ2よりも低い値に設定することができる。周波数λ3は、たとえば、400kHzとすることができる。 In the second cycle dry etching step S04, similarly to the first cycle dry etching step S04, it is preferable that the plasma processing apparatus 10 applies a bias voltage with a frequency λ3 to the internal electrode 12. The frequency λ3 can be set to a value lower than the frequency λ2 applied to the third electrode E3. The frequency λ3 can be set to, for example, 400 kHz.

また、2サイクル目のドライエッチング工程S04における異方性プラズマエッチングでは、1サイクル目と同様に、SFとOの混合ガスをプラズマ分解して、Siの異方性エッチングをおこなうものである。これにより、SFが分解して生成するFラジカルが、Siをエッチングする(F+Si→SiF)。このエッチング反応は、等方性エッチングのため、異方性エッチングを行うために、側壁VSq,VLqに保護膜を付着させており、側壁VSq,VLqのエッチング反応を抑制してもよい。 In the anisotropic plasma etching in the dry etching step S04 of the second cycle, a mixed gas of SF6 and O2 is plasma-decomposed to anisotropically etch Si, as in the first cycle. As a result, F radicals generated by the decomposition of SF6 etch Si (F+Si→ SiF4 ). This etching reaction is isotropic etching, so a protective film may be attached to the side walls VSq and VLq to suppress the etching reaction of the side walls VSq and VLq in order to perform anisotropic etching.

2サイクル目のドライエッチング工程S04におけるSF/Oの混合ガス系異方性プラズマエッチングでは、1サイクル目のドライエッチング工程S04と同様に、開口パターンMS,MLに対応する側壁VSq,VLqにおいてデポ層D2が除去されて側壁VSq,VLqが露出する。 In the SF6 / O2 mixed gas anisotropic plasma etching in the second cycle dry etching step S04, similar to the first cycle dry etching step S04, the deposition layer D2 is removed from the side walls VSq, VLq corresponding to the opening patterns MS, ML, exposing the side walls VSq, VLq.

ここで、2サイクル目のドライエッチング工程S04におけるSF/Oの混合ガス系異方性プラズマエッチングでは、1サイクル目のドライエッチング工程S04と同様に、絶縁層を形成して、側壁VSq,VLqが保護されてもよい。同時に、Oによる側壁VSq,VLqの酸化と、エッチング生成物であるSiFが再分解されたSiとOの反応によるSiOのデポ膜の形成とによって側壁VSq,VLqが保護される。 Here, in the SF 6 /O 2 mixed gas anisotropic plasma etching in the second cycle dry etching step S04, an insulating layer may be formed to protect the side walls VSq and VLq, as in the first cycle dry etching step S04. At the same time, the side walls VSq and VLq are protected by oxidation of the side walls VSq and VLq by O and formation of a SiO x deposition film by reaction between O and Si re-decomposed from SiF 4 , which is an etching product.

また、2サイクル目のドライエッチング工程S04では、1サイクル目のドライエッチング工程S04と同様に、エッチング生成物であるSiFが不足することを防止するために、SiFをガスとして供給することもできる。 In addition, in the dry etching step S04 of the second cycle, similarly to the dry etching step S04 of the first cycle, in order to prevent a shortage of SiF4 , which is an etching product, SiF4 can also be supplied as a gas.

さらに、2サイクル目のドライエッチング工程S04においては、1サイクル目のドライエッチング工程S04と同様に、エッチングガスとしてSF又はNFを使用し、エッチングガスにケイ素化合物としてSiFを、反応体としてO、N、NO、NO、NOまたはCOを添加して、底部VSb1,VLb1を集中的にエッチングすることができる。
さらに、2サイクル目のドライエッチング工程S04においては、1サイクル目のドライエッチング工程S04に対して、長い時間とすることもできる。なお、3サイクル目以降のドライエッチング工程S04においても同様である。
Furthermore, in the dry etching step S04 of the second cycle, similarly to the dry etching step S04 of the first cycle, SF6 or NF3 is used as the etching gas, SiF4 is added to the etching gas as a silicon compound, and O2 , N2 , N2O , NO, NOx or CO2 is added to the etching gas as a reactant, so that the bottom portions VSb1 and VLb1 can be intensively etched.
Furthermore, the dry etching step S04 in the second cycle may be performed for a longer period of time than the dry etching step S04 in the first cycle. The same applies to the dry etching steps S04 in the third and subsequent cycles.

図12は、本実施例におけるシリコンのドライエッチング方法を示す工程断面図である。
図5に示す2サイクル目のアッシング工程S05は、図12に示すように、2サイクル目のドライエッチング工程S04の終了後において、残存したデポ層D2を除去する。
特に、2サイクル目のアッシング工程S05においては、レジスト層(マスク層)Mの開口パターンMSおよび開口パターンMLの内周付近に残存したデポ層D2を確実に除去するように、その条件が設定される。
12A to 12C are cross-sectional views showing the steps of the dry etching method for silicon in this embodiment.
The second cycle ashing step S05 shown in FIG. 5 removes the remaining deposit layer D2 after the second cycle dry etching step S04 is completed, as shown in FIG.
In particular, in the ashing step S05 of the second cycle, the conditions are set so as to reliably remove the deposition layer D2 remaining near the inner periphery of the opening pattern MS and the opening pattern ML of the resist layer (mask layer) M.

2サイクル目のアッシング工程S05においては、1サイクル目のアッシング工程S05と同様に、2サイクル目のドライエッチング工程S04の終了した後に、レジスト層(マスク層)Mの表面に付着しているデポ層D2と、レジスト層(マスク層)Mの開口パターンMSおよび開口パターンMLの内周付近に残存したデポ層D2と、開口パターンMS,MLに対応する側壁VSq,VLqに残存したデポ層D2と、を除去する。
さらに、開口パターンMSに対応する底部VSb2に残存したデポ層D2と、開口パターンMLに対応する底部VLb2に残存したデポ層D2と、があればこれを除去する。
In the second cycle ashing step S05, similarly to the first cycle ashing step S05, after the second cycle dry etching step S04 is completed, the deposit layer D2 adhering to the surface of the resist layer (mask layer) M, the deposit layer D2 remaining near the inner periphery of the opening patterns MS and ML of the resist layer (mask layer) M, and the deposit layer D2 remaining on the side walls VSq, VLq corresponding to the opening patterns MS, ML are removed.
Furthermore, if there is any remaining deposition layer D2 on the bottom VSb2 corresponding to the opening pattern MS, and if there is any remaining deposition layer D2 on the bottom VLb2 corresponding to the opening pattern ML, these are removed.

ここで、最も重要なのは、開口パターンMSの内周位置に残存したデポ層D2と、開口パターンMLの内周位置に残存したデポ層D2と、を除去することである。もしも、このデポ層D2が除去しきれずに残存していた場合には、繰り返しサイクルの次のサイクルとして後工程である、次のデポ工程S05において、残存したデポ層D2にさらにデポ層D3が堆積してしまい、レジスト層(マスク層)Mにおける開口パターンMSおよび開口パターンMLの開口径(開口面積)が減少してしまう。 The most important thing here is to remove the deposition layer D2 remaining at the inner periphery of the opening pattern MS and the deposition layer D2 remaining at the inner periphery of the opening pattern ML. If this deposition layer D2 cannot be completely removed and remains, in the next deposition step S05, which is a post-step in the next cycle of the repeated cycle, further deposition layer D3 will be deposited on the remaining deposition layer D2, and the opening diameter (opening area) of the opening pattern MS and the opening pattern ML in the resist layer (mask layer) M will be reduced.

すると、2サイクル目の次サイクルである後工程として、3サイクル目となるドライエッチング工程S04において、異方性を強めたエッチングをおこなっても、デポ層D2およびデポ層D3によって底部VSb1および底部VLb1までエッチングプラズマが到達することが阻害される。したがって、底部VSb1および底部VLb1におけるエッチングが好適におこなわれず、開口パターンMS,MLに対応する側壁VSq,VLqが垂直ではなくなり、凹部パターンVS,VLの形状が先細りとなってしまう可能性を排除できなくなる。 As a result, even if etching with enhanced anisotropy is performed in the third cycle, dry etching step S04, which is the post-processing step following the second cycle, the deposition layers D2 and D3 prevent the etching plasma from reaching the bottoms VSb1 and VLb1. Therefore, etching at the bottoms VSb1 and VLb1 is not performed properly, and the side walls VSq and VLq corresponding to the opening patterns MS and ML are no longer vertical, and it is not possible to eliminate the possibility that the shapes of the recess patterns VS and VL will become tapered.

これに対して、開口パターンMSの内周位置にデポ層D2が残存せず、また、開口パターンMLの内周位置にデポ層D2が残存しない状態にした場合には、繰り返しサイクルの次のサイクルとして後工程である、次の3サイクル目となるデポ工程S03において、残存したデポ層D2にさらにデポ層D3が堆積することがなく、レジスト層(マスク層)Mにおける開口パターンMSおよび開口パターンMLの開口径(開口面積)が所定の大きさを維持している状態に維持することができる。 In contrast, if the deposition layer D2 does not remain at the inner peripheral position of the opening pattern MS and the deposition layer D2 does not remain at the inner peripheral position of the opening pattern ML, in the deposition process S03, which is the third cycle and is the subsequent process in the next cycle of the repeated cycle, the deposition layer D3 will not be further deposited on the remaining deposition layer D2, and the opening diameter (opening area) of the opening pattern MS and the opening pattern ML in the resist layer (mask layer) M can be maintained at a predetermined size.

すると、繰り返しサイクルの次のサイクルである3サイクル目のドライエッチング工程S04において、後工程として異方性を強めたエッチングをおこなうことで、デポ層D2およびデポ層D3によって底部VSb2および底部VLb2までエッチングプラズマが到達することが阻害されない。したがって、底部VSb2および底部VLb2におけるエッチングが好適におこなわれて、開口パターンMS,MLに対応する側壁VSq,VLqが垂直な状態で伸長され、凹部パターンVS,VLの形状が先細りとなってしまうことを防止して、同径の凹部パターンVS,VLを高アスペクト比で形成することが可能となる。 Then, in the dry etching step S04 of the third cycle, which is the next cycle of the repeated cycles, etching with enhanced anisotropy is performed as a post-process, so that the deposition layers D2 and D3 do not prevent the etching plasma from reaching the bottoms VSb2 and VLb2. Therefore, etching at the bottoms VSb2 and VLb2 is performed favorably, and the side walls VSq and VLq corresponding to the opening patterns MS and ML are extended in a vertical state, preventing the shapes of the recess patterns VS and VL from tapering, making it possible to form recess patterns VS and VL of the same diameter with a high aspect ratio.

2サイクル目のアッシング工程S05において、上記のように、開口パターンMSとMLとの内周位置に残存したデポ層D2を確実に除去するために、1サイクル目のアッシング工程S05と同様に、プラズマ処理に強い異方性を持たせる必要がある。このために、2サイクル目のアッシング工程S05においても、上述したように、図1,図2に示すプラズマ処理装置10を用いる。 In the second cycle ashing step S05, as described above, in order to reliably remove the deposit layer D2 remaining at the inner peripheral position of the opening patterns MS and ML, it is necessary to impart strong anisotropy to the plasma treatment, as in the first cycle ashing step S05. For this reason, the plasma treatment apparatus 10 shown in Figures 1 and 2 is used in the second cycle ashing step S05 as described above.

このとき、2サイクル目のアッシング工程S05におけるプラズマ処理装置10では、1サイクル目のアッシング工程S05と同様に、第一電極E1および第二電極E2に印加する交流電力の周波数λ1が、第三電極E3に印加する交流電力の周波数λ2に比べて大きく設定することができる。具体的には、周波数λ1が13.65MHzとされ、周波数λ2が2MHzとされることができる。 At this time, in the plasma processing apparatus 10 in the second cycle ashing step S05, similar to the first cycle ashing step S05, the frequency λ1 of the AC power applied to the first electrode E1 and the second electrode E2 can be set to be higher than the frequency λ2 of the AC power applied to the third electrode E3. Specifically, the frequency λ1 can be set to 13.65 MHz, and the frequency λ2 can be set to 2 MHz.

また、2サイクル目のアッシング工程S05におけるプラズマ処理装置10では、1サイクル目と同様に、第一電極E1および第二電極E2に印加する周波数λ1の交流電力が、1サイクル目またはそれ以降のサイクルでのデポ工程S03における値よも大きく、また、2サイクル目のドライエッチング工程S04における値と同じ値に設定することができる。 In addition, in the plasma processing apparatus 10 in the ashing step S05 of the second cycle, as in the first cycle, the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to a value greater than the value in the deposition step S03 in the first cycle or any subsequent cycle, and the same value as the value in the dry etching step S04 of the second cycle.

また、2サイクル目のアッシング工程S05におけるプラズマ処理装置10では、1サイクル目のアッシング工程S05と同様に、第一電極E1および第二電極E2に印加する周波数λ1の交流電力が、第三電極E3に印加する周波数λ2の交流電力と同じ値に設定することができる。 Furthermore, in the plasma processing apparatus 10 in the second cycle ashing step S05, similar to the first cycle ashing step S05, the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to the same value as the AC power of frequency λ2 applied to the third electrode E3.

また、2サイクル目のアッシング工程S05におけるプラズマ処理装置10では、1サイクル目のアッシング工程S05と同様に、内部電極12に対して、周波数λ3であるバイアス電圧を印加することが好ましい。周波数λ3は、側の第三電極E3に印加する周波数λ2よりも低い値に設定することができる。周波数λ3は、たとえば、400kHzとすることができる。2サイクル目のアッシング工程S05におけるバイアス電圧の電力は、2サイクル目のドライエッチング工程S04におけるバイアス電圧の電力と等しいか、2サイクル目のドライエッチング工程S04におけるバイアス電圧の電力よりも高く設定することができる。 In addition, in the plasma processing apparatus 10 in the second cycle ashing step S05, it is preferable to apply a bias voltage with a frequency λ3 to the internal electrode 12, as in the first cycle ashing step S05. The frequency λ3 can be set to a value lower than the frequency λ2 applied to the third electrode E3 on the side. The frequency λ3 can be set to, for example, 400 kHz. The power of the bias voltage in the second cycle ashing step S05 can be set equal to the power of the bias voltage in the second cycle dry etching step S04, or higher than the power of the bias voltage in the second cycle dry etching step S04.

また、2サイクル目のアッシング工程S05においては、1サイクル目のアッシング工程S05と同様に、電力分配器16によって印加される周波数λ1の交流電力が、第一電極E1と第二電極E2との間で分配される。
これにより、デポ層D2に対するアッシングレートが径方向でばらつかないようにすることができる。ここで、電力分配器16による第一電極E1と第二電極E2との分配比は、処理ガスの種類およびアッシングのバラツキに応じて設定される。また、2サイクル目のアッシング工程S05においては、1サイクル目のアッシング工程S05と同じ分配比とすることもできるし、異なる分配比とすることもできる。
In the second cycle ashing step S05, similarly to the first cycle ashing step S05, the AC power having the frequency λ1 applied by the power distributor 16 is distributed between the first electrode E1 and the second electrode E2.
This makes it possible to prevent the ashing rate for the deposition layer D2 from varying in the radial direction. Here, the distribution ratio between the first electrode E1 and the second electrode E2 by the power distributor 16 is set according to the type of processing gas and the variation in ashing. In addition, in the ashing step S05 of the second cycle, the distribution ratio can be the same as that in the ashing step S05 of the first cycle, or can be a different distribution ratio.

2サイクル目のアッシング工程S05において、Oガスを供給してアッシングすることができる。Oガス系異方性プラズマ処理では、開口パターンMS,MLの内周付近、および開口パターンMS,MLに対応する側壁VSq,VLqにおいてデポ層D2が確実に除去されて側壁VSq,VLqが露出する。同時に、2サイクル目のアッシング工程S05において、Oガスを供給してアッシングするため、この工程では、樹脂からなるレジスト層(マスク層)Mが、多少除去されて減厚されることもある。 In the second cycle ashing step S05, O2 gas can be supplied to perform ashing. In the O2 gas-based anisotropic plasma treatment, the deposit layer D2 is reliably removed near the inner circumference of the opening patterns MS, ML and on the side walls VSq, VLq corresponding to the opening patterns MS, ML, thereby exposing the side walls VSq, VLq. At the same time, in the second cycle ashing step S05, O2 gas is supplied to perform ashing, so that in this step, the resist layer (mask layer) M made of resin may be removed to some extent and reduced in thickness.

2サイクル目のアッシング工程S05が終了した際に、2サイクル目のレジスト保護判断工程S06は、1サイクル目の深さ判断工程S06aおよびレジスト保護判断工程S06と同様に、次サイクルのエッチングサイクルへとサイクル数を重ねるか、後述するレジスト保護膜形成工程S07へと進むか、後工程S08へと進むか否かを判断する。 When the second cycle ashing step S05 is completed, the second cycle resist protection determination step S06, like the first cycle depth determination step S06a and resist protection determination step S06, determines whether to continue with the next etching cycle, proceed to the resist protective film formation step S07 described below, or proceed to the subsequent step S08.

2サイクル目の深さ判断工程S06aにおいては、次のレジスト保護判断工程S06へと進むか否かを判断する。このとき、深さ判断工程S06aにおける判断基準は、凹部パターンVS,VLの深さ、言い換えると、凹部パターンVS,VLのアスペクト比である。
凹部パターンVS,VLの深さが足りない場合、次サイクルのエッチング工程へとサイクルを重ねるために、まず、後述するレジスト保護膜形成工程S07へと進むか否かを判断するために、レジスト保護判断工程S06へと進む。また、凹部パターンVS,VLの深さが足りている場合、エッチングを終了して、後工程S08へと進む。
In the depth determination step S06a of the second cycle, it is determined whether to proceed to the next resist protection determination step S06. At this time, the determination criterion in the depth determination step S06a is the depth of the recess patterns VS, VL, in other words, the aspect ratio of the recess patterns VS, VL.
If the recess patterns VS and VL are not deep enough, the process proceeds to a resist protection determination step S06 to determine whether or not to proceed to a resist protective film formation step S07, which will be described later, in order to repeat the cycle to the next etching step. If the recess patterns VS and VL are deep enough, the etching is terminated and the process proceeds to a post-step S08.

2サイクル目のレジスト保護判断工程S06において、1サイクル目のレジスト保護判断工程S06と同様に、判断基準は、凹部パターンVS,VLの深さ、言い換えると、凹部パターンVS,VLのアスペクト比である。 In the resist protection determination step S06 of the second cycle, similar to the resist protection determination step S06 of the first cycle, the determination criterion is the depth of the recess patterns VS, VL, in other words, the aspect ratio of the recess patterns VS, VL.

2サイクル目のレジスト保護判断工程S06は、1サイクル目のレジスト保護判断工程S06と同様に、凹部パターンVS,VLの深さが足りている場合、および、凹部パターンVS,VLのアスペクト比が上述した範囲より大きい場合に、レジスト保護膜形成工程S07においてレジスト保護膜Mmを形成するという判断をおこなう。
つまり、凹部パターンVS,VLの開口面積と、2サイクル目のエッチング工程における底部VSb1,VLb1のエッチング量に基づいて判断をおこなうことになる。
In the resist protection determination step S06 of the second cycle, similarly to the resist protection determination step S06 of the first cycle, a determination is made to form a resist protective film Mm in the resist protective film formation step S07 when the depth of the recess patterns VS, VL is sufficient and when the aspect ratio of the recess patterns VS, VL is greater than the above-mentioned range.
That is, the determination is made based on the opening areas of the recess patterns VS, VL and the etching amounts of the bottoms VSb1, VLb1 in the second cycle etching process.

なお、レジスト保護判断工程S06における判断は、前工程である2サイクル目後に、シリコン基板Sにおいて、凹部パターンVS,VLの深さを測定した結果から判断してもよいし、前工程におけるエッチング条件から類推して3サイクル目への移行を判断してもよい。エッチング条件による判断では、あらかじめ、所定の条件によるエッチング深さを設定して判断することになる。 The judgment in the resist protection judgment step S06 may be made based on the results of measuring the depth of the recess patterns VS, VL in the silicon substrate S after the second cycle, which is the previous process, or the transition to the third cycle may be judged by analogy with the etching conditions in the previous process. When making a judgment based on the etching conditions, the etching depth is set in advance under predetermined conditions.

さらに、2サイクル目のレジスト保護判断工程S06において追加される判断基準としては、2サイクル目のアッシング工程S05によるレジスト層(マスク層)Mの減厚量が所定の値より小さい場合に、次の3サイクル目のドライエッチング工程04に向けてサイクルを重ねる判断をおこなう。また、2サイクル目のレジスト保護判断工程S06における判断基準としては、2サイクル目のアッシング工程S05によるレジスト層(マスク層)Mの減厚量が所定の値より大きい場合に、2サイクル目のレジスト保護膜形成工程S07へと進む判断をおこなう。 An additional criterion in the second cycle resist protection determination step S06 is that if the amount of thickness reduction of the resist layer (mask layer) M due to the second cycle ashing step S05 is smaller than a predetermined value, a decision is made to repeat the cycle toward the next third cycle dry etching step S04. Another criterion in the second cycle resist protection determination step S06 is that if the amount of thickness reduction of the resist layer (mask layer) M due to the second cycle ashing step S05 is larger than a predetermined value, a decision is made to proceed to the second cycle resist protective film formation step S07.

これは、レジスト層(マスク層)Mの減厚量が所定の値より大きい状態で、3サイクル目のエッチング工程へと進んだ場合、レジスト層(マスク層)Mの膜厚が足りなくなる可能性があり、エッチング加工による形状の正確性が維持できないためである。 This is because if the amount of thickness reduction of the resist layer (mask layer) M is greater than a predetermined value when proceeding to the third cycle etching process, the thickness of the resist layer (mask layer) M may be insufficient, and the accuracy of the shape produced by the etching process cannot be maintained.

次に、レジスト保護膜形成工程S07へと進んだ場合を説明する。 Next, we will explain what happens when we proceed to the resist protective film formation process S07.

2サイクル目のレジスト保護膜形成工程S07は、図5に示すように、3サイクル目にサイクルを進める前におこなう。
図13は、本実施例におけるシリコンのドライエッチング方法を示す工程断面図である。
図5に示すレジスト保護膜形成工程S07は、図13に示すように、レジスト層(マスク層)Mの表面にレジスト保護膜Mmを異方性プラズマ処理により形成する。
レジスト保護膜Mmは、後工程である3サイクル目以降におけるドライエッチング工程S04およびアッシング工程S05において、レジスト層(マスク層)Mをエッチングから保護することが可能な膜である。
The resist protective film forming step S07 in the second cycle is performed before proceeding to the third cycle, as shown in FIG.
13A to 13C are cross-sectional views showing the steps of the dry etching method for silicon in this embodiment.
In the resist protective film forming step S07 shown in FIG. 5, a resist protective film Mm is formed on the surface of a resist layer (mask layer) M by anisotropic plasma processing, as shown in FIG.
The resist protective film Mm is a film capable of protecting the resist layer (mask layer) M from etching in the subsequent dry etching step S04 and ashing step S05 in the third cycle and thereafter.

レジスト保護膜形成工程S07において、デポ層D2に比べて、レジスト保護膜Mmのデポジションレートは高く設定される。デポ層D2のデポジションレートに比べて、レジスト保護膜Mmのデポジションレートは1.5倍程度高く設定される。
レジスト保護膜形成工程S07におけるプラズマCVDでは、SiFとOの混合ガス、または、SiClとOの混合ガス、または、SiHとOの混合ガス、あるいは、TEOS(Tetraethyl orthosilicate , Tetraethoxysilane)等のSiαを形成可能なガスによって、プラズマCVDをおこなう。これにより、SiOFの膜構成を有するレジスト保護膜Mmを形成することができる。
In the resist protective film forming step S07, the deposition rate of the resist protective film Mm is set higher than that of the deposition layer D2. The deposition rate of the resist protective film Mm is set higher by about 1.5 times than that of the deposition layer D2.
In the plasma CVD in the resist protective film forming step S07, plasma CVD is performed using a gas capable of forming Si x O y α z , such as a mixed gas of SiF 4 and O 2 , a mixed gas of SiCl 4 and O 2 , a mixed gas of SiH 4 and O 2 , or TEOS (Tetraethyl orthosilicate, Tetraethoxysilane). This makes it possible to form the resist protective film Mm having a film configuration of SiOF.

ここで、レジスト保護膜形成工程S07においてSiFとOの混合ガスを用いた場合には、ドライエッチング工程S04で供給するガスと共通のガスであるSiFを用いることができる。この場合、ガス供給に関する構成を共通化できるため好ましい。 Here, when a mixed gas of SiF4 and O2 is used in the resist protective film forming step S07, the SiF4 gas, which is the same gas as that supplied in the dry etching step S04, can be used. In this case, it is preferable because the configuration related to the gas supply can be made common.

SiOF膜は、SiO膜に似た構成となる。したがって、SiOF膜は、後工程である3サイクル目以降のデポ工程S03と、ドライエッチング工程S04と、アッシング工程S05と、においては減厚しない。
つまり、レジスト保護膜Mmは、後工程である3サイクル目以降のデポ工程S03と、ドライエッチング工程S04と、アッシング工程S05と、においてレジスト層(マスク層)Mの減厚を防止することができる。
The SiOF film has a structure similar to that of a SiO2 film. Therefore, the SiOF film does not become thinner in the deposition step S03, the dry etching step S04, and the ashing step S05 from the third cycle onwards, which are subsequent steps.
In other words, the resist protective film Mm can prevent the resist layer (mask layer) M from being reduced in thickness in the subsequent deposition step S03, dry etching step S04, and ashing step S05 from the third cycle onwards.

レジスト保護膜Mmは、異方性プラズマ処理によりレジスト層(マスク層)Mの表面に形成されるが、凹部パターンVS,VLの側壁VSq,VLqには形成されない。また、レジスト保護膜Mmは、凹部パターンVS,VLの底部VSb2,VLb2には形成されない。これは、深さ判定工程S06aおよびレジスト保護判断工程S06において凹部パターンVS,VLのアスペクト比が所定の値以上に設定されているためである。 The resist protective film Mm is formed on the surface of the resist layer (mask layer) M by anisotropic plasma processing, but is not formed on the side walls VSq, VLq of the recess patterns VS, VL. In addition, the resist protective film Mm is not formed on the bottoms VSb2, VLb2 of the recess patterns VS, VL. This is because the aspect ratios of the recess patterns VS, VL are set to a predetermined value or more in the depth determination process S06a and the resist protection determination process S06.

3サイクル目へと進む前に、はじめておこなうレジスト保護膜形成工程S07において、プラズマ処理に強い異方性を持たせるために、上述したように、図1,図2に示すプラズマ処理装置10を用いる。
このとき、2サイクル目でのレジスト保護膜形成工程S07におけるプラズマ処理装置10では、第一電極E1および第二電極E2に印加する交流電力の周波数λ1が、第三電極E3に印加する交流電力の周波数λ2に比べて大きく設定することができる。具体的には、周波数λ1が13.65MHzとされ、周波数λ2が2MHzとされることができる。
In the resist protective film forming step S07 which is performed for the first time before proceeding to the third cycle, the plasma processing apparatus 10 shown in FIGS. 1 and 2 is used as described above in order to impart strong anisotropy to the plasma processing.
At this time, in the plasma processing apparatus 10 in the resist protective film forming step S07 in the second cycle, the frequency λ1 of the AC power applied to the first electrode E1 and the second electrode E2 can be set to be greater than the frequency λ2 of the AC power applied to the third electrode E3. Specifically, the frequency λ1 can be set to 13.65 MHz, and the frequency λ2 can be set to 2 MHz.

2サイクル目のレジスト保護膜形成工程S07においても、プラズマ処理装置10では、それまでの1サイクル目または2サイクル目におけるドライエッチング工程S04とアッシング工程S05と同様に、第一電極E1および第二電極E2に印加する周波数λ1の交流電力が、1サイクル目または2サイクル目のデポ工程S03における値よも大きく、また、1サイクル目または2サイクル目のドライエッチング工程S04、アッシング工程S05のいずれかにおける値と同じ値に設定することができる。 In the resist protective film formation step S07 of the second cycle, in the plasma processing apparatus 10, similar to the dry etching step S04 and ashing step S05 in the first or second cycle, the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to a value greater than the value in the deposition step S03 of the first or second cycle, and the same value as in either the dry etching step S04 or the ashing step S05 of the first or second cycle.

また、2サイクル目でのレジスト保護膜形成工程S07においても、プラズマ処理装置10では、第一電極E1および第二電極E2に印加する周波数λ1の交流電力が、第三電極E3に印加する周波数λ2の交流電力と同じ値に設定されることができる。 Also, in the resist protective film formation process S07 in the second cycle, in the plasma processing device 10, the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to the same value as the AC power of frequency λ2 applied to the third electrode E3.

また、2サイクル目でのレジスト保護膜形成工程S07において、1サイクル目または2サイクル目のデポ工程S03と同様に、バイアス電圧を印加しないことができる。2サイクル目でのレジスト保護膜形成工程S07において、雰囲気圧力は、2サイクル目のドライエッチング工程S04、アッシング工程S05における値と同じ値に設定することができる。 In addition, in the resist protective film forming step S07 in the second cycle, similar to the deposition step S03 in the first or second cycle, a bias voltage may not be applied. In the resist protective film forming step S07 in the second cycle, the atmospheric pressure may be set to the same value as in the dry etching step S04 and ashing step S05 in the second cycle.

また、2サイクル目でのレジスト保護膜形成工程S07においては、1サイクル目または2サイクル目のデポ工程S03、ドライエッチング工程S04、アッシング工程S05のいずれかと同様に、電力分配器16によって印加される周波数λ1の交流電力が、第一電極E1と第二電極E2との間で分配される。
これにより、レジスト保護膜Mmに対する成膜レートが径方向でばらつかないようにすることができる。ここで、電力分配器16による第一電極E1と第二電極E2との分配比は、処理ガスの種類および成膜レートのバラツキに応じて設定される。また、2サイクル目でのレジスト保護膜形成工程S07においては、1サイクル目または2サイクル目のデポ工程S03、ドライエッチング工程S04、アッシング工程S05のいずれかと同じ分配比とすることもできるし、異なる分配比とすることもできる。
Furthermore, in the resist protective film formation step S07 in the second cycle, similar to any one of the deposition step S03, the dry etching step S04, and the ashing step S05 in the first or second cycle, the AC power of frequency λ1 applied by the power distributor 16 is distributed between the first electrode E1 and the second electrode E2.
This makes it possible to prevent the deposition rate of the resist protective film Mm from varying in the radial direction. Here, the distribution ratio between the first electrode E1 and the second electrode E2 by the power distributor 16 is set according to the type of process gas and the variation in the deposition rate. In addition, in the resist protective film forming step S07 in the second cycle, the distribution ratio can be the same as or different from any of the deposition step S03, the dry etching step S04, and the ashing step S05 in the first or second cycle.

SiOFという構成を有するレジスト保護膜Mmがレジスト層(マスク層)Mの表面に積層された状態として、後工程である3サイクル目以降のエッチング工程のアッシング工程S05を重ねた場合、レジスト層(マスク層)Mの消耗を抑制することができる。 When a resist protective film Mm having a SiOF structure is laminated on the surface of the resist layer (mask layer) M and an ashing step S05 is performed in the subsequent etching process from the third cycle onwards, wear of the resist layer (mask layer) M can be suppressed.

しかし、SiOFという構成を有するレジスト保護膜Mmは、後工程である3サイクル目以降のデポ工程S03におけるCF系、つまり、CHF、C、CF4、またはCなどの過フッ化炭化水素ガス、あるいは、3サイクル目以降のドライエッチング工程S04におけるエッチングガスとしてSF又はNFを使用し、エッチングガスにケイ素化合物としてSiFを、反応体としてO、N、NO、NO、NOまたはCOを添加したガス、例えば、SFとOの混合ガスによる異方性プラズマエッチングの処理により次第に消耗していく。 However, the resist protective film Mm having a SiOF structure is gradually consumed by anisotropic plasma etching using a CF-based gas in the subsequent deposition step S03 from the third cycle onwards, i.e., a perfluorohydrocarbon gas such as CHF3 , C2F6 , C2F4 , or C4F8 , or SF6 or NF3 as the etching gas in the dry etching step S04 from the third cycle onwards, with SiF4 added as the silicon compound to the etching gas and O2 , N2 , N2O , NO, NOx , or CO2 added as a reactant, for example, a mixed gas of SF6 and O2 .

したがって、凹部パターンVS,VLが所望の深さまで、所定数のサイクルを可能なように、レジスト保護膜Mmの膜厚を設定する。このとき、径方向における膜厚のバラツキが生じていないことが重要である。
さらに、所定数のサイクル数が過ぎた場合には、後述するように、消耗したレジスト保護膜Mmの膜厚を回復するために、該当のサイクルにおいて、さらなるレジスト保護膜形成工程S07により、レジスト保護膜Mmをレジスト層(マスク層)Mの表面に再積層する。
Therefore, the thickness of the resist protective film Mm is set so that a predetermined number of cycles can be performed to form the recess patterns VS and VL to the desired depth. At this time, it is important that there is no variation in the film thickness in the radial direction.
Furthermore, when a predetermined number of cycles has elapsed, as described below, in order to restore the film thickness of the worn resist protective film Mm, in the corresponding cycle, a further resist protective film forming step S07 is performed in which the resist protective film Mm is re-laminated on the surface of the resist layer (mask layer) M.

本実施例に係るシリコンのドライエッチング方法は、図5に示すように、デポ工程S03と、ドライエッチング工程S04と、アッシング工程S05と、を1サイクルとして繰り返す。これにより、凹部パターンVS,VLの深さをさらに長くする。さらに、所定のサイクル数ごとに、つまり、所定の頻度で、レジスト保護膜形成工程S07により、レジスト保護膜Mmをレジスト層(マスク層)Mの表面に積層する。
レジスト保護膜形成工程S07に続いて、次の3サイクル目となるエッチング工程に進む。
5, the silicon dry etching method according to this embodiment repeats one cycle of a deposition step S03, a dry etching step S04, and an ashing step S05. This further increases the depth of the recess patterns VS and VL. Furthermore, a resist protective film Mm is laminated on the surface of the resist layer (mask layer) M in a resist protective film forming step S07 every predetermined number of cycles, i.e., at a predetermined frequency.
Following the resist protective film forming step S07, the process proceeds to the etching step which is the third cycle.

次に、3サイクル目にサイクルを進めた場合について説明する。 Next, we will explain what happens when the cycle progresses to the third cycle.

図14は、本実施例におけるシリコンのドライエッチング方法を示す工程断面図である。
図5に示す3サイクル目のデポ工程S03は、後工程である3サイクル目のドライエッチング工程S04において、凹部パターンVSと凹部パターンVLとの側壁をエッチングから保護することができるように、図14に示すように、レジスト保護膜Mm表面にフルオロカーボン等のポリマーからなるデポ層D3を異方性プラズマ処理により形成する。
このとき、レジスト保護膜Mmの膜厚は多少減厚するが、1サイクル分としてのデポ工程S03において、レジスト保護膜Mmはほぼ残存する。
14A to 14C are cross-sectional views showing the steps of the dry etching method for silicon in this embodiment.
In the deposition process S03 of the third cycle shown in FIG. 5, a deposition layer D3 made of a polymer such as fluorocarbon is formed on the surface of the resist protective film Mm by anisotropic plasma treatment as shown in FIG. 14 so that the side walls of the recess patterns VS and VL can be protected from etching in the subsequent dry etching process S04 of the third cycle.
At this time, the thickness of the resist protective film Mm is somewhat reduced, but most of the resist protective film Mm remains in the deposition step S03 as one cycle.

デポ層D2は、3サイクル目における後工程として、フッ素化合物を使用したエッチングであるドライエッチング工程S04において、垂直な側壁MSq、MLqを達成するために、凹部パターンVS,VLの側壁VSq、VLqをエッチングから保護するとともに、エッチングを凹部パターンVS,VLの底部VSb2,VLb2に限定する。 In the dry etching process S04, which is a post-process in the third cycle and is an etching process using a fluorine compound, the deposition layer D2 protects the side walls VSq, VLq of the recess patterns VS, VL from etching and limits the etching to the bottoms VSb2, VLb2 of the recess patterns VS, VL in order to achieve vertical side walls MSq, MLq.

デポ層D3は、レジスト保護膜Mmの表面および凹部パターンVS,VLの底部VSb2,VLb2に積層する。また、図14においては、凹部パターンVS,VLの側壁VSq、VLqにおいてはデポ層D3を示しているが、実際にはあまり積層されない。 The deposition layer D3 is laminated on the surface of the resist protective film Mm and on the bottoms VSb2, VLb2 of the recess patterns VS, VL. In addition, in FIG. 14, the deposition layer D3 is shown on the side walls VSq, VLq of the recess patterns VS, VL, but in reality it is not laminated very much.

3サイクル目のデポ工程S03は、2サイクル目と同様に、CHF、C、CF4、またはCなどの過フッ化炭化水素ガスを用いて、異方性プラズマ処理をおこなう。デポ工程S03においては、プラズマ処理に強い異方性を持たせるために、上述したように、図1,図2に示すプラズマ処理装置10を用いる。 In the deposition step S03 of the third cycle, similarly to the second cycle, anisotropic plasma processing is performed using a fluorocarbon gas such as CHF3 , C2F6 , C2F4 , or C4F8 . In the deposition step S03, in order to impart strong anisotropy to the plasma processing, as described above, the plasma processing apparatus 10 shown in Figures 1 and 2 is used.

3サイクル目のデポ工程S03において、プラズマ処理装置10では、第一電極E1および第二電極E2に印加する交流電力の周波数λ1が、第三電極E3に印加する交流電力の周波数λ2に比べて大きく設定することができる。具体的には、周波数λ1が13.65MHzとされ、周波数λ2が2MHzとされることができる。
このとき、1サイクル目、および/または、2サイクル目のデポ工程S03と同等の設定とすることもできる。
In the deposition process S03 of the third cycle, the frequency λ1 of the AC power applied to the first electrode E1 and the second electrode E2 can be set to be higher than the frequency λ2 of the AC power applied to the third electrode E3 in the plasma processing apparatus 10. Specifically, the frequency λ1 can be set to 13.65 MHz, and the frequency λ2 can be set to 2 MHz.
At this time, the same settings as those of the deposition step S03 in the first cycle and/or the second cycle can be used.

3サイクル目のデポ工程S03において、プラズマ処理装置10では、印加周波数λ1および周波数λ2、雰囲気圧力などの条件を、1サイクル目または2サイクル目のいずれかにおけるデポ工程S03と同様に設定することができる。ここで、3サイクル目以降のデポ工程S03における処理条件は、1サイクル目または2サイクル目のいずれかにおけるデポ工程S03と同じであっても、異なる設定としてもよい。 In the deposition process S03 of the third cycle, the plasma processing apparatus 10 can set conditions such as the applied frequency λ1 and frequency λ2, and the atmospheric pressure in the same manner as in the deposition process S03 of either the first or second cycle. Here, the processing conditions in the deposition process S03 of the third or subsequent cycles may be the same as or different from the deposition process S03 of either the first or second cycle.

また、3サイクル目のデポ工程S03においては、1サイクル目または2サイクル目のデポ工程S03のいずれかと同様に、電力分配器16によって印加される周波数λ1の交流電力が、第一電極E1と第二電極E2との間で分配される。
これにより、デポ層D2に対する成膜レートが径方向でばらつかないようにすることができる。ここで、電力分配器16による第一電極E1と第二電極E2との分配比は、処理ガスの種類および成膜レートのバラツキに応じて設定される。また、3サイクル目のデポ工程S03においては、1サイクル目、および/または、2サイクル目のデポ工程S03と同じ分配比とすることもできるし、異なる分配比とすることもできる。
Furthermore, in the deposition step S03 of the third cycle, similar to either the deposition step S03 of the first or second cycle, the AC power of frequency λ1 applied by the power distributor 16 is distributed between the first electrode E1 and the second electrode E2.
This prevents the deposition rate of the deposition layer D2 from varying in the radial direction. The distribution ratio between the first electrode E1 and the second electrode E2 by the power distributor 16 is set according to the type of processing gas and the variation in the deposition rate. In addition, the deposition step S03 in the third cycle may have the same distribution ratio as the deposition step S03 in the first cycle and/or the deposition step S03 in the second cycle, or may have a different distribution ratio.

また、3サイクル目のデポ工程S03において、プラズマ処理装置10では、第一電極E1および第二電極E2に印加する周波数λ1の交流電力が、後工程である3サイクル目のドライエッチング工程S04およびアッシング工程S05における値よりも小さく設定することができる。また、3サイクル目のデポ工程S03において、プラズマ処理装置10においては、内部電極12に対して、バイアス電圧を印加しないことができる。 In addition, in the deposition process S03 of the third cycle, the plasma processing apparatus 10 can set the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2 to a value smaller than the value in the subsequent dry etching process S04 and ashing process S05 of the third cycle. In addition, in the deposition process S03 of the third cycle, the plasma processing apparatus 10 can apply no bias voltage to the internal electrode 12.

3サイクル目のデポ工程S03で形成されるデポ層D3は、2サイクル目のデポ工程S03と同様に、径寸法の小さい開口パターンMSに対応する底部VSb2に比べて、径寸法の大きい開口パターンMLに対応する底部VLb2における膜厚が大きくなる。なお、開口パターンMS,MLの外方となるレジスト保護膜Mmの表面におけるデポ層D3の膜厚に比べて、開口パターンMLの底部VLb2におけるデポ層D3の膜厚は同等かあるいは小さくなる。 The deposition layer D3 formed in the third cycle deposition process S03, like the second cycle deposition process S03, has a larger film thickness at the bottom VLb2 corresponding to the opening pattern ML with a larger diameter than at the bottom VSb2 corresponding to the opening pattern MS with a smaller diameter. The film thickness of the deposition layer D3 at the bottom VLb2 of the opening pattern ML is equal to or smaller than the film thickness of the deposition layer D3 on the surface of the resist protective film Mm on the outside of the opening patterns MS and ML.

つまり、デポ層D3の膜厚は、開口パターンMS,MLの外方となるレジスト保護膜Mmの表面におけるデポ層D3の膜厚TD3、開口パターンMLの底部VLb2におけるデポ層D3の膜厚TLD3、開口パターンMSの底部VSb2におけるデポ層D3の膜厚TSD3、の順に小さくなる。 In other words, the thickness of the deposition layer D3 decreases in the following order: thickness TD3 of the deposition layer D3 on the surface of the resist protective film Mm on the outer side of the opening patterns MS and ML, thickness TLD3 of the deposition layer D3 at the bottom VLb2 of the opening pattern ML, and thickness TSD3 of the deposition layer D3 at the bottom VSb2 of the opening pattern MS.

3サイクル目のデポ工程S03において、上記のように条件設定をおこなうことにより、開口パターンMS,MLに対応する底部VSb2,VLb2におけるデポ層D3のデポジションカバレージをそれぞれ最適化するように制御することが可能となる。ここで、デポジションカバレージとして望ましい条件の方向は、必要な膜厚となるデポ層D3を底部VSb2,VLb2に積層する処理時間を短くすることである。つまり、デポ層D3を底部VSb2,VLb2に積層する成膜速度を増大することである。 In the deposition process S03 of the third cycle, by setting the conditions as described above, it is possible to control the deposition coverage of the deposition layer D3 at the bottoms VSb2 and VLb2 corresponding to the opening patterns MS and ML so as to optimize them. Here, the direction of the conditions desirable for the deposition coverage is to shorten the processing time for stacking the deposition layer D3 of the required film thickness on the bottoms VSb2 and VLb2. In other words, it is to increase the film formation speed for stacking the deposition layer D3 on the bottoms VSb2 and VLb2.

また、3サイクル目のデポ工程S03において、デポジションカバレージとして望ましい条件としては、エッチング深さおよびアスペクト比に対応してデポジションカバレージを調整することである。つまり、後述するように、底部VSb1,VLb1からの底部VSb2,VLb2の深さ変化に対応してアスペクト比が変化した場合でも、所望の厚さのデポ層D3を所定の積層成膜速度で成膜する。 In addition, in the deposition step S03 of the third cycle, a desirable condition for deposition coverage is to adjust the deposition coverage in response to the etching depth and aspect ratio. In other words, as described below, even if the aspect ratio changes in response to the change in depth of the bottoms VSb2, VLb2 from the bottoms VSb1, VLb1, a deposition layer D3 of the desired thickness is formed at a predetermined layering deposition rate.

さらに、底部VSb2に積層するデポ層D3に対する均一性および確実性と、底部VLb1に積層するデポ層D3に対する均一性および確実性とを、それぞれ向上する。
さらに、3サイクル目のデポ工程S03において、1サイクル目のデポ工程S03、および/または、2サイクル目のデポ工程S03に対して、同様におこなうことができる。
Furthermore, the uniformity and reliability of the deposition layer D3 laminated on the bottom portion VSb2 and the uniformity and reliability of the deposition layer D3 laminated on the bottom portion VLb1 are improved, respectively.
Furthermore, in the deposition step S03 of the third cycle, the same can be performed for the deposition step S03 of the first cycle and/or the deposition step S03 of the second cycle.

図15は、本実施形態におけるシリコンのドライエッチング方法を示す工程断面図である。
図5に示す3サイクル目のドライエッチング工程S04は、図15に示すように、異方性プラズマエッチングにより、開口パターンMS,MLに対応する底部VSb2,VLb2を掘り下げて、底部VSb3,VLb3を形成する。
このとき、レジスト保護膜Mmの膜厚は多少減厚するが、1サイクル分としての3サイクル目のドライエッチング工程S04において、レジスト保護膜Mmはほぼ残存する。
15A to 15C are cross-sectional views showing the steps of the silicon dry etching method according to this embodiment.
In the dry etching step S04 of the third cycle shown in FIG. 5, the bottoms VSb2, VLb2 corresponding to the opening patterns MS, ML are dug down by anisotropic plasma etching to form bottoms VSb3, VLb3, as shown in FIG.
At this time, the thickness of the resist protective film Mm is somewhat reduced, but most of the resist protective film Mm remains in the dry etching step S04 of the third cycle (one cycle).

このとき、3サイクル目のドライエッチング工程S04における処理条件、電力分配比、プラズマの異方性、および、3サイクル目のデポ工程S03によって積層したデポ層D3の膜厚差等によって、このドライエッチング工程S04において形成する開口パターンMSに対応する底部VSb3および開口パターンMLに対応する底部VLb3の深さを均一になるように設定する。 At this time, the depths of the bottom VSb3 corresponding to the opening pattern MS and the bottom VLb3 corresponding to the opening pattern ML formed in the third cycle dry etching step S04 are set to be uniform depending on the process conditions, power distribution ratio, plasma anisotropy, and film thickness difference of the deposition layer D3 stacked by the third cycle deposition step S03, etc.

具体的には、開口パターンMSに対応する底部VSb2に積層したデポ層D3の膜厚TSD3が、開口パターンMLに対応する底部VLb2に積層したデポ層D3の膜厚TLD3に比べて小さく、かつ、開口パターンMSに対応する底部VSb2に対するエッチング量が、開口パターンMLに対応する底部VLb2に対するエッチング量に比べて小さいために、これらが相殺されて、開口パターンMSに対応する底部VSb3の深さと開口パターンMLに対応する底部VLb3の深さとが均一になる。 Specifically, the thickness TSD3 of the deposition layer D3 laminated on the bottom VSb2 corresponding to the opening pattern MS is smaller than the thickness TLD3 of the deposition layer D3 laminated on the bottom VLb2 corresponding to the opening pattern ML, and the amount of etching for the bottom VSb2 corresponding to the opening pattern MS is smaller than the amount of etching for the bottom VLb2 corresponding to the opening pattern ML. These are offset, and the depth of the bottom VSb3 corresponding to the opening pattern MS and the depth of the bottom VLb3 corresponding to the opening pattern ML become uniform.

また、3サイクル目のドライエッチング工程S04においては、その処理条件、プラズマの異方性、および、デポ層D3によって、開口パターンMS,MLに対応する側壁VSq,VLqに及ぼすエッチングの影響を極めて低減させてもよい。これにより、側壁VSq,VLqがシリコン基板Sの表面と垂直で、かつ、略面一となり凹凸のない側壁VSq,VLqを深さ方向に延長して形成する。
つまり、凹部パターンVS,VLとして均一径寸法となるように底部VSb3,VLb3を形成する。
In the third cycle dry etching step S04, the effect of etching on the side walls VSq, VLq corresponding to the opening patterns MS, ML may be significantly reduced by the process conditions, the anisotropy of the plasma, and the deposition layer D3. As a result, the side walls VSq, VLq are perpendicular to the surface of the silicon substrate S, are substantially flush with the surface, and are formed without irregularities by extending in the depth direction.
That is, the bottoms VSb3, VLb3 are formed so that the recess patterns VS, VL have uniform diameter dimensions.

この形状を実現するように、3サイクル目のドライエッチング工程S04においても、プラズマ処理に強い異方性を持たせるために、上述したように、図1,図2に示すプラズマ処理装置10を用いる。
このとき、3サイクル目のドライエッチング工程S04におけるプラズマ処理装置10では、2サイクル目のドライエッチング工程S04と同様に、第一電極E1および第二電極E2に印加する交流電力の周波数λ1が、第三電極E3に印加する交流電力の周波数λ2に比べて大きく設定することができる。具体的には、周波数λ1が13.65MHzとされ、周波数λ2が2MHzとされることができる。
In order to realize this shape, the plasma processing apparatus 10 shown in FIGS. 1 and 2 is used as described above in the third cycle of dry etching step S04 in order to impart strong anisotropy to the plasma processing.
At this time, in the plasma processing apparatus 10 in the third cycle dry etching step S04, the frequency λ1 of the AC power applied to the first electrode E1 and the second electrode E2 can be set to be greater than the frequency λ2 of the AC power applied to the third electrode E3, as in the second cycle dry etching step S04. Specifically, the frequency λ1 can be set to 13.65 MHz, and the frequency λ2 can be set to 2 MHz.

また、3サイクル目のドライエッチング工程S04においても、プラズマ処理装置10では、2サイクル目と同様に、第一電極E1および第二電極E2に印加する周波数λ1の交流電力が、3サイクル目のデポ工程S03における値よも大きく、また、3サイクル目のアッシング工程S05における値と同じ値に設定することができる。 Furthermore, in the dry etching step S04 of the third cycle, in the plasma processing apparatus 10, as in the second cycle, the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to a value greater than that in the deposition step S03 of the third cycle and the same as that in the ashing step S05 of the third cycle.

また、3サイクル目のドライエッチング工程S04においても、プラズマ処理装置10では、2サイクル目と同様に、第一電極E1および第二電極E2に印加する周波数λ1の交流電力が、第三電極E3に印加する周波数λ2の交流電力と同じ値に設定されることができる。 Also, in the third cycle dry etching step S04, in the plasma processing apparatus 10, as in the second cycle, the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to the same value as the AC power of frequency λ2 applied to the third electrode E3.

また、3サイクル目のドライエッチング工程S04においては、2サイクル目のドライエッチング工程S04と同様に、電力分配器16によって印加される周波数λ1の交流電力が、第一電極E1と第二電極E2との間で分配される。
これにより、エッチングレートが径方向でばらつかないようにすることができる。ここで、電力分配器16による第一電極E1と第二電極E2との分配比は、処理ガスの種類およびエッチングレートのバラツキに応じて設定される。また、3サイクル目のドライエッチング工程S04においては、1サイクル目または2サイクル目のドライエッチング工程S04と同じ分配比とすることもできるし、異なる分配比とすることもできる。
Furthermore, in the third cycle dry etching step S04, similar to the second cycle dry etching step S04, the AC power of frequency λ1 applied by the power distributor 16 is distributed between the first electrode E1 and the second electrode E2.
This prevents the etching rate from varying in the radial direction. The power distribution ratio between the first electrode E1 and the second electrode E2 by the power distributor 16 is set according to the type of processing gas and the variation in the etching rate. In the dry etching step S04 of the third cycle, the power distribution ratio can be the same as or different from that of the dry etching step S04 of the first or second cycle.

また、3サイクル目のドライエッチング工程S04においても、プラズマ処理装置10では、2サイクル目のドライエッチング工程S04と同様に、内部電極12に対して、周波数λ3であるバイアス電圧を印加することが好ましい。周波数λ3は、第三電極E3に印加する周波数λ2よりも低い値に設定することができる。周波数λ3は、たとえば、400kHzとすることができる。 In the third cycle dry etching step S04, similarly to the second cycle dry etching step S04, it is preferable that the plasma processing apparatus 10 applies a bias voltage with a frequency λ3 to the internal electrode 12. The frequency λ3 can be set to a value lower than the frequency λ2 applied to the third electrode E3. The frequency λ3 can be set to, for example, 400 kHz.

また、3サイクル目のドライエッチング工程S04における異方性プラズマエッチングでは、2サイクル目のドライエッチング工程S04と同様に、SFとOの混合ガスをプラズマ分解して、Siの異方性エッチングをおこなうものである。これにより、SFが分解して生成するFラジカルが、Siをエッチングする(F+Si→SiF)。このエッチング反応は、等方性エッチングのため、異方性エッチングを行うために、側壁VSq,VLqに保護膜を付着させて、側壁VSq,VLqのエッチング反応を抑制してもよい。 In the anisotropic plasma etching in the dry etching step S04 of the third cycle, a mixed gas of SF6 and O2 is plasma-decomposed to perform anisotropic etching of Si, as in the dry etching step S04 of the second cycle. As a result, F radicals generated by the decomposition of SF6 etch Si (F+Si→ SiF4 ). Since this etching reaction is isotropic etching, a protective film may be attached to the side walls VSq and VLq to suppress the etching reaction of the side walls VSq and VLq in order to perform anisotropic etching.

3サイクル目のドライエッチング工程S04におけるSF/Oの混合ガス系異方性プラズマエッチングでは、2サイクル目のドライエッチング工程S04と同様に、開口パターンMS,MLに対応する側壁VSq,VLqにおいてデポ層D2が除去されて側壁VSq,VLqが露出する。 In the SF6 / O2 mixed gas anisotropic plasma etching in the third cycle dry etching step S04, similar to the second cycle dry etching step S04, the deposition layer D2 is removed from the side walls VSq, VLq corresponding to the opening patterns MS, ML, exposing the side walls VSq, VLq.

ここで、3サイクル目のドライエッチング工程S04におけるSF/Oの混合ガス系異方性プラズマエッチングでは、2サイクル目のドライエッチング工程S04と同様に、絶縁層を形成して、側壁VSq,VLqが保護されてもよい。同時に、Oによる側壁VSq,VLqの酸化と、エッチング生成物であるSiFが再分解されたSiとOの反応によるSiOのデポ膜の形成とによって側壁VSq,VLqが保護される。 Here, in the SF 6 /O 2 mixed gas anisotropic plasma etching in the third cycle dry etching step S04, an insulating layer may be formed to protect the side walls VSq and VLq, as in the second cycle dry etching step S04. At the same time, the side walls VSq and VLq are protected by oxidation of the side walls VSq and VLq by O and formation of a SiO x deposition film by reaction between O and Si that is re-decomposed from SiF 4 , which is an etching product.

また、3サイクル目のドライエッチング工程S04では、2サイクル目のドライエッチング工程S04と同様に、エッチング生成物であるSiFが不足することを防止するために、SiFをガスとして供給することもできる。 In addition, in the dry etching step S04 of the third cycle, similarly to the dry etching step S04 of the second cycle, in order to prevent a shortage of SiF4 , which is an etching product, SiF4 can also be supplied as a gas.

さらに、3サイクル目のドライエッチング工程S04においては、2サイクル目のドライエッチング工程S04と同様に、エッチングガスとしてSF又はNFを使用し、エッチングガスにケイ素化合物としてSiFを、反応体としてO、N、NO、NO、NOまたはCOを添加して、底部VSb2,VLb2を集中的にエッチングすることができる。
さらに、3サイクル目のドライエッチング工程S04においては、1サイクル目のドライエッチング工程S04、および/または、2サイクル目のドライエッチング工程S04に対して、長い時間とすることもできる。
Furthermore, in the dry etching step S04 of the third cycle, similarly to the dry etching step S04 of the second cycle, SF6 or NF3 is used as the etching gas, SiF4 is added to the etching gas as a silicon compound, and O2 , N2 , N2O , NO, NOx or CO2 is added to the etching gas as a reactant, so that the bottom portions VSb2 and VLb2 can be intensively etched.
Furthermore, the third cycle dry etching step S04 may be performed for a longer period of time than the first cycle dry etching step S04 and/or the second cycle dry etching step S04.

図16は、本実施形態におけるシリコンのドライエッチング方法を示す工程断面図である。
図5に示す3サイクル目のアッシング工程S05は、図16に示すように、3サイクル目のドライエッチング工程S04の終了後において、残存したデポ層D3を除去する。
特に、3サイクル目のアッシング工程S05においては、開口パターンMSおよび開口パターンMLの内周付近に残存したレジスト保護膜Mm表面付近のデポ層D3を確実に除去するように、その条件が設定される。
16A to 16C are cross-sectional views illustrating steps of the silicon dry etching method according to this embodiment.
The third cycle ashing step S05 shown in FIG. 5 removes the remaining deposit layer D3 after the third cycle dry etching step S04 is completed, as shown in FIG.
In particular, in the ashing step S05 of the third cycle, the conditions are set so as to reliably remove the deposition layer D3 near the surface of the resist protective film Mm remaining near the inner peripheries of the opening patterns MS and ML.

3サイクル目のアッシング工程S05においては、1サイクル目および/または2サイクル目と同様に、3サイクル目のドライエッチング工程S04の終了した後に、レジスト保護膜Mmの表面に付着しているデポ層D3と、開口パターンMSおよび開口パターンMLの開口内周付近に残存したデポ層D3と、開口パターンMS,MLに対応する側壁VSq,VLqに残存したデポ層D3と、を除去する。 In the third cycle ashing step S05, similar to the first and/or second cycle, after the third cycle dry etching step S04 is completed, the deposition layer D3 adhering to the surface of the resist protective film Mm, the deposition layer D3 remaining near the inner periphery of the openings of the opening patterns MS and ML, and the deposition layer D3 remaining on the side walls VSq, VLq corresponding to the opening patterns MS, ML are removed.

さらに、3サイクル目のアッシング工程S05において、開口パターンMSに対応する底部VSb3に残存したデポ層D3と、開口パターンMLに対応する底部VLb3に残存したデポ層D3と、があればこれを除去する。
このとき、レジスト保護膜Mmの膜厚は変化せず、3サイクル目のアッシング工程S05において、レジスト保護膜Mmはほぼ残存する。
Furthermore, in the ashing step S05 of the third cycle, the deposition layer D3 remaining on the bottom VSb3 corresponding to the opening pattern MS and the deposition layer D3 remaining on the bottom VLb3 corresponding to the opening pattern ML are removed, if any.
At this time, the film thickness of the resist protective film Mm does not change, and most of the resist protective film Mm remains in the ashing step S05 of the third cycle.

ここで、最も重要なのは、開口パターンMSの内周位置に残存したデポ層D3と、開口パターンMLの内周位置に残存したデポ層D3と、を除去することである。もしも、このデポ層D3が除去しきれずに残存していた場合には、後工程である、複数回繰り返すサイクルのうち次サイクルである4サイクル目以降となるデポ工程S03において、残存したデポ層D3にさらに次のデポ層D4が堆積してしまい、レジスト層(マスク層)Mおよびレジスト保護膜Mmにおける開口パターンMSおよび開口パターンMLの開口径(開口面積)が減少してしまう。 The most important thing here is to remove the deposition layer D3 remaining at the inner periphery of the opening pattern MS and the deposition layer D3 remaining at the inner periphery of the opening pattern ML. If this deposition layer D3 cannot be completely removed and remains, the next deposition layer D4 will be deposited on the remaining deposition layer D3 in the deposition process S03, which is the next cycle of the multiple repeated cycles, and the opening diameter (opening area) of the opening pattern MS and the opening pattern ML in the resist layer (mask layer) M and the resist protective film Mm will be reduced.

すると、後工程である3サイクル目以降のサイクル、たとえば次サイクルである4サイクル目のドライエッチング工程S04において、異方性を強めたエッチングをおこなっても、デポ層D2およびデポ層D3によって底部VSb2および底部VLb2までエッチングプラズマが到達することが阻害される。したがって、底部VSb2および底部VLb2におけるエッチングが好適におこなわれず、開口パターンMS,MLに対応する側壁VSq,VLqが垂直ではなくなり、凹部パターンVS,VLの形状が先細りとなってしまう可能性を排除できなくなる。 As a result, even if etching with stronger anisotropy is performed in the subsequent cycles from the third cycle onwards, for example the dry etching step S04 of the fourth cycle, the deposition layers D2 and D3 prevent the etching plasma from reaching the bottoms VSb2 and VLb2. As a result, etching at the bottoms VSb2 and VLb2 is not performed properly, and the side walls VSq and VLq corresponding to the opening patterns MS and ML are no longer vertical, and the shape of the recess patterns VS and VL cannot be eliminated as being tapered.

これに対して、3サイクル目のアッシング工程S05において、開口パターンMSの内周位置にデポ層D3が残存せず、また、開口パターンMLの内周位置にデポ層D3が残存しない状態にした場合には、後工程である繰り返しサイクルの次以降のサイクルにおけるデポ工程S03において、残存したデポ層D3にさらにデポ層D4が堆積することがなく、レジスト層(マスク層)Mおよびレジスト保護膜Mmにおける開口パターンMSおよび開口パターンMLの開口径(開口面積)が所定の大きさを維持している状態に維持することができる。 In contrast, if the deposition layer D3 does not remain at the inner peripheral position of the opening pattern MS and the deposition layer D3 does not remain at the inner peripheral position of the opening pattern ML in the ashing step S05 of the third cycle, the deposition step S03 in the next or subsequent cycle of the repeated cycle, which is a subsequent process, will not cause further deposition of the deposition layer D4 on the remaining deposition layer D3, and the opening diameter (opening area) of the opening pattern MS and the opening pattern ML in the resist layer (mask layer) M and the resist protective film Mm can be maintained at a predetermined size.

すると、繰り返しサイクルのうち、次以降のサイクルにおけるドライエッチング工程S04において、後工程として異方性を強めたエッチングをおこなうことで、デポ層D3およびデポ層D4によって底部VSb2および底部VLb2までエッチングプラズマが到達することが阻害されない。したがって、底部VSb2および底部VLb2におけるエッチングが好適におこなわれて、開口パターンMS,MLに対応する側壁VSq,VLqが垂直な状態で伸長され、凹部パターンVS,VLの形状が先細りとなってしまうことを防止して、同径の凹部パターンVS,VLを高アスペクト比で形成することが可能となる。 Then, in the dry etching step S04 in the next or subsequent cycle of the repeated cycle, etching with enhanced anisotropy is performed as a post-step, so that the deposition layers D3 and D4 do not prevent the etching plasma from reaching the bottoms VSb2 and VLb2. Therefore, etching at the bottoms VSb2 and VLb2 is performed favorably, and the side walls VSq and VLq corresponding to the opening patterns MS and ML are extended in a vertical state, preventing the shapes of the recess patterns VS and VL from tapering, making it possible to form recess patterns VS and VL of the same diameter with a high aspect ratio.

同時に、レジスト保護膜Mmは、アッシング工程S05においてレジスト層(マスク層)Mがなくならないように、充分な膜厚を維持していることが重要である。 At the same time, it is important that the resist protective film Mm maintains a sufficient thickness so that the resist layer (mask layer) M is not lost in the ashing process S05.

3サイクル目のアッシング工程S05において、上記のように、開口パターンMSとMLとの内周位置に残存したデポ層D3を確実に除去するために、1サイクル目および/または2サイクル目のアッシング工程S05と同様に、プラズマ処理に強い異方性を持たせる必要がある。このために、3サイクル目のアッシング工程S05においても、上述したように、図1,図2に示すプラズマ処理装置10を用いる。 In the third cycle ashing step S05, as described above, in order to reliably remove the deposit layer D3 remaining at the inner peripheral position of the opening patterns MS and ML, it is necessary to impart strong anisotropy to the plasma treatment, as in the first and/or second cycle ashing steps S05. For this reason, the plasma treatment apparatus 10 shown in Figures 1 and 2 is used in the third cycle ashing step S05 as described above.

このとき、3サイクル目のアッシング工程S05におけるプラズマ処理装置10では、1サイクル目および/または2サイクル目のアッシング工程S05と同様に、第一電極E1および第二電極E2に印加する交流電力の周波数λ1が、第三電極E3に印加する交流電力の周波数λ2に比べて大きく設定することができる。具体的には、周波数λ1が13.65MHzとされ、周波数λ2が2MHzとされることができる。 At this time, in the plasma processing apparatus 10 in the third cycle ashing step S05, similar to the first and/or second cycle ashing steps S05, the frequency λ1 of the AC power applied to the first electrode E1 and the second electrode E2 can be set to be higher than the frequency λ2 of the AC power applied to the third electrode E3. Specifically, the frequency λ1 can be set to 13.65 MHz, and the frequency λ2 can be set to 2 MHz.

また、3サイクル目のアッシング工程S05におけるプラズマ処理装置10では、1サイクル目および/または2サイクル目のアッシング工程S05と同様に、第一電極E1および第二電極E2に印加する周波数λ1の交流電力が、3サイクル目のデポ工程S03における値よも大きく、また、3サイクル目のドライエッチング工程S04における値と同じ値に設定することができる。 Furthermore, in the plasma processing apparatus 10 in the ashing step S05 of the third cycle, similarly to the ashing step S05 of the first and/or second cycle, the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to a value greater than that in the deposition step S03 of the third cycle and the same as that in the dry etching step S04 of the third cycle.

また、3サイクル目のアッシング工程S05におけるプラズマ処理装置10では、1サイクル目および/または2サイクル目のアッシング工程S05と同様に、第一電極E1および第二電極E2に印加する周波数λ1の交流電力が、第三電極E3に印加する周波数λ2の交流電力と同じ値に設定することができる。 Furthermore, in the plasma processing apparatus 10 in the third cycle ashing step S05, as in the first and/or second cycle ashing steps S05, the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to the same value as the AC power of frequency λ2 applied to the third electrode E3.

また、3サイクル目のアッシング工程S05におけるプラズマ処理装置10では、1サイクル目および/または2サイクル目のアッシング工程S05と同様に、内部電極12に対して、周波数λ3であるバイアス電圧を印加することが好ましい。周波数λ3は、第三電極E3に印加する周波数λ2よりも低い値に設定することができる。周波数λ3は、たとえば、400kHzとすることができる。 Furthermore, in the plasma processing apparatus 10 in the third cycle ashing step S05, it is preferable to apply a bias voltage having a frequency λ3 to the internal electrode 12, as in the first and/or second cycle ashing steps S05. The frequency λ3 can be set to a value lower than the frequency λ2 applied to the third electrode E3. The frequency λ3 can be set to, for example, 400 kHz.

また、3サイクル目のアッシング工程S05におけるプラズマ処理装置10では、1サイクル目および/または2サイクル目のアッシング工程S05と同様に、内部電極12に対して、バイアス電圧を印加することが好ましい。3サイクル目のアッシング工程S05におけるバイアス電圧の電力は、3サイクル目のドライエッチング工程S04におけるバイアス電圧の電力と等しいか、3サイクル目のドライエッチング工程S04におけるバイアス電圧の電力よりも高く設定することができる。 In addition, in the plasma processing apparatus 10 in the ashing step S05 of the third cycle, it is preferable to apply a bias voltage to the internal electrode 12, as in the ashing step S05 of the first and/or second cycle. The power of the bias voltage in the ashing step S05 of the third cycle can be set equal to the power of the bias voltage in the dry etching step S04 of the third cycle, or higher than the power of the bias voltage in the dry etching step S04 of the third cycle.

3サイクル目のアッシング工程S05において、Oガスを供給してアッシングすることができる。Oガス系異方性プラズマ処理では、開口パターンMS,MLの内周付近、および開口パターンMS,MLに対応する側壁VSq,VLqにおいてデポ層D3が確実に除去されて側壁VSq,VLqが露出する。同時に、3サイクル目のアッシング工程S05において、Oガスを供給してアッシングするが、レジスト層(マスク層)Mにはレジスト保護膜Mmが積層されていることで、レジスト層(マスク層)MはOプラズマによって除去されない。 In the ashing step S05 of the third cycle, O2 gas can be supplied to perform ashing. In the O2 gas-based anisotropic plasma treatment, the deposit layer D3 is reliably removed near the inner circumference of the opening patterns MS, ML and on the side walls VSq, VLq corresponding to the opening patterns MS, ML, and the side walls VSq, VLq are exposed. At the same time, in the ashing step S05 of the third cycle, O2 gas is supplied to perform ashing, but since the resist layer (mask layer) M is laminated with a resist protective film Mm, the resist layer (mask layer) M is not removed by the O2 plasma.

また、3サイクル目のアッシング工程S05においては、1サイクル目および/または2サイクル目のアッシング工程S05と同様に、電力分配器16によって印加される周波数λ1の交流電力が、第一電極E1と第二電極E2との間で分配される。
これにより、デポ層D3に対するアッシングレートが径方向でばらつかないようにすることができる。ここで、電力分配器16による第一電極E1と第二電極E2との分配比は、処理ガスの種類およびアッシングのバラツキに応じて設定される。また、3サイクル目のアッシング工程S05においては、1サイクル目および/または2サイクル目のアッシング工程S05と同じ分配比とすることもできるし、異なる分配比とすることもできる。
Furthermore, in the third cycle ashing step S05, similarly to the first and/or second cycle ashing step S05, the AC power of frequency λ1 applied by the power distributor 16 is distributed between the first electrode E1 and the second electrode E2.
This makes it possible to prevent the ashing rate for the deposition layer D3 from varying in the radial direction. Here, the distribution ratio between the first electrode E1 and the second electrode E2 by the power distributor 16 is set according to the type of processing gas and the variation in ashing. In addition, in the ashing step S05 of the third cycle, the distribution ratio can be the same as that in the ashing step S05 of the first cycle and/or the second cycle, or can be a different distribution ratio.

本実施例に係るシリコンのドライエッチング方法は、図5に示すように、デポ工程S03と、ドライエッチング工程S04と、アッシング工程S05と、を1サイクルのエッチングサイクルとして繰り返す。これにより、凹部パターンVS,VLの深さをさらに長くする。
3サイクル目のデポ工程S03~アッシング工程S05のエッチング工程が終了した際に、図5に示すように、深さ判断工程S06aおよびレジスト保護判断工程S06を有する。
5, the silicon dry etching method according to the present embodiment repeats a deposition step S03, a dry etching step S04, and an ashing step S05 as one etching cycle, thereby further increasing the depth of the recess patterns VS and VL.
When the etching steps from the deposition step S03 to the ashing step S05 in the third cycle are completed, as shown in FIG. 5, the process includes a depth determination step S06a and a resist protection determination step S06.

3サイクル目の深さ判断工程S06aにおいては、次のレジスト保護判断工程S06へと進むか否かを判断する。このとき、深さ判断工程S06aにおける判断基準は、凹部パターンVS,VLの深さ、言い換えると、凹部パターンVS,VLのアスペクト比である。
凹部パターンVS,VLの深さが足りない場合、次サイクルのエッチング工程へとサイクルを重ねるために、まず、後述するレジスト保護膜形成工程S07へと進むか否かを判断するために、レジスト保護判断工程S06へと進む。また、凹部パターンVS,VLの深さが足りている場合、エッチングサイクルを終了して、後工程S08へと進む。
In the depth determination step S06a of the third cycle, it is determined whether to proceed to the next resist protection determination step S06. At this time, the determination criterion in the depth determination step S06a is the depth of the recess patterns VS, VL, in other words, the aspect ratio of the recess patterns VS, VL.
If the recess patterns VS and VL are not deep enough, the process proceeds to a resist protection determination step S06 to determine whether or not to proceed to a resist protective film formation step S07, which will be described later, in order to repeat the cycle to the next etching step. If the recess patterns VS and VL are deep enough, the etching cycle is ended and the process proceeds to a post-step S08.

3サイクル目のレジスト保護判断工程S06においては、次サイクルのエッチングサイクルへとサイクル数を重ねるか、後述するレジスト保護膜形成工程S07へと進む否かを判断する。
ここで、3サイクル目のレジスト保護判断工程S06における判断基準としては、凹部パターンVS,VLの深さに加えて、レジスト保護膜Mmのエッチング程度、つまり、レジスト保護膜Mmの減厚程度とされる。
In the resist protection determination step S06 of the third cycle, it is determined whether to continue the cycle to the next etching cycle or to proceed to the resist protective film formation step S07 described later.
Here, the criteria for the resist protection determination step S06 in the third cycle are the depth of the recess patterns VS, VL as well as the degree of etching of the resist protective film Mm, that is, the degree of reduction in thickness of the resist protective film Mm.

凹部パターンVS,VLの深さ、あるいはアスペクト比は、3サイクル目以降のアッシング工程S05の終了時には充分な大きさを有している。したがって、3サイクル目以降のレジスト保護判断工程S06における基準は、レジスト保護膜Mmのエッチング程度、つまり、レジスト保護膜Mmの減厚程度によって判断される。 The depth or aspect ratio of the recess patterns VS, VL is sufficiently large at the end of the ashing step S05 from the third cycle onwards. Therefore, the criterion for the resist protection determination step S06 from the third cycle onwards is determined by the degree of etching of the resist protective film Mm, that is, the degree of reduction in thickness of the resist protective film Mm.

3サイクル目のレジスト保護判断工程S06においては、3サイクル目のデポ工程S03~アッシング工程S05のエッチング工程が終了した時点で、次以降のサイクルにおけるデポ工程S03とドライエッチング工程S04とにおいて、レジスト保護膜Mmが充分な膜厚を維持し、充分なレジスト層(マスク層)Mに対する保護能すなわちエッチング耐性を保持している場合には、次サイクルである4サイクル目のエッチング工程へと進む判断をする。 In the resist protection judgment step S06 of the third cycle, when the etching steps from the deposition step S03 to the ashing step S05 of the third cycle are completed, if the resist protective film Mm maintains a sufficient film thickness and has sufficient protective ability for the resist layer (mask layer) M, i.e., etching resistance, in the deposition step S03 and dry etching step S04 of the next and subsequent cycles, it is judged to proceed to the etching step of the next cycle, which is the fourth cycle.

また、3サイクル目のレジスト保護判断工程S06においては、レジスト保護膜Mmが充分な膜厚を維持しておらず、充分なレジスト層(マスク層)Mに対する保護能すなわちエッチング耐性を有していないと予想される場合には、レジスト保護膜形成工程S07へと進む判断をする。 In addition, in the resist protection judgment step S06 of the third cycle, if it is predicted that the resist protective film Mm does not maintain a sufficient film thickness and does not have sufficient protective ability for the resist layer (mask layer) M, i.e., etching resistance, a decision is made to proceed to the resist protective film formation step S07.

なお、3サイクル目のレジスト保護判断工程S06における判断は、前工程である3サイクル目の後で、残存しているレジスト保護膜Mmの膜厚を測定した結果から判断してもよいし、前工程におけるエッチング条件から、レジスト保護膜Mmが充分な膜厚を維持していることを類推して、4サイクル目への移行を判断してもよい。エッチング条件による判断では、あらかじめ、所定の条件によるレジスト保護膜Mmの減厚程度を設定して判断することになる。 The judgment in the resist protection judgment step S06 of the third cycle may be made based on the result of measuring the film thickness of the remaining resist protective film Mm after the third cycle, which is the previous step, or the transition to the fourth cycle may be judged by inferring from the etching conditions in the previous step that the resist protective film Mm maintains a sufficient film thickness. In the judgment based on the etching conditions, the degree of thickness reduction of the resist protective film Mm under predetermined conditions is set in advance and judgment is made.

なお、通常、シリコン基板Sのエッチングで、上述するようなデポ工程S03と、ドライエッチング工程S04と、アッシング工程S05と、を1サイクルとした場合には、5~20サイクル程度、好ましくは、8~12サイクル程度で、一回のレジスト保護膜形成工程S07を挿入することができる。 In addition, in the case of etching a silicon substrate S, if the deposition step S03, the dry etching step S04, and the ashing step S05 as described above are considered as one cycle, one resist protective film formation step S07 can be inserted after about 5 to 20 cycles, preferably about 8 to 12 cycles.

次に、4サイクル目について説明する。 Next, we will explain the fourth cycle.

図17は、本実施例におけるシリコンのドライエッチング方法を示す工程断面図である。
図5に示す4サイクル目のデポ工程S03は、後工程である4サイクル目のドライエッチング工程S04において、凹部パターンVSと凹部パターンVLとの側壁をエッチングから保護することができるように、図17に示すように、レジスト保護膜Mmの表面にフルオロカーボン等のポリマーからなるデポ層D4を異方性プラズマ処理により形成する。
このとき、レジスト保護膜Mmの膜厚は多少減厚するが、1サイクル分としてのデポ工程S03において、レジスト保護膜Mmはほぼ残存する。
17A to 17C are cross-sectional views showing the steps of the dry etching method for silicon in this embodiment.
In the deposition process S03 of the fourth cycle shown in FIG. 5, a deposition layer D4 made of a polymer such as fluorocarbon is formed on the surface of the resist protective film Mm by anisotropic plasma processing, as shown in FIG. 17, so that the side walls of the recess patterns VS and VL can be protected from etching in the subsequent dry etching process S04 of the fourth cycle.
At this time, the thickness of the resist protective film Mm is somewhat reduced, but most of the resist protective film Mm remains in the deposition step S03 as one cycle.

デポ層D4は、4サイクル目における後工程として、フッ素化合物を使用したエッチングであるドライエッチング工程S04において、垂直な側壁MSq、MLqを達成するために、凹部パターンVS,VLの側壁VSq、VLqをエッチングから保護するとともに、エッチングを凹部パターンVS,VLの底部VSb3,VLb3に限定する。 In the dry etching process S04, which is a post-process in the fourth cycle and is an etching process using a fluorine compound, the deposition layer D4 protects the side walls VSq, VLq of the recess patterns VS, VL from etching and limits the etching to the bottoms VSb3, VLb3 of the recess patterns VS, VL in order to achieve vertical side walls MSq, MLq.

デポ層D4は、レジスト保護膜Mmの表面および凹部パターンVS,VLの底部VSb3,VLb3に積層する。また、図17においては、凹部パターンVS,VLの側壁VSq、VLqにおいてはデポ層D4を示しているが、実際にはあまり積層されない。 The deposition layer D4 is laminated on the surface of the resist protective film Mm and on the bottoms VSb3, VLb3 of the recess patterns VS, VL. In addition, in FIG. 17, the deposition layer D4 is shown on the side walls VSq, VLq of the recess patterns VS, VL, but in reality it is not laminated very much.

4サイクル目のデポ工程S03は、3サイクル目と同様に、CHF、C、CF4、またはCなどの過フッ化炭化水素ガスを用いて、異方性プラズマ処理をおこなう。デポ工程S05においては、プラズマ処理に強い異方性を持たせるために、上述したように、図1,図2に示すプラズマ処理装置10を用いる。 In the deposition step S03 of the fourth cycle, similarly to the third cycle, anisotropic plasma processing is performed using a fluorocarbon gas such as CHF3 , C2F6 , C2F4 , or C4F8 . In the deposition step S05 , in order to impart strong anisotropy to the plasma processing, as described above, the plasma processing apparatus 10 shown in Figures 1 and 2 is used.

4サイクル目のデポ工程S03において、プラズマ処理装置10では、第一電極E1および第二電極E2に印加する交流電力の周波数λ1が、第三電極E3に印加する交流電力の周波数λ2に比べて大きく設定することができる。具体的には、周波数λ1が13.65MHzとされ、周波数λ2が2MHzとされることができる。
このとき、1サイクル目~3サイクル目におけるいずれかのデポ工程S03と同等の設定とすることもできる。
In the deposition process S03 of the fourth cycle, the frequency λ1 of the AC power applied to the first electrode E1 and the second electrode E2 can be set to be higher than the frequency λ2 of the AC power applied to the third electrode E3 in the plasma processing apparatus 10. Specifically, the frequency λ1 can be set to 13.65 MHz, and the frequency λ2 can be set to 2 MHz.
At this time, the setting may be the same as any of the deposition steps S03 in the first to third cycles.

また、4サイクル目のデポ工程S03において、プラズマ処理装置10では、第一電極E1および第二電極E2に印加する周波数λ1の交流電力が、後述するドライエッチング工程S04およびアッシング工程S05における値よりも小さく設定することができる。また、プラズマ処理装置10においては、内部電極12に対して、バイアス電圧を印加しないことができる。
4サイクル目のデポ工程S03においては、所定の雰囲気圧力として処理をおこなう。さらに、4サイクル目のデポ工程S03においては、1サイクル目~3サイクル目におけるいずれかのデポ工程S03と同等の設定とすることもできる。
In the deposition step S03 of the fourth cycle, the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2 can be set to a value smaller than that in the dry etching step S04 and the ashing step S05 described later. In the plasma processing device 10, a bias voltage can be not applied to the internal electrode 12.
In the deposition step S03 of the fourth cycle, processing is performed under a predetermined atmospheric pressure. Furthermore, in the deposition step S03 of the fourth cycle, the atmospheric pressure may be set to be the same as any of the deposition steps S03 of the first to third cycles.

また、4サイクル目のデポ工程S03においては、1サイクル目~3サイクル目のデポ工程S03のいずれかと同様に、電力分配器16によって印加される周波数λ1の交流電力が、第一電極E1と第二電極E2との間で分配される。
これにより、デポ層D2に対する成膜レートが径方向でばらつかないようにすることができる。ここで、電力分配器16による第一電極E1と第二電極E2との分配比は、処理ガスの種類および成膜レートのバラツキに応じて設定される。また、4サイクル目のデポ工程S03においては、1サイクル目~3サイクル目のデポ工程S03のいずれかと同じ分配比とすることもできるし、異なる分配比とすることもできる。
Furthermore, in the deposition step S03 of the fourth cycle, similar to any of the deposition steps S03 of the first to third cycles, the AC power of frequency λ1 applied by the power distributor 16 is distributed between the first electrode E1 and the second electrode E2.
This makes it possible to prevent the deposition rate for the deposition layer D2 from varying in the radial direction. Here, the distribution ratio between the first electrode E1 and the second electrode E2 by the power distributor 16 is set according to the type of processing gas and the variation in the deposition rate. In addition, in the deposition step S03 of the fourth cycle, the distribution ratio can be the same as any one of the deposition steps S03 of the first to third cycles, or a different distribution ratio can be set.

4サイクル目のデポ工程S03で形成されるデポ層D4は、1サイクル目~3サイクル目におけるいずれかのデポ工程S03と同様に、径寸法の小さい開口パターンMSに対応する底部VSb3に比べて、径寸法の大きい開口パターンMLに対応する底部VLb3における膜厚が大きくなる。なお、開口パターンMS,MLの外方となるレジスト保護膜Mmの表面におけるデポ層D4の膜厚に比べて、開口パターンMLの底部VLb3におけるデポ層D4の膜厚は同等かあるいは小さくなる。 The deposition layer D4 formed in the deposition step S03 of the fourth cycle, like any of the deposition steps S03 in the first to third cycles, has a larger film thickness at the bottom VLb3 corresponding to the opening pattern ML with a larger diameter than at the bottom VSb3 corresponding to the opening pattern MS with a smaller diameter. The film thickness of the deposition layer D4 at the bottom VLb3 of the opening pattern ML is equal to or smaller than the film thickness of the deposition layer D4 on the surface of the resist protective film Mm on the outside of the opening patterns MS and ML.

つまり、デポ層D4の膜厚は、開口パターンMS,MLの外方となるレジスト保護膜Mmの表面におけるデポ層D4の膜厚TD4、開口パターンMLの底部VLb3におけるデポ層D4の膜厚TLD4、開口パターンMSの底部VSb3におけるデポ層D4の膜厚TSD4、の順に小さくなる。 In other words, the thickness of the deposition layer D4 decreases in the following order: the thickness TD4 of the deposition layer D4 on the surface of the resist protective film Mm on the outer side of the opening patterns MS and ML, the thickness TLD4 of the deposition layer D4 at the bottom VLb3 of the opening pattern ML, and the thickness TSD4 of the deposition layer D4 at the bottom VSb3 of the opening pattern MS.

4サイクル目のデポ工程S03において、上記のように条件設定をおこなうことにより、開口パターンMS,MLに対応する底部VSb3,VLb3におけるデポ層D4のデポジションカバレージをそれぞれ最適化するように制御することが可能となる。ここで、デポジションカバレージとして望ましい条件の方向は、必要な膜厚となるデポ層D4を底部VSb3,VLb3に積層する処理時間を短くすることである。つまり、デポ層D4を底部VSb3,VLb3に積層する成膜速度を増大することである。 In the deposition process S03 of the fourth cycle, by setting the conditions as described above, it is possible to control the deposition coverage of the deposition layer D4 at the bottoms VSb3 and VLb3 corresponding to the opening patterns MS and ML so as to optimize them. Here, the direction of the conditions desirable for the deposition coverage is to shorten the processing time for stacking the deposition layer D4 of the required film thickness on the bottoms VSb3 and VLb3. In other words, it is to increase the film formation speed for stacking the deposition layer D4 on the bottoms VSb3 and VLb3.

また、4サイクル目のデポ工程S03において、デポジションカバレージとして望ましい条件としては、エッチング深さおよびアスペクト比に対応してデポジションカバレージを調整することである。つまり、後述するように、底部VSb2,VLb2からの底部VSb3,VLb3の深さ変化に対応してアスペクト比が変化した場合でも、所望の厚さのデポ層D3を所定の積層成膜速度で成膜することを可能にできる。 In addition, in the deposition step S03 of the fourth cycle, a desirable condition for deposition coverage is to adjust the deposition coverage in response to the etching depth and aspect ratio. In other words, as described below, even if the aspect ratio changes in response to a change in the depth of the bottoms VSb3, VLb3 from the bottoms VSb2, VLb2, it is possible to form a deposition layer D3 of a desired thickness at a predetermined lamination deposition rate.

さらに、底部VSb3に積層するデポ層D4に対する均一性および確実性と、底部VLb3に積層するデポ層D4に対する均一性および確実性とを、それぞれ向上することである。 Furthermore, the uniformity and reliability of the deposition layer D4 stacked on the bottom portion VSb3 and the uniformity and reliability of the deposition layer D4 stacked on the bottom portion VLb3 are improved, respectively.

次に、図5に示す4サイクル目のドライエッチング工程S04として、異方性プラズマエッチングにより、開口パターンMS,MLに対応する底部VSb2,VLb2を掘り下げて、底部VSb3,VLb3を形成する。
このとき、レジスト保護膜Mmの膜厚は多少減厚するが、1サイクル分として、4サイクル目のドライエッチング工程S04において、レジスト保護膜Mmはほぼ残存する。
Next, in the fourth cycle of dry etching step S04 shown in FIG. 5, the bottoms VSb2, VLb2 corresponding to the opening patterns MS, ML are dug down by anisotropic plasma etching to form bottoms VSb3, VLb3.
At this time, the thickness of the resist protective film Mm is somewhat reduced, but as one cycle, the resist protective film Mm almost remains in the dry etching step S04 of the fourth cycle.

また、4サイクル目のドライエッチング工程S04においては、1サイクル目~3サイクル目におけるいずれかのドライエッチング工程S04と同様に、電力分配器16によって印加される周波数λ1の交流電力が、第一電極E1と第二電極E2との間で分配される。
これにより、エッチングレートが径方向でばらつかないようにすることができる。ここで、電力分配器16による第一電極E1と第二電極E2との分配比は、処理ガスの種類およびエッチングレートのバラツキに応じて設定される。また、4サイクル目のドライエッチング工程S04においては、1サイクル目~3サイクル目におけるいずれかのと同じ分配比とすることもできるし、異なる分配比とすることもできる。
Furthermore, in the dry etching step S04 of the fourth cycle, similar to any of the dry etching steps S04 in the first to third cycles, the AC power of frequency λ1 applied by the power distributor 16 is distributed between the first electrode E1 and the second electrode E2.
This makes it possible to prevent the etching rate from varying in the radial direction. Here, the distribution ratio between the first electrode E1 and the second electrode E2 by the power distributor 16 is set according to the type of processing gas and the variation in the etching rate. In addition, in the dry etching step S04 of the fourth cycle, the distribution ratio can be the same as any one of the distribution ratios in the first to third cycles, or a different distribution ratio can be set.

次に、図5に示す4サイクル目のアッシング工程S05として、残存したデポ層D4を除去する。
このとき、レジスト保護膜Mmの膜厚は多少減厚するが、アッシング工程S05において、レジスト保護膜Mmは減厚しない。
Next, in the ashing step S05 of the fourth cycle shown in FIG. 5, the remaining deposit layer D4 is removed.
At this time, the thickness of the resist protective film Mm is somewhat reduced, but the thickness of the resist protective film Mm is not reduced in the ashing step S05.

また、4サイクル目のアッシング工程S05においても、1サイクル目~3サイクル目におけるいずれかのアッシング工程S05と同様に、電力分配器16によって印加される周波数λ1の交流電力が、第一電極E1と第二電極E2との間で分配される。
これにより、デポ層D3に対するアッシングレートが径方向でばらつかないようにすることができる。ここで、電力分配器16による第一電極E1と第二電極E2との分配比は、処理ガスの種類およびアッシングのバラツキに応じて設定される。また、4サイクル目のアッシング工程S05においては、1サイクル目~3サイクル目におけるいずれかのアッシング工程S05と同じ分配比とすることもできるし、異なる分配比とすることもできる。
Also, in the ashing step S05 of the fourth cycle, similarly to any of the ashing steps S05 in the first to third cycles, the AC power of frequency λ1 applied by the power distributor 16 is distributed between the first electrode E1 and the second electrode E2.
This makes it possible to prevent the ashing rate for the deposition layer D3 from varying in the radial direction. Here, the distribution ratio between the first electrode E1 and the second electrode E2 by the power distributor 16 is set according to the type of processing gas and the variation in ashing. In addition, in the ashing step S05 of the fourth cycle, the distribution ratio can be the same as that of any one of the ashing steps S05 in the first to third cycles, or a different distribution ratio can be used.

さらに、4サイクル目の深さ判定工程S06aおよびレジスト保護判断工程S06として、レジスト保護膜Mmの厚さに応じて、所定の頻度でレジスト保護膜形成工程S07を挿入するどうかを判断しつつ、さらに、エッチング工程のサイクルを回していく。 Furthermore, as the depth determination step S06a and resist protection judgment step S06 of the fourth cycle, whether or not to insert the resist protective film formation step S07 is determined at a predetermined frequency depending on the thickness of the resist protective film Mm, and the etching process cycle is further repeated.

これにより、シリコン基板Sの表面に、径寸法ΦSを有する凹部パターンVSと、径寸法ΦLを有する凹部パターンVLを、同じ深さとして形成する。 This forms a recess pattern VS having a diameter dimension ΦS and a recess pattern VL having a diameter dimension ΦL, both of the same depth, on the surface of the silicon substrate S.

さらに、図5に示す後工程S08として、必要であればドライエッチング工程S04に類する工程によって、レジスト保護膜Mmを除去し、さらに、ウェットエッチング工程、あるいは、アッシング工程S05に類する工程によって、レジスト層(マスク層)Mを除去することで、本実施例に係るシリコンのドライエッチング方法を終了する。
なお、本実施例に係るシリコンのドライエッチング方法では、50サイクル程度のサイクル数を適応することができる。
Furthermore, as a post-process S08 shown in FIG. 5, if necessary, the resist protective film Mm is removed by a process similar to the dry etching process S04, and further, the resist layer (mask layer) M is removed by a wet etching process or a process similar to the ashing process S05, thereby completing the silicon dry etching method according to this embodiment.
In the silicon dry etching method according to this embodiment, the number of cycles can be about 50.

<実験例1>
上述したように、図1,図2に示すプラズマ処理装置10において、電力分配器16によって第一電極E1と第二電極E2との間で分配される交流電力の分配比を変化させた際における処理特性の径方向分布の変化を調べた。また、2周波ICPによる処理特性の径方向分布の変化を調べた。なお、以下の工程から適宜選択してプラズマ処理の評価をおこなった。
<Experimental Example 1>
As described above, in the plasma processing apparatus 10 shown in Figures 1 and 2, the change in the radial distribution of the processing characteristics was investigated when the distribution ratio of the AC power distributed between the first electrode E1 and the second electrode E2 by the power distributor 16 was changed. In addition, the change in the radial distribution of the processing characteristics by the dual-frequency ICP was investigated. The plasma processing was evaluated by appropriately selecting from the following steps.

・デポ工程: シリコン含有薄膜デポジションS03
・エッチング工程: 炭素含有膜をマスクとしたTSV底部絶縁層エッチS04
・アッシング工程: 炭素含有膜アッシングS05
・デポ工程: 炭素含有薄膜デポジションS07
Deposition process: silicon-containing thin film deposition S03
Etching process: Etching the bottom insulating layer of the TSV using a carbon-containing film as a mask S04
Ashing process: Carbon-containing film ashing S05
Deposition process: Carbon-containing thin film deposition S07

ここで、Φ300mmシリコン基板に、SiF/Oを使用したスプリットによるプラズマ処理評価として成膜評価をおこなった。ここで、「IN」である第一電極E1と、「OUT」である第二電極E2と、の間における電力分配比は、IN:OUT=999:0~0:999(分配比0.9:0.1~0.1~0.9に相当)で変化させた。 Here, a film formation evaluation was performed on a Φ300 mm silicon substrate as a split plasma processing evaluation using SiF 4 /O 2. Here, the power distribution ratio between the first electrode E1, which is "IN", and the second electrode E2, which is "OUT", was changed in the range of IN:OUT = 999:0 to 0:999 (corresponding to a distribution ratio of 0.9:0.1 to 0.1 to 0.9).

以下に、スプリットによる成膜における諸元を示す。
図1,図2に示すプラズマ処理装置10において、ガス導入手段からのチャンバ11内へのガス供給を、中央Gasであるガス導入口15とした。また、基板の支持手段(基板ステージ)である内部電極12の直径D[mm]は400に固定し、第二電極(アンテナ2)の直径d[mm]を400に固定した。
The following are the parameters for film formation using the split method.
1 and 2, gas is supplied from the gas introduction means into the chamber 11 through a central gas inlet 15. The diameter D [mm] of the internal electrode 12, which is a substrate support means (substrate stage), is fixed at 400 mm, and the diameter d [mm] of the second electrode (antenna 2) is fixed at 400 mm.

デポ工程における条件
供給ガス; SiF/O
供給ガス流量;SiF/O=160/150sccm
第一の周波数λ1;13.56MHz
第一の周波数λ1の供給電力; 1800W
第一の周波数λ1の供給電力分配比;IN:OUT=999:0~0:999(分配比0.9:0.1~0.1~0.9に相当)
第二の周波数λ2の供給電力;0W
成膜処理時間;120sec
内側電極温度;-10℃
Conditions in the deposition process Supply gas: SiF4 / O2
Supply gas flow rate: SiF 4 /O 2 =160/150 sccm
First frequency λ1: 13.56 MHz
Supply power of first frequency λ1: 1800 W
Supply power distribution ratio of first frequency λ1; IN:OUT=999:0 to 0:999 (corresponding to a distribution ratio of 0.9:0.1 to 0.1 to 0.9)
Supply power of second frequency λ2: 0 W
Film formation processing time: 120 sec
Inner electrode temperature: -10℃

以下に、スプリットによる成膜における結果を示す。
図18~図22は、実施例におけるスプリットによる径方向の膜厚分布変化を示すグラフである。図において、X,Yは、直交する基板の径方向において、それぞれ膜厚を調べた方向を示している。なお、分配比は、図18でIN:OUT=999:0(分配比0.9:0.1)、図19でIN:OUT=750:253(分配比0.75:0.25)、図20でIN:OUT=500:503(分配比0.5:0.5)、図21でIN:OUT=250:753(分配比0.25:0.75)、図22でIN:OUT=0:999(分配比0.1:0.9)、である。
The results of film formation using splitting are shown below.
18 to 22 are graphs showing the change in the radial film thickness distribution due to splitting in the examples. In the figures, X and Y indicate the directions in which the film thickness was examined in the radial direction of the substrate that is perpendicular to each other. The distribution ratios are IN:OUT=999:0 (distribution ratio 0.9:0.1) in FIG. 18, IN:OUT=750:253 (distribution ratio 0.75:0.25) in FIG. 19, IN:OUT=500:503 (distribution ratio 0.5:0.5) in FIG. 20, IN:OUT=250:753 (distribution ratio 0.25:0.75) in FIG. 21, and IN:OUT=0:999 (distribution ratio 0.1:0.9) in FIG. 22.

これらの結果から、膜厚の面内分布を変動させることができていることがわかる。したがって、スプリットをおこなわない状態での膜厚分布を是正できるように制御可能なことがわかる。 These results show that it is possible to vary the in-plane distribution of film thickness. Therefore, it is possible to control the film thickness distribution so that it can be corrected without splitting.

<実験例2>
次に、RF分布(分配比)と、ガス導入との影響を検証した。
ここでは、図1,図2に示すプラズマ処理装置10において、ガス導入手段からのチャンバ11内へのガス供給を、ガス導入口14とガス導入口15とで切り替えた。また、供給するガス種を変えて、RFスプリッタによる径方向における膜厚分布(成膜レートの変化)への影響を調べた。
<Experimental Example 2>
Next, the influence of RF distribution (distribution ratio) and gas introduction was examined.
1 and 2, the gas supply from the gas introduction means into the chamber 11 was switched between the gas introduction port 14 and the gas introduction port 15. In addition, the type of gas supplied was changed to examine the effect of the RF splitter on the radial film thickness distribution (change in film formation rate).

以下に、成膜における諸元を示す。
図1,図2に示すプラズマ処理装置10において、基板の支持手段(基板ステージ)である内部電極12の直径D[mm]は400に固定し、第二電極(アンテナ2)の直径d[mm]を400に固定した。
The film formation parameters are shown below.
In the plasma processing apparatus 10 shown in Figures 1 and 2, the diameter D [mm] of the internal electrode 12, which is the substrate support means (substrate stage), is fixed at 400, and the diameter d [mm] of the second electrode (antenna 2) is fixed at 400.

デポ工程における条件
供給ガス流量; C;200sccm
供給ガス流量;SiF/O=160/150sccm
第一の周波数λ1;13.56MHz
第一の周波数λ1の供給電力; 2000W
第二の周波数λ2の供給電力;0W
成膜処理時間;120sec
内側電極温度;-10℃
Conditions for the deposition process: Supply gas flow rate: C4F8 ; 200 sccm
Supply gas flow rate: SiF 4 /O 2 =160/150 sccm
First frequency λ1: 13.56 MHz
Supply power of first frequency λ1: 2000 W
Supply power of second frequency λ2: 0 W
Film formation processing time: 120 sec
Inner electrode temperature: -10℃

以下に、スプリットによる成膜における結果を示す。
図23は、実施例におけるCガスでの径方向の膜厚分布変化を示すグラフである。図24は、実施例におけるSiF/Oガスでの径方向の膜厚分布変化を示すグラフである。
図23,図24において、中央Gasは、ガス導入口15からのガス供給であり、外周Gasは、ガス導入口14からのガス供給である。
また、Depo1は、SiF/Oガスでの成膜結果を示し、Depo2は、Cガスでの成膜結果を示している。
The results of film formation using splitting are shown below.
Fig. 23 is a graph showing the radial film thickness distribution change in C 4 F 8 gas in the example. Fig. 24 is a graph showing the radial film thickness distribution change in SiF 4 /O 2 gas in the example.
23 and 24, the central Gas is gas supplied from the gas inlet 15, and the peripheral Gas is gas supplied from the gas inlet 14.
Depo1 shows the result of film formation using SiF 4 /O 2 gas, and Depo2 shows the result of film formation using C 4 F 8 gas.

これらの結果から、CガスによるDepo2の膜厚はGasを導入するガス導入口の位置によって分布が大きく変化するが、SiF/OガスによるDepo1の膜厚はガス導入口の位置を変えても分布に大きな変化はないことがわかる。
また、SiF/OガスによるDepo1の膜厚は13.56MHzのRFが分布に影響していることがわかる。つまり、SiF/OガスによるDepo1の膜厚は、電力分配器によるプラズマ分布の調整をしなければ他のステップにおける面内分布とあわせられないことがわかる。
From these results, it can be seen that the distribution of the film thickness of Depo2 using C4F8 gas varies greatly depending on the position of the gas inlet for introducing the gas, but the distribution of the film thickness of Depo1 using SiF4 / O2 gas does not vary significantly even if the position of the gas inlet is changed.
It is also found that the RF of 13.56 MHz affects the distribution of the film thickness of Depo 1 using SiF 4 /O 2 gas. In other words, it is found that the film thickness of Depo 1 using SiF 4 /O 2 gas cannot be matched with the in-plane distribution in other steps unless the plasma distribution is adjusted by a power distributor.

<実験例3>
次に、RF分布(分配比)とエッチング深さとの影響を検証した。
ここでは、図1,図2に示すプラズマ処理装置10において、ガス導入手段からのチャンバ11内へのガス供給を、中央Gasであるガス導入口15とした。また、供給する電力分配比を変化させて、RFスプリッタによる径方向におけるエッチング深さ分布(エッチングレートの変化)への影響を調べた。
<Experimental Example 3>
Next, the influence of RF distribution (distribution ratio) and etching depth was examined.
1 and 2, gas is supplied from the gas introduction means into the chamber 11 through the central gas inlet 15. In addition, the power distribution ratio was changed to examine the effect of the RF splitter on the radial etching depth distribution (change in etching rate).

以下に、エッチングにおける諸元を示す。
図1,図2に示すプラズマ処理装置10において、基板の支持手段(基板ステージ)である内部電極12の直径D[mm]は400に固定し、第二電極(アンテナ2)の直径d[mm]を400に固定した。
The etching parameters are shown below.
In the plasma processing apparatus 10 shown in Figures 1 and 2, the diameter D [mm] of the internal electrode 12, which is the substrate support means (substrate stage), is fixed at 400, and the diameter d [mm] of the second electrode (antenna 2) is fixed at 400.

エッチング工程における条件
供給ガス流量;SiF/SiF/O=275/50/40sccm
第一の周波数λ1;13.56MHz
第一の周波数λ1の供給電力; 1800W
第一の周波数λ1の供給電力分配比;IN:OUT=999:0、750:250、図500:500、250:550、0:999
第二の周波数λ2の供給電力;0W
成膜処理時間;135sec
内側電極温度;-10℃
ガス導入位置;中央(ガス導入口15)
Conditions for the etching process: Supply gas flow rate: SiF 6 /SiF 4 /O 2 =275/50/40 sccm
First frequency λ1: 13.56 MHz
Supply power of first frequency λ1: 1800 W
Supply power distribution ratio of the first frequency λ1; IN:OUT=999:0, 750:250, 500:500, 250:550, 0:999
Supply power of second frequency λ2: 0 W
Film formation processing time: 135 sec
Inner electrode temperature: -10℃
Gas inlet position: center (gas inlet 15)

以下に、スプリットによるエッチングにおける結果を示す。
図25は、実施例における径方向のエッチング深さ分布変化を示すグラフである。
The results of split etching are shown below.
FIG. 25 is a graph showing the change in the radial etching depth distribution in the example.

これらの結果から、電力分配器によりTSVエッチング深さの径方向面内分布は変化するが、その変化量は、デポ工程における膜厚変化に比べて少ないことがわかる。 These results show that the power distributor changes the radial distribution of the TSV etching depth, but the amount of change is smaller than the change in film thickness during the deposition process.

<実験例4>
次に、周波数重畳とエッチング深さとの影響を検証した。
ここでは、図1,図2に示すプラズマ処理装置10において、ガス導入手段からのチャンバ11内へのガス供給を、中央Gasであるガス導入口15とした。また、第二の周波数λ2である交流電力を供給して、重畳による径方向におけるエッチング深さ分布(エッチングレートの変化)への影響を調べた。
<Experimental Example 4>
Next, the effect of frequency superposition and etching depth was examined.
1 and 2, gas was supplied from the gas introduction means into the chamber 11 through the central gas inlet 15. In addition, AC power having a second frequency λ2 was supplied to examine the effect of superposition on the radial etching depth distribution (change in etching rate).

以下に、エッチングにおける諸元を示す。
図1,図2に示すプラズマ処理装置10において、基板の支持手段(基板ステージ)である内部電極12の直径D[mm]は400に固定し、第二電極(アンテナ2)の直径d[mm]を400に固定した。
The etching parameters are shown below.
In the plasma processing apparatus 10 shown in Figures 1 and 2, the diameter D [mm] of the internal electrode 12, which is the substrate support means (substrate stage), is fixed at 400, and the diameter d [mm] of the second electrode (antenna 2) is fixed at 400.

エッチング工程における条件
供給ガス流量;SiF/SiF/O=275/50/40sccm
第一の周波数λ1;13.56MHz
第一の周波数λ1の供給電力; 1800W
第一の周波数λ1の供給電力分配比;IN:OUT=999:0
第二の周波数λ2;2MHz
第二の周波数λ2の供給電力;200W
成膜処理時間;135sec
内側電極温度;-10℃
ガス導入位置;中央(ガス導入口15)
エッチング径;Φ5μm
Conditions for the etching process: Supply gas flow rate: SiF 6 /SiF 4 /O 2 =275/50/40 sccm
First frequency λ1: 13.56 MHz
Supply power of first frequency λ1: 1800 W
Supply power distribution ratio of first frequency λ1; IN:OUT=999:0
Second frequency λ2: 2 MHz
Supply power of second frequency λ2: 200 W
Film formation processing time: 135 sec
Inner electrode temperature: -10℃
Gas inlet position: center (gas inlet 15)
Etching diameter: Φ5 μm

以下に、周波数重畳によるエッチングにおける結果を示す。
図26は、実施例における径方向のエッチング深さ分布変化を示すグラフである。同時に、各測定箇所における基板厚さ方向のSEM画像を配置する。なお、図において、SEM画像の左右方向の位置は、グラフの測定点の位置に対応して配置されている。また、SEM画像は、X方向の結果のみを示す。
The results of etching using frequency superposition are shown below.
26 is a graph showing the change in the radial etching depth distribution in the embodiment. At the same time, SEM images of the substrate thickness direction at each measurement point are arranged. In the figure, the left and right positions of the SEM images are arranged corresponding to the measurement points of the graph. Also, the SEM images show only the results in the X direction.

これらの結果から、電力分配器の結果と比較して、2周波重畳によりエッチング深さの径方向面内が変化していることがわかる。 These results show that the etching depth in the radial plane changes when two frequencies are superimposed, compared to the results with the power divider.

<実験例5>
次に、周波数重畳の有無とエッチング深さとの影響を検証した。
ここでは、図1,図2に示すプラズマ処理装置10において、ガス導入手段からのチャンバ11内へのガス供給を、中央Gasであるガス導入口15とした。また、第二の周波数λ2である交流電力の供給を切り替えて、重畳の有無による径方向におけるエッチング深さ分布(エッチングレートの変化)への影響を調べた。
<Experimental Example 5>
Next, the influence of the presence or absence of frequency overlap on the etching depth was examined.
1 and 2, gas is supplied from the gas introduction means into the chamber 11 through the central gas inlet 15. In addition, the supply of AC power having the second frequency λ2 was switched to examine the effect of the presence or absence of overlap on the radial etching depth distribution (change in etching rate).

以下に、エッチングにおける諸元を示す。
図1,図2に示すプラズマ処理装置10において、基板の支持手段(基板ステージ)である内部電極12の直径D[mm]は400に固定し、第二電極(アンテナ2)の直径d[mm]を400に固定した。
The etching parameters are shown below.
In the plasma processing apparatus 10 shown in Figures 1 and 2, the diameter D [mm] of the internal electrode 12, which is the substrate support means (substrate stage), is fixed at 400, and the diameter d [mm] of the second electrode (antenna 2) is fixed at 400.

エッチング工程における条件
供給ガス流量;SiF/SiF/O=275/50/40sccm
第一の周波数λ1;13.56MHz
第一の周波数λ1の供給電力; 1800W
第一の周波数λ1の供給電力分配比RFS;IN:OUT=999:0
第二の周波数λ2;2MHz
第二の周波数λ2の供給電力;200W、0W
成膜処理時間;135sec
内側電極温度;-10℃
ガス導入位置;中央(ガス導入口15)
エッチング径;Φ5μm
バイアス電力;100~200W
バイアス電力周波数λ3;400kHz
Conditions for the etching process: Supply gas flow rate: SiF 6 /SiF 4 /O 2 =275/50/40 sccm
First frequency λ1: 13.56 MHz
Supply power of first frequency λ1: 1800 W
Supply power distribution ratio RFS of first frequency λ1; IN:OUT=999:0
Second frequency λ2: 2 MHz
Supply power of second frequency λ2: 200 W, 0 W
Film formation processing time: 135 sec
Inner electrode temperature: -10℃
Gas inlet position: center (gas inlet 15)
Etching diameter: Φ5 μm
Bias power: 100-200W
Bias power frequency λ3: 400 kHz

以下に、周波数重畳の有無によるエッチング深さ変化における結果を示す。
図27は、実施例における周波数重畳の有無による径方向のエッチング深さ分布変化を示すグラフである。
The results of the change in etching depth depending on whether or not frequency superposition is performed are shown below.
FIG. 27 is a graph showing the change in the radial etching depth distribution depending on whether or not frequency superposition is performed in the example.

この結果から、電力分配器の結果と比較して、2周波重畳の有無によりエッチング深さが径方向中央のエッチングレートが大きい状態から、径方向中央のエッチングレートが小さく径方向外周のエッチングレートが大きい状態へと変化することがわかる。 Compared with the results of the power distributor, these results show that the presence or absence of dual frequency superposition changes the etching depth from a state in which the etching rate is large at the radial center to a state in which the etching rate is small at the radial center and large at the radial periphery.

<実験例6>
次に、周波数重畳の有無と膜厚変化との影響を検証した。
ここでは、図1,図2に示すプラズマ処理装置10において、ガス導入手段からのチャンバ11内へのガス供給を、中央Gasであるガス導入口15とした。また、第二の周波数λ2である交流電力の供給を切り替えて、重畳の有無による径方向における膜厚分布(デポレートの変化)への影響を調べた。
<Experimental Example 6>
Next, the influence of the presence or absence of frequency superposition and the change in film thickness was examined.
1 and 2, gas was supplied from the gas introduction means into the chamber 11 through the central gas inlet 15. In addition, the supply of AC power having the second frequency λ2 was switched to examine the effect of the presence or absence of overlap on the film thickness distribution in the radial direction (change in deposition rate).

デポ工程における条件
供給ガス; SiF/O
供給ガス流量;SiF/O=160/150sccm
第一の周波数λ1;13.56MHz
第一の周波数λ1の供給電力; 1800W
第一の周波数λ1の供給電力分配比RFS;IN:OUT=999:0(分配比0.9:0.1に相当)
第二の周波数λ2;2MHz
第二の周波数λ2の供給電力;200W、0W
成膜処理時間;120sec
内側電極温度;-10℃
Conditions in the deposition process Supply gas: SiF4 / O2
Supply gas flow rate: SiF 4 /O 2 =160/150 sccm
First frequency λ1: 13.56 MHz
Supply power of first frequency λ1: 1800 W
Supply power distribution ratio RFS of first frequency λ1; IN:OUT=999:0 (corresponding to a distribution ratio of 0.9:0.1)
Second frequency λ2: 2 MHz
Supply power of second frequency λ2: 200 W, 0 W
Film formation processing time: 120 sec
Inner electrode temperature: -10℃

以下に、周波数重畳の有無によるデポ膜厚変化における結果を示す。
図28は、実施例における周波数重畳の有無による径方向のデポ膜厚分布変化を示すグラフである。
The results of the change in deposition thickness with and without frequency superposition are shown below.
FIG. 28 is a graph showing the change in radial deposition film thickness distribution depending on the presence or absence of frequency superposition in the example.

図28の結果から、電力分配器の結果と比較すると、2周波重畳の有無によりデポ膜厚が径方向中央のデポレートが大きい状態は変わらないが、径方向中央のデポレートに比べて径方向外周のデポレートがより大きくなる(グラフの肩が上がる)状態へと変化することがわかる。 The results in Figure 28, compared to the results for the power splitter, show that the deposition rate at the radial center remains large regardless of whether or not two frequencies are superimposed, but the deposition rate at the radial periphery becomes larger than the deposition rate at the radial center (the shoulders of the graph rise).

すなわち、図27に示す実験例5の結果および図28に示す実験例6の結果から、電力分配器の結果と2周波重畳の結果とを比較すると以下のことがわかる。
エッチング深さ:Center fast ⇒ Edge fast 2MHzの影響大
デポ膜厚:Center fast ⇒ Center fast 2MHzの影響小
エッチング工程とでお歩デポ工程との面内分布を合わせて、基板面内の加工均一性を高めるには、電力分配器によりデポ分布およびエッチング分布を調整する必要がある。
That is, from the results of Experimental Example 5 shown in FIG. 27 and the results of Experimental Example 6 shown in FIG. 28, the following can be seen by comparing the results of the power divider and the results of dual-frequency superposition.
Etching depth: Center fast ⇒ Edge fast 2 MHz has a large effect Deposition film thickness: Center fast ⇒ Center fast 2 MHz has a small effect In order to improve the processing uniformity within the substrate surface by matching the in-plane distribution of the etching process and the deposition process, it is necessary to adjust the deposition distribution and etching distribution using a power distributor.

<実験例7>
次に、電力分配器と周波数重畳との磁場形成状態への影響を検証した。
ここでは、図1,図2に示すプラズマ処理装置10において、第一電極E1および第二電極E2に印加する周波数λ1の交流電力を分配できるスプリッタおよび2周波ICPを備えたプラズマ処理装置と、スプリッタを備えていないプラズマ処理装置との間で、形成される磁場の空間分布をシミュレーションした。
その結果を図29~図32に示す。
図29は、スプリッタを備えていないプラズマ処理装置において形成される磁場のうち、周波数λ1の交流電力によって第一電極E1のみで形成される磁場の空間分布をシミュレーションにより示すものである。
図30は、スプリッタを備えていないプラズマ処理装置において形成される磁場のうち、周波数λ2の交流電力によって第三電極E3のみで形成される磁場の空間分布をシミュレーションにより示すものである。
図31は、図1,図2に示すスプリッタを備えたプラズマ処理装置において形成される磁場のうち、周波数λ1の交流電力によって第一電極E1のみで形成される磁場の空間分布をシミュレーションにより示すものである。
図32は、図1,図2に示すスプリッタを備えたプラズマ処理装置において形成される磁場のうち、周波数λ2の交流電力によって第三電極E3のみで形成される磁場の空間分布をシミュレーションにより示すものである。
図29~図32において、点線で囲った部分は、プラズマ処理装置において基板へのプラズマ処理に直接寄与する空間の範囲を示している。
<Experimental Example 7>
Next, the effects of the power divider and frequency superposition on the magnetic field formation state were examined.
Here, in the plasma processing apparatus 10 shown in Figures 1 and 2, the spatial distribution of the magnetic field formed was simulated between a plasma processing apparatus equipped with a splitter and a dual-frequency ICP capable of distributing the AC power of frequency λ1 applied to the first electrode E1 and the second electrode E2, and a plasma processing apparatus not equipped with a splitter.
The results are shown in Figures 29 to 32.
FIG. 29 shows, by simulation, the spatial distribution of a magnetic field formed only by the first electrode E1 using AC power of frequency λ1, among magnetic fields formed in a plasma processing apparatus not equipped with a splitter.
FIG. 30 shows, by simulation, the spatial distribution of a magnetic field formed only by the third electrode E3 by AC power of frequency λ2, among the magnetic fields formed in a plasma processing apparatus not provided with a splitter.
FIG. 31 shows a simulation of the spatial distribution of the magnetic field formed only by the first electrode E1 by AC power of frequency λ1, among the magnetic fields formed in the plasma processing apparatus having the splitter shown in FIGS.
FIG. 32 shows a simulation of the spatial distribution of the magnetic field formed only by the third electrode E3 by AC power of frequency λ2, among the magnetic fields formed in the plasma processing apparatus having the splitter shown in FIGS.
29 to 32, the areas surrounded by dotted lines indicate the range of space that directly contributes to the plasma processing of the substrate in the plasma processing apparatus.

具体的には、二つの4ターンアンテナのうち、片方の両端に13.56MHz用M/BのIN端子、OUT端子を接続した13.56MHz用アンテナと、もう一方の両端に2MHz用M/BのIN端子、OUT端子を接続した2MHz用アンテナとを、同心状に13.56MHzを内側、2MHzを外側に配置した従来型アンテナと、3つの4ターンアンテナのうち、一つめのアンテナの両端に電力分配器の内側用回路、二つめのアンテナに電力分配器外側用回路を接続した13.56MHz 電力分配器内側及び外側用アンテナと、三つめのアンテナの両端に2MHz用M/Bを接続した2MHz用アンテナを、同軸状に内側から13.56MHz 電力分配器内側用アンテナ、 2MHz用アンテナ、 13.56MHz 電力分配器外側用アンテナとなるように配置した2周波ICP 電力分配器用アンテナを用い、電力分配器には電力比0.5:0.5となるような条件で、それぞれ13.56MHzを1kW、2MHzを1kW投入した際のアンテナから発生するそれぞれの周波数におけるアンテナと真空チャンバと、アンテナが誘電体窓で区切られた真空チャンバ内の磁場強度をシミュレーションした。 Specifically, a conventional antenna is constructed with two four-turn antennas, one of which is a 13.56MHz antenna with the IN and OUT terminals of a 13.56MHz M/B connected to both ends, and a 2MHz antenna with the IN and OUT terminals of a 2MHz M/B connected to both ends, with the 13.56MHz antenna concentrically positioned on the inside and the 2MHz antenna on the outside; a dual-frequency ICP is constructed with three four-turn antennas, the first of which is connected to the inside circuit of the power splitter and the second is connected to the outside circuit of the power splitter, with a 13.56MHz power splitter inside and outside antenna, and a 2MHz antenna with a 2MHz M/B connected to both ends of the third antenna, with the third antenna concentrically positioned on the inside as the 13.56MHz power splitter inside antenna, the 2MHz antenna, and the 13.56MHz power splitter outside antenna. Using a power splitter antenna, and with a power ratio of 0.5:0.5 in the power splitter, we simulated the magnetic field strength generated by the antenna and vacuum chamber at each frequency when 1 kW of 13.56 MHz and 1 kW of 2 MHz were input, and the magnetic field strength inside the vacuum chamber where the antenna was separated by a dielectric window.

図29~図32の結果から、点線で囲まれた空間における磁界強度の分布を13.56MHzと2MHzで一致させることで、プロセスの均一性を向上させることが可能になることがわかる。
つまり、従来型アンテナでは13.56MHzと2MHzの磁場は一致しないが、2周波ICP 電力分配器用アンテナでは磁場が一致することを確認することができた。
From the results of FIGS. 29 to 32, it is evident that the process uniformity can be improved by matching the distribution of the magnetic field strength in the space enclosed by the dotted lines at 13.56 MHz and 2 MHz.
In other words, it was confirmed that the magnetic fields of 13.56 MHz and 2 MHz do not coincide with each other in the conventional antenna, but the magnetic fields coincide with each other in the antenna for the dual-frequency ICP power splitter.

なお、アッシング工程S07における条件を、以下に示す。
供給ガス; O
ガス流量; O;450sccm,
処理雰囲気圧力; 9Pa
第一の周波数λ1の供給電力; 2000W
第一の周波数λ1;13.56MHz
第二の周波数λ2の供給電力; 2000W
第二の周波数λ2;2MHz
バイアス電力;200W
バイアス電力周波数λ3;400kHz
アッシング工程S07においても面内均一性を実現することができた。
The conditions in the ashing step S07 are as follows.
Supply gas: O2
Gas flow rate: O2 : 450 sccm,
Treatment atmosphere pressure: 9 Pa
Supply power of first frequency λ1: 2000 W
First frequency λ1: 13.56 MHz
Supply power of second frequency λ2: 2000 W
Second frequency λ2: 2 MHz
Bias power: 200 W
Bias power frequency λ3: 400 kHz
In the ashing step S07 as well, in-plane uniformity was achieved.

本発明によれば、複数のプラズマ処理工程を、同一のチャンバ内で、真空を破らずに密閉を維持した状態で連続または断続的におこなう場合に、それぞれの工程における処理特性の面内分布を一致させて、基板全面での処理特性を略均一化することが可能となることがわかる。 According to the present invention, when multiple plasma processing steps are performed continuously or intermittently in the same chamber while maintaining the vacuum and keeping the chamber sealed, it is possible to match the in-plane distribution of the processing characteristics in each step and to make the processing characteristics approximately uniform over the entire surface of the substrate.

すなわち、デポ工程、エッチング工程、アッシング工程、保護膜形成(デポ)工程、といった複数の処理を1サイクルとして、このサイクルを多数回、たとえば30サイクル程度繰り返した場合に、それぞれのデポ工程、エッチング工程、アッシング工程における面内分布がバラついていた場合、処理を均等におこなうことができず、結果的に、所望の形状を得ることができなくなる。たとえば、実施例として上述したサイクルエッチ処理であれば、シリコン基板に形成する凹部パターンの形状、特に深さ、あるいは、径寸法の均一性を保つことができなくなる。 In other words, if a cycle of multiple processes such as a deposition process, an etching process, an ashing process, and a protective film formation (deposition) process is repeated many times, for example 30 cycles, and if there is variation in the in-plane distribution in each deposition process, etching process, and ashing process, the processes cannot be performed uniformly, and as a result, the desired shape cannot be obtained. For example, in the case of the cycle etching process described above as an example, it becomes impossible to maintain uniformity in the shape, particularly the depth or diameter dimension, of the recess pattern formed in the silicon substrate.

これに対して、電力分配器と周波数重畳とが可能な本発明におけるプラズマ処理装置であれば、サイクルエッチ処理であれば、各工程における処理特性の面内均一性を可能として、シリコン基板に形成する凹部パターンの形状、特に深さ、あるいは、径寸法の均一性を保つことができる。 In contrast, if the plasma processing apparatus of the present invention is capable of using a power distributor and frequency superposition, then in the case of cycle etching processing, it is possible to achieve uniformity in the processing characteristics of each process on the surface, and it is possible to maintain uniformity in the shape, particularly the depth or diameter dimension, of the recess pattern formed on the silicon substrate.

2周波ICPによれば、13.56MHzで生成されたプラズマボリューム内の電子密度を2MHzによって上昇させ、プラズマ中の分子の解離制御性の幅を拡大することができる。 Dual frequency ICP allows the electron density in the plasma volume generated at 13.56 MHz to be increased by 2 MHz, expanding the range of controllability of dissociation of molecules in the plasma.

また、2周波ICPによれば、
・13.56MHz: プラズマ生成 (電子密度低温)
・2MHz: 13.56MHzで生成されたプラズマボリューム深層まで加熱する(低周波磁場が奥深くまで浸透するため)
・ 13Mに2M重畳で電子密度を制御⇒Gas解離制御性margin広くなる
という効果を確認できた。
In addition, according to the dual frequency ICP,
・13.56MHz: Plasma generation (low electron density)
2MHz: Heats the plasma generated at 13.56MHz deep into the volume (because the low frequency magnetic field penetrates deep inside)
・ By superimposing 2M on 13M, the electron density is controlled, and the effect of widening the gas dissociation control margin was confirmed.

電力分配器によれば、一つの電源から発生した電力を2つのアンテナに任意の分配比で分配し、発生するプラズマの空間内密度分布を調整することができる。
これらにより、プラズマ密度分布に対するエッチングレートおよび成膜レートは、使用するガス種によって異なるが、異なるガスを供給する別の処理工程において、使用するガスの特性による面内分布の変化に対応することができることがわかる。
The power divider can distribute the power generated from one power source to two antennas at an arbitrary distribution ratio, thereby adjusting the spatial density distribution of the generated plasma.
From these results, it can be seen that the etching rate and film formation rate relative to the plasma density distribution differ depending on the type of gas used, but in other processing steps in which different gases are supplied, it is possible to accommodate changes in the in-plane distribution due to the characteristics of the gas used.

本発明によれば、2周波ICPで得られる特性を維持しつつ、高周波電力分配器によって分布調整をおこなう機構を提供することができる。特に、工程毎に異なるガスを使用しても、径方向内側と外側とに同心状に配置された2つのアンテナに対して、電力分配器によって電力分配比を調整することで、処理特性の面内均一性が保たれる状態とし、さらに、2周波ICPを実施して、サイクルエッチングの面内均一性と2周波ICPのエッチング性能を両立させることができる。 According to the present invention, it is possible to provide a mechanism for adjusting the distribution using a high-frequency power distributor while maintaining the characteristics obtained by dual-frequency ICP. In particular, even if different gases are used for each process, the power distribution ratio is adjusted by the power distributor for two antennas arranged concentrically on the inside and outside in the radial direction, so that the in-plane uniformity of the processing characteristics is maintained. Furthermore, by implementing dual-frequency ICP, it is possible to achieve both the in-plane uniformity of cycle etching and the etching performance of dual-frequency ICP.

13.56MHzの電力分配器を適用しSiF/Oガスを使用した成膜評価では、分配をIN:OUT=999:0~0:999(分配比0.9:0.1~0.1~0.9に相当)で変化させることで、面内成膜分布を変動可能であることが確認できた。また、同様にSF/SiF/Oを使用したエッチング評価では、面内分布は変動するが変動量は小さいことが確認できた。 In a deposition evaluation using a 13.56 MHz power distributor and SiF 4 /O 2 gas, it was confirmed that the in-plane deposition distribution can be changed by changing the distribution from IN:OUT = 999:0 to 0:999 (corresponding to a distribution ratio of 0.9:0.1 to 0.1 to 0.9). Similarly, in an etching evaluation using SF 6 /SiF 4 /O 2 , it was confirmed that although the in-plane distribution fluctuated, the amount of fluctuation was small.

13.56MHzと2MHzとを使用した2周波重畳におけるSiF/Oガスを使用した成膜評価では、13.56MHzのみ(電力分配器使用時のIN:OUT=999:0に相当)と比較してCenter fastの傾向は変わらないが、エッチング評価ではCenter fastからEdge fastに変化するような大きな影響を与えることが確認できた。 In a film formation evaluation using SiF4 / O2 gas in a dual frequency superposition using 13.56 MHz and 2 MHz, the tendency of center fast did not change compared to 13.56 MHz alone (corresponding to IN:OUT = 999:0 when a power splitter is used), but in an etching evaluation, it was confirmed that there was a large impact that caused a change from center fast to edge fast.

同様に、13.56MHzと2MHzとを使用した2周波重畳におけるCガスによる成膜評価では、ガスの導入口の位置(中央、外周)によって成膜分布が大きく変化するが、SiF/Oガスを使用した成膜評価では、導入口の位置による差は見られない。したがって、この変化は13.56MHzの影響であると断定することができた。 Similarly, in the evaluation of the deposition of a film using C4F8 gas in a dual frequency superposition using 13.56MHz and 2MHz, the deposition distribution changes significantly depending on the position of the gas inlet (center, periphery), but in the evaluation of the deposition of a film using SiF4 / O2 gas, no difference due to the position of the inlet was observed. Therefore, it was possible to conclude that this change was due to the influence of 13.56MHz.

以上より、13.56MHzを電力分配器で内側と外側の2つのアンテナに所望の分配比で導入すれば、SF/SiF/Oのエッチング分布とSiF/Oによる成膜分布との制御によって、サイクルエッチングの面内分布を揃えることが可能であることがわかった。 From the above, it was found that if 13.56 MHz is introduced to the two inner and outer antennas at the desired distribution ratio using a power divider, it is possible to align the in-plane distribution of cycle etching by controlling the etching distribution of SF6 / SiF4 / O2 and the film formation distribution by SiF4 / O2 .

ここで、従来、13.56MHzと2MHzの位置が従来型2周波ICP用アンテナでは、成膜とエッチングとを交互に繰り返すサイクルエッチングにおいて、各ステップにおける分布の差を改善できず、プロセス実施後におけるエッチング形状の面内分布が制御できていなかった。
つまり、サイクルエッチングでは、デポ工程において、特性分布が13.56MHzのプラズマの空間分布が特に強く影響するガスを使用しており、また、エッチング工程において、2MHzと13.56MHz両方のプラズマの空間分布が影響するガスを使用している。しかもこれらの工程を繰り返しておこなうことが必要である。
Here, in the past, in a conventional dual-frequency ICP antenna with 13.56 MHz and 2 MHz positions, in cyclic etching in which film formation and etching are alternately repeated, the difference in distribution at each step could not be improved, and the in-plane distribution of the etching shape after the process was not controllable.
That is, in the cycle etching, a gas whose characteristic distribution is particularly strongly influenced by the spatial distribution of the 13.56 MHz plasma is used in the deposition process, and a gas whose characteristic distribution is influenced by the spatial distribution of both the 2 MHz and 13.56 MHz plasma is used in the etching process. Moreover, these processes must be repeated.

このように、デポ工程とエッチング工程とを交互におこなう場合、一方の分布が良くても他方が同じ分布にならなければ、加工形状の面内均一性を保つことが難しいが、従来のアンテナしか備えていないプラズマ処理装置では、アンテナ形状が固定されており形成する磁場の空間分布を変更できないため、個別の工程毎に処理特性の面内分布を改善することは難しかった。 In this way, when deposition and etching processes are performed alternately, even if one distribution is good, it is difficult to maintain the in-plane uniformity of the processed shape unless the other distribution is the same. However, with plasma processing equipment equipped only with conventional antennas, the antenna shape is fixed and the spatial distribution of the magnetic field it creates cannot be changed, making it difficult to improve the in-plane distribution of the processing characteristics for each individual process.

Dual TSV Process(Cycle Etching)において、SiF/Oガスによる成膜工程は、レジスト膜を保護するために必須である。この成膜工程の膜厚分布は、プラズマの空間分布に依存することが分かっている。従来の2周波ICPアンテナでは、プラズマ空間分布が固定されているため、プロセスの分布が制御できない。
本発明ではこの問題を解決するため、電力分配技術と2周波ICPとによって、2周波ICPの空間分布制御が可能となるプラズマ源を実現した。これにより、Depo1膜(SiF/Oガス)とエッチング(SF/SiF/Oガス)との分布をあわせ込み、ウェハ面内の加工均一性を向上することができる。電力分配器による分配比の調整で膜厚分布が変化することを確認でき、この電力分配器の制御により、2MHz+13.56MHzで形成されるエッチング面内分布にあわせ込むことが可能となる。
In the Dual TSV Process (Cycle Etching), the film formation process using SiF 4 /O 2 gas is essential to protect the resist film. It is known that the film thickness distribution in this film formation process depends on the spatial distribution of plasma. In the conventional dual-frequency ICP antenna, the plasma spatial distribution is fixed, so the process distribution cannot be controlled.
In order to solve this problem, the present invention has realized a plasma source that allows spatial distribution control of dual-frequency ICP by using power distribution technology and dual-frequency ICP. This allows the distribution of Depo1 film (SiF 4 /O 2 gas) and etching (SF 6 /SiF 4 /O 2 gas) to be matched, improving the processing uniformity within the wafer surface. It can be confirmed that the film thickness distribution changes by adjusting the distribution ratio by the power distributor, and by controlling this power distributor, it is possible to match the etching surface distribution formed by 2MHz + 13.56MHz.

・サイクルエッチング処理におけるレジスト保護膜形成ステップ(SiF/Oガスによるデポ工程)において、電力分配比をアンテナの内側と外側で内:外=0.5:0.5~0.1:0.9となるように設定することが好ましい。
・サイクルエッチング処理における側壁保護膜形成ステップ(Cガスによるデポ工程)において、電力分配比をアンテナの内側と外側で内:外=0.75:0.25~0.25:0.75となるように設定することが好ましい。
・サイクルエッチング処理におけるエッチングステップ(SF/SiF/Oガスによるドライエッチング工程)において、電力分配比をアンテナの内側と外側で内:外=0.75:0.25~0.25:0.75となるように設定することが好ましい。
In the resist protective film formation step (deposition process using SiF 4 /O 2 gas) in the cyclic etching process, it is preferable to set the power distribution ratio between the inside and outside of the antenna to be inside:outside=0.5:0.5 to 0.1:0.9.
In the sidewall protective film forming step (deposition process using C 4 F 8 gas) in the cyclic etching process, it is preferable to set the power distribution ratio between the inside and outside of the antenna to be inside:outside=0.75:0.25 to 0.25:0.75.
In the etching step (dry etching process using SF 6 /SiF 4 /O 2 gas) in the cyclic etching process, it is preferable to set the power distribution ratio between the inside and outside of the antenna to be inside:outer=0.75:0.25 to 0.25:0.75.

さらに、第一電極E1の径方向内側に、プラズマ加熱用の第二の周波数λ2が印加される電極(アンテナ)を設けることもできる。
さらに、第二電極E2の径方向外側に、プラズマ加熱用の第二の周波数λ2が印加される電極(アンテナ)を設けることもできる。
さらに、これらを組み合わせた配置とすることもできる。
Furthermore, an electrode (antenna) to which a second frequency λ2 for heating plasma is applied can be provided radially inward of the first electrode E1.
Furthermore, an electrode (antenna) to which a second frequency λ2 for heating plasma is applied can be provided radially outside the second electrode E2.
Furthermore, these arrangements may be combined.

あるいは、第一電極E1、第二電極E2、第三電極E3は、同軸上の高さ方向に対し1段から3段までの構造を持つことが可能である。
これらにより、プラズマの効率的な加熱を可能とすることができる。また、大面積の基板に対する処理において、プラズマの効率的な空間分布制御を可能とできる。
さらに、これらの構成を個々に取りだして任意に組み合わせた配置とすることもできる。
Alternatively, the first electrode E1, the second electrode E2, and the third electrode E3 may have a structure of one to three stages in the height direction on the same axis.
These features enable efficient heating of the plasma, and also enable efficient control of the spatial distribution of the plasma in processing a large-area substrate.
Furthermore, these configurations can be taken out individually and arbitrarily combined to form an arrangement.

本発明の活用例として、半導体製造におけるSi貫通孔形成工程を挙げることができる。 An example of how this invention can be used is the silicon through-hole formation process in semiconductor manufacturing.

10…プラズマ処理装置
11…チャンバ
12…内部電極(支持手段)
13…上蓋
15…ガス導入口
100…ガス導入手段
G…プロセスガス
S…被処理体(基板)
TMP…排気手段(減圧手段)
17…第一の高周波電源(プラズマ生成電源)
18…第二の高周波電源(プラズマ加熱電源)
19…高周波電源(第三の高周波電源)
E1…第一電極(アンテナAT1)
E2…第二電極(アンテナAT2)
E3…第三電極(アンテナAT3)
G…プロセスガス
M/B…マッチングボックス
10: Plasma processing apparatus 11: Chamber 12: Internal electrode (support means)
13: Upper cover 15: Gas inlet 100: Gas introduction means G: Process gas S: Object to be processed (substrate)
TMP: exhaust means (pressure reducing means)
17...First high frequency power source (plasma generating power source)
18...Second high frequency power source (plasma heating power source)
19...High frequency power source (third high frequency power source)
E1...first electrode (antenna AT1)
E2: second electrode (antenna AT2)
E3: third electrode (antenna AT3)
G: Process gas M/B: Matching box

Claims (12)

プラズマ処理装置であって、
内部の減圧が可能で、前記内部で被処理体に対してプラズマ処理されるように構成されるチャンバと、
前記チャンバ内に配され、前記被処理体を載置する平板状の内部電極と、
前記チャンバ外に配置され、前記チャンバの上蓋を形成する誘電体板を挟んで、前記内部電極と対向するように配置された螺旋状の外部電極と、
前記外部電極に対して、所定の周波数の交流電力を印加するプラズマ生成電源と、
前記チャンバ内にプロセスガスを導入するガス導入手段と、
を備え、
前記外部電極が径方向に分割されて、径方向中央側に配置された螺旋状の第一電極と、径方向外周部に配置された螺旋状の第二電極と、径方向で前記第一電極および前記第二電極の間に挟まれて配置された螺旋状の第三電極と、を備え、
前記プラズマ生成電源が、
前記第一電極および前記第二電極に対して、第一の周波数λ1の交流電力を印加する第一の高周波電源と、
前記第三電極に対して、前記第一の周波数λ1との関係が、λ1>λ2の関係にある第二の周波数λ2の交流電力を印加する第二の高周波電源と、
前記第一電極および前記第二電極に対して所定の分配比で分配した交流電力を印加可能とする電力を分配する電力分配器と、
を備える、
ことを特徴とするプラズマ処理装置。
A plasma processing apparatus comprising:
A chamber capable of reducing the pressure inside and configured to perform plasma processing on a workpiece inside the chamber;
a flat inner electrode disposed in the chamber and on which the workpiece is placed;
a spiral external electrode disposed outside the chamber and facing the internal electrode across a dielectric plate forming an upper lid of the chamber;
A plasma generating power source that applies AC power of a predetermined frequency to the external electrode;
a gas introduction means for introducing a process gas into the chamber;
Equipped with
the external electrode is divided in a radial direction to include a first spiral electrode arranged on a radially central side, a second spiral electrode arranged on a radially outer periphery, and a third spiral electrode arranged to be sandwiched between the first electrode and the second electrode in the radial direction,
The plasma generating power source is
a first high frequency power supply that applies AC power having a first frequency λ1 to the first electrode and the second electrode;
a second high frequency power supply that applies AC power of a second frequency λ2, the second frequency λ2 having a relationship of λ1>λ2 with the first frequency λ1 to the third electrode;
a power distributor that distributes power so that AC power distributed at a predetermined distribution ratio can be applied to the first electrode and the second electrode;
Equipped with
2. A plasma processing apparatus comprising:
前記第一電極および前記第二電極に分配して印加された前記第一の周波数λ1の交流電力によって空間分布を調節したプラズマを生成し、前記第三電極に印加された前記第二の周波数λ2の交流電力によってプラズマの電子密度を増大する、
ことを特徴とする請求項1記載のプラズマ処理装置。
A plasma having a adjusted spatial distribution is generated by AC power of the first frequency λ1 distributed and applied to the first electrode and the second electrode, and an electron density of the plasma is increased by AC power of the second frequency λ2 applied to the third electrode.
2. The plasma processing apparatus according to claim 1,
前記電力分配器は、前記第一電極および前記第二電極により形成された磁場分布が、前記第三電極により形成された磁場分布と略一致するように所定の分配比で分配して印加可能である、
ことを特徴とする請求項1記載のプラズマ処理装置。
the power distributor is capable of distributing and applying a power at a predetermined distribution ratio such that a magnetic field distribution formed by the first electrode and the second electrode substantially coincides with a magnetic field distribution formed by the third electrode.
2. The plasma processing apparatus according to claim 1,
前記第一の高周波電源と前記第二の高周波電源とは、前記第一電極および前記第二電極に前記第一の周波数λ1が13.56MHzの交流電力を印加するとともに、前記第三電極に前記第二の周波数λ2が2MHzの交流電力を印加する、
ことを特徴とする請求項1記載のプラズマ処理装置。
The first high frequency power supply and the second high frequency power supply apply AC power having the first frequency λ1 of 13.56 MHz to the first electrode and the second electrode, and apply AC power having the second frequency λ2 of 2 MHz to the third electrode.
2. The plasma processing apparatus according to claim 1,
前記外部電極は、螺旋の軸線方向に積層された部分を有する、
ことを特徴とする請求項1記載のプラズマ処理装置。
The external electrode has portions stacked in the axial direction of the spiral.
2. The plasma processing apparatus according to claim 1,
請求項1から5のいずれか記載のプラズマ処理装置によってプラズマ処理をおこなう方法であって、
前記第一の高周波電源によって、前記第一の周波数λ1の交流電力を印加された前記第一電極および前記第二電極によってプラズマを生成するとともに、前記電力分配器によって、印加する前記第一の周波数λ1の交流電力を前記第一電極および前記第二電極に所定の分配比で分配することで、生成するプラズマの空間分布を調節し、
前記第二の高周波電源によって、前記第二の周波数λ2の交流電力を印加された前記第三電極によってプラズマの電子密度を増大する、
ことを特徴とするプラズマ処理方法。
A method for performing plasma processing using the plasma processing apparatus according to any one of claims 1 to 5, comprising the steps of:
A plasma is generated by the first electrode and the second electrode to which AC power of the first frequency λ1 is applied by the first high frequency power supply, and the AC power of the first frequency λ1 to be applied is distributed to the first electrode and the second electrode at a predetermined distribution ratio by the power distributor, thereby adjusting the spatial distribution of the generated plasma;
Increasing the electron density of the plasma by the third electrode to which AC power of the second frequency λ2 is applied by the second high frequency power supply;
1. A plasma processing method comprising:
前記ガス導入手段によって導入される前記プロセスガスに応じて、前記電力分配器により前記第一電極および前記第二電極へ印加する交流電力の分配比を変化させて、生成するプラズマの空間分布を調節する、
ことを特徴とする請求項6記載のプラズマ処理方法。
a distribution ratio of AC power applied to the first electrode and the second electrode by the power distributor is changed in response to the process gas introduced by the gas introduction means, thereby adjusting the spatial distribution of the generated plasma.
7. The plasma processing method according to claim 6.
前記電力分配器によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.5:0.5 ~ 0.1:0.9
の範囲となるように設定する、
ことを特徴とする請求項7記載のプラズマ処理方法。
The power distributor divides the AC power Winner distributed to the first electrode and the AC power Wouter distributed to the second electrode into a distribution ratio of:
Winner:Wouter = 0.5:0.5 to 0.1:0.9
Set it to be in the range of
8. The plasma processing method according to claim 7.
前記プロセスガスがSiF/Oガスの成膜処理である、
ことを特徴とする請求項8記載のプラズマ処理方法。
The process gas is a film formation process using SiF 4 /O 2 gas;
9. The plasma processing method according to claim 8.
前記第一の高周波電源によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.75:0.25 ~ 0.25:0.75
の範囲となるように設定する、
ことを特徴とする請求項6記載のプラズマ処理方法。
A distribution ratio of AC power Winner distributed to the first electrode and AC power Wouter distributed to the second electrode by the first high frequency power supply is
Winner:Wouter = 0.75:0.25 to 0.25:0.75
Set it to be in the range of
7. The plasma processing method according to claim 6.
前記プロセスガスがCガスの成膜処理であるか、
前記プロセスガスがSF/SiF/Oガスのエッチング処理である、
ことを特徴とする請求項10記載のプラズマ処理方法。
The process gas is C4F8 gas for film formation;
The process gas is SF 6 /SiF 4 /O 2 gas etching process;
11. The plasma processing method according to claim 10.
前記電力分配器によって、前前記第一電極に
分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.5:0.5 ~ 0.1:0.9
の範囲となるように設定し、前記プロセスガスがSiF/Oガスの成膜処理と、
前記電力分配器によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.75:0.25 ~ 0.25:0.75
の範囲となるように設定し、前記プロセスガスがCガスの成膜処理と、
前記電力分配器によって、前前記第一電極に分配する交流電力Winnerと前記第二電極に分配する交流電力Wouterとの分配比を、
Winner:Wouter = 0.75:0.25 ~ 0.25:0.75
の範囲となるように設定し、前記プロセスガスがSF/SiF/Oガスのエッチング処理と、
を続けて真空破壊しないでおこなう、
ことを特徴とする請求項6記載のプラズマ処理方法。
The power distributor divides the AC power Winner distributed to the first electrode and the AC power Wouter distributed to the second electrode into a distribution ratio of:
Winner:Wouter = 0.5:0.5 to 0.1:0.9
The process gas is set to be in the range of SiF 4 /O 2 gas for film formation,
The power distributor divides the AC power Winner distributed to the first electrode and the AC power Wouter distributed to the second electrode into a distribution ratio of:
Winner:Wouter = 0.75:0.25 to 0.25:0.75
The process gas is set to be in the range of C 4 F 8 gas for film formation,
The power distributor divides the AC power Winner distributed to the first electrode and the AC power Wouter distributed to the second electrode into a distribution ratio of:
Winner:Wouter = 0.75:0.25 to 0.25:0.75
The process gas is set to be in the range of SF 6 /SiF 4 /O 2 gas for etching;
This is done continuously without breaking the vacuum.
7. The plasma processing method according to claim 6.
JP2022101845A 2022-06-24 2022-06-24 Plasma processing apparatus and plasma processing method Active JP7492990B2 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2022101845A JP7492990B2 (en) 2022-06-24 2022-06-24 Plasma processing apparatus and plasma processing method
CN202310741171.9A CN117293007A (en) 2022-06-24 2023-06-21 Plasma processing apparatus and plasma processing method
US18/213,228 US20230420220A1 (en) 2022-06-24 2023-06-22 Plasma processing apparatus and plasma processing method
KR1020230080330A KR20240001060A (en) 2022-06-24 2023-06-22 Plasma processing apparatus and plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2022101845A JP7492990B2 (en) 2022-06-24 2022-06-24 Plasma processing apparatus and plasma processing method

Publications (2)

Publication Number Publication Date
JP2024002574A JP2024002574A (en) 2024-01-11
JP7492990B2 true JP7492990B2 (en) 2024-05-30

Family

ID=89252507

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022101845A Active JP7492990B2 (en) 2022-06-24 2022-06-24 Plasma processing apparatus and plasma processing method

Country Status (4)

Country Link
US (1) US20230420220A1 (en)
JP (1) JP7492990B2 (en)
KR (1) KR20240001060A (en)
CN (1) CN117293007A (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018132576A1 (en) * 2017-01-12 2018-07-19 Commscope Technologies Llc Optical tapping in an indexing architecture

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004111971A (en) 2003-09-16 2004-04-08 Mitsubishi Heavy Ind Ltd Feeding apparatus and semiconductor manufacturing equipment having the same
US20100243162A1 (en) 2009-03-31 2010-09-30 Tokyo Electron Limited Plasma processing apparatus
JP2015099820A (en) 2013-11-18 2015-05-28 パナソニックIpマネジメント株式会社 Method for etching silicon carbide substrate
JP2018121051A (en) 2017-01-20 2018-08-02 東京エレクトロン株式会社 Plasma processing device
JP2018142650A (en) 2017-02-28 2018-09-13 東京エレクトロン株式会社 Deposition method and plasma processing device
JP2019004057A (en) 2017-06-15 2019-01-10 株式会社アルバック Plasma processing device

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016114232A1 (en) 2015-01-16 2016-07-21 株式会社アルバック Plasma processing device

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004111971A (en) 2003-09-16 2004-04-08 Mitsubishi Heavy Ind Ltd Feeding apparatus and semiconductor manufacturing equipment having the same
US20100243162A1 (en) 2009-03-31 2010-09-30 Tokyo Electron Limited Plasma processing apparatus
JP2010238981A (en) 2009-03-31 2010-10-21 Tokyo Electron Ltd Plasma processing apparatus
JP2015099820A (en) 2013-11-18 2015-05-28 パナソニックIpマネジメント株式会社 Method for etching silicon carbide substrate
JP2018121051A (en) 2017-01-20 2018-08-02 東京エレクトロン株式会社 Plasma processing device
JP2018142650A (en) 2017-02-28 2018-09-13 東京エレクトロン株式会社 Deposition method and plasma processing device
JP2019004057A (en) 2017-06-15 2019-01-10 株式会社アルバック Plasma processing device

Also Published As

Publication number Publication date
CN117293007A (en) 2023-12-26
KR20240001060A (en) 2024-01-03
JP2024002574A (en) 2024-01-11
US20230420220A1 (en) 2023-12-28

Similar Documents

Publication Publication Date Title
CN110660653B (en) Thin film deposition method
KR102280914B1 (en) Plasma-enhanced etching in an augmented plasma processing system
JP5931063B2 (en) Plasma processing apparatus and plasma processing method
KR20140068055A (en) Pulsed plasma chamber in dual chamber configuration
KR102096119B1 (en) Plasma etching method and plasma treatment device
JP7492990B2 (en) Plasma processing apparatus and plasma processing method
WO2009110567A1 (en) Plasma processing method
US20220301881A1 (en) Etching method and etching apparatus
US11319630B2 (en) Deposition apparatus and deposition method
JP7478059B2 (en) Silicon dry etching method
TWI759348B (en) Method for processing object to be processed
TW202416341A (en) Plasma treatment device and plasma treatment method
KR102271689B1 (en) Plasma processing method
JP7320554B2 (en) Etching method
WO2022234640A1 (en) Substrate processing method and substrate processing device
JP2023050155A (en) Plasma processing method and plasma processing system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230623

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240418

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240520

R150 Certificate of patent or registration of utility model

Ref document number: 7492990

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150