JP7422556B2 - プラント設計支援システム - Google Patents

プラント設計支援システム Download PDF

Info

Publication number
JP7422556B2
JP7422556B2 JP2020024656A JP2020024656A JP7422556B2 JP 7422556 B2 JP7422556 B2 JP 7422556B2 JP 2020024656 A JP2020024656 A JP 2020024656A JP 2020024656 A JP2020024656 A JP 2020024656A JP 7422556 B2 JP7422556 B2 JP 7422556B2
Authority
JP
Japan
Prior art keywords
placement
data
solution
equipment
plant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020024656A
Other languages
English (en)
Other versions
JP2021128717A (ja
Inventor
一栄 高岡
昭一 稲見
弘幸 橘
有美 浅野
千浩 今井
Original Assignee
Jfeプロジェクトワン株式会社
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Jfeプロジェクトワン株式会社 filed Critical Jfeプロジェクトワン株式会社
Priority to JP2020024656A priority Critical patent/JP7422556B2/ja
Publication of JP2021128717A publication Critical patent/JP2021128717A/ja
Application granted granted Critical
Publication of JP7422556B2 publication Critical patent/JP7422556B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

本発明は、発電プラントや石油・化学プラントなどの大規模プラントシステムを構成する機器を所定の敷地内に所定の条件を満たすように配置する場合の設計を支援するシステムに関するものである。
従来、プラントシステムを構成するために機器を配置する場合の3次元設計支援システムについては、2次元CADの機器図面シンボルに関するデータと3次元CADの機器モデルに関するデータを用いて、レイアウト設計データを2次元CAD図面または3次元CADモデルに変換して画面表示するもの(特許文献1)、プラントを構成する配管及び主要機器が3次元表示され、かつ配置ルールを順守することができる計測器配置位置に計測器が表示されるもの(特許文献2)が知られている。
また、化学プラントの機器を配置するときの制約条件に基づいて機器の親子関係を設定し、線形配列化処理の対象となる機器の数を絞り込むステップを含む配置計画法(特許文献3)、敷地の地形に応じた機器の配置やその論理的な接続の設定を行う配置設計方法(特許文献4、特許文献5)が知られている。
さらに、プラントシステムを構成するために機器を2次元的に配置する場合の最適化方法として白川らの先行研究が存在しており(非特許文献1)、プラント配置設計の多目的最適化を目指して、機器の配置順序を決めるスケジュール最適化と、これらの機器を配置する際の向き、空隙および配置位置を決める組み合わせ最適化との混合問題に置き換え、遺伝的アルゴリズム(GA)を用いて満足解を探索する方法が提案されている。
特開2007-164771号公報 特開2015-109006号公報 特開平8-158677号公報 特開平10-124566号公報 特開平9-305643号公報
白川昌和、荒川雅生、中山弘隆「プラント配置設計の多目的最適化:第1報、遺伝的アルゴリズムによる構内配置問題の最適設計(機械要素、潤滑、設計、生産加工、生産システムなど)」日本機械学会論文集C編76(770)、2694-2703、2010。
しかしながら、上述した従来のようなプラントシステムを構成するために機器を配置する場合の3次元設計支援システムは、CADモデルを参照しながら設計者が自ら機器の配置設計を編集しなければならず(特許文献1)、または主要機器の配置と配管を所与のものとして、計測器の配置に関し、ルールを満たす配置を自動的に生成するのみであって、より望ましい配置の有無を判断できない(特許文献2)、3D配置に関しては、「真上配置条件(2基以上の機器を縦に積み重ねる配置を示す条件)」、「高層配置条件(プロセス上の要求で2基以上の機器の間に高低差を設けなければならない条件)」という制約条件として考慮するのみであり(特許文献3)、あるいは施工時における物量やコストに影響する建屋の配置とその評価値の最適化については何等考慮しないものであり(特許文献4、特許文献5)、設計者とコンピュータとの対話的操作により、設計者の多目的意思決定を支援し、比較的短い計算時間と簡単な設計情報により、準最適な3次元配置解(満足解)を得ることができないという問題があった。
一方、白川らの先行研究は、プラントシステムの2次元設計に適用する探索方法を開示しているが、そのままでは3次元配置に適用することができない(非特許文献1)。
そこで、本発明は、前述したような従来技術の問題を解決するものであって、すなわち、本発明の目的は、設計者の多目的意思決定を支援して、少数の設計情報に基づき最適な3次元配置解の候補を迅速に提示するプラント設計支援システムを提供することである。
本請求項1に係る発明は、プラント敷地の条件を示す敷地データおよび配置する機器の条件を示す機器データを含むプラントデータとプラント設計が達成すべき条件を示す希求水準データと機器を配置する際に順守すべき複数のルールからなる配置ルールセットデータを入力するための入力装置と、前記敷地データに対応するプラント敷地への前記機器データに対応する機器の配置方法を示す配置解データを作成する演算装置と、前記入力装置から入力された前記プラントデータ、前記希求水準データ及び前記配置ルールセットデータと前記演算装置が作成した前記配置解データとを記憶する記憶装置と、前記記憶装置に記録された前記配置解データを出力するための出力装置とを備え、前記配置解データを前記出力装置に出力することによりプラントの設計を支援するプラント設計支援システムであって、前記演算装置が、遺伝的アルゴリズムにより最適配置解を探索する最適解探索部を備え、前記記憶装置が、前記敷地データに対応する前記プラント敷地の上の配置可能空間を複数の仮想的階層平面に分割して順序尺度により示す配置階層データと該仮想的階層平面内における配置位置を比例尺度により表す2次元配置位置データとの組により機器の3次元的な配置位置を表す3次元配置位置データを記憶する3次元配置位置データ記憶部を備えていることにより、前述した課題を解決するものである。
本請求項2に係る発明は、前記最適解探索部が、前記配置ルールセットデータに基づいて前記最適配置解を探索することにより、前述した課題を解決するものである。
本請求項3に係る発明は、前記最適解探索部が、前記配置ルールセットデータを構成する個々のルールを順に適用して前記最適配置解を探索することにより、前述した課題をさらに解決するものである。
本請求項4に係る発明は、前記最適解探索部が、前記希求水準データとして入力された配管物量に基づいて前記配置解データの適合度を算出することにより、前述した課題を解決するものである。
本発明の請求項1に係るプラント設計支援システムによれば、プラント敷地の条件を示す敷地データおよび配置する機器の条件を示す機器データを含むプラントデータとプラント設計が達成すべき条件を示す希求水準データと機器を配置する際に順守すべき複数のルールからなる配置ルールセットデータを入力するための入力装置と、敷地データに対応するプラント敷地への機器データに対応する機器の配置方法を示す配置解データを作成する演算装置と、入力装置から入力されたプラントデータ、希求水準データ及び配置ルールセットデータと演算装置が作成した配置解データとを記憶する記憶装置と、記憶装置に記録された配置解データを出力するための出力装置とを備え、配置解データを出力装置に出力することによりプラントの設計を支援するばかりか、本発明に固有の構成により、以下の本発明に固有の効果を奏することができる。
本発明の請求項1に係るプラント設計支援システムによれば、演算装置が、遺伝的アルゴリズムにより最適配置解を探索する最適解探索部を備え、記憶装置が、敷地データに対応するプラント敷地の上の配置可能空間を複数の仮想的階層平面に分割して順序尺度により示す配置階層データと該仮想的階層平面内における配置位置を比例尺度により表す2次元配置位置データとの組により機器の3次元的な配置位置を表す3次元配置位置データを記憶する3次元配置位置データ記憶部を備えていることにより、少数の入力データに基づいて3次元最適配置解を探索するため、最適な3次元配置解の候補を迅速に提示して設計者を支援することができる。
本発明の請求項2に係るプラント設計支援システムによれば、請求項1に係る発明が奏する効果に加えて、最適解探索部が、配置ルールセットデータに基づいて最適配置解を探索するため、専門的な設計知識や膨大な配置ノウハウを予めコンピュータに記憶させることを必要とせず、最適な3次元配置解の候補を提示して設計者を支援することができる。
本発明の請求項3に係るプラント設計支援システムによれば、請求項2に係る発明が奏する効果に加えて、最適解探索部が、配置ルールセットデータを構成する個々のルールを順に適用して最適配置解を探索することにより、適合度が高い解を優先的に探索するため、適応度が高い3次元配置解を優先的に提示して設計者をいっそう支援することができる。
本発明の請求項4に係るプラント設計支援システムによれば、請求項1乃至請求項3に係る発明が奏する効果に加えて、最適解探索部が、希求水準データとして入力された配管物量に基づいて配置解データの適合度を算出することにより、配管物量が小さい3次元配置解を選択的に探索するため、配管コストが小さい3次元配置解を選択的に提示して設計者をよりいっそう支援することができる。
本発明に係るプラント設計支援の概念図。 本発明に係る矩形および円形の機器及び建屋の接続関係を示す概念図。 本発明に係る機器及び建屋の向きの遺伝子を示す説明図。 本発明に係る機器及び建屋の向きの遺伝子とメンテナンススペースの関係を示す説明図。 本発明に係るプラント敷地、メンテナンススペース及び取合い点の関係を示す説明図。 本発明に係るメンテナンススペースと敷地境界線または他の機器との干渉関係を示す図。 本発明に係る機器及び建屋と敷地の設計情報を示す説明図。 図7に示す敷地と機器及び建屋を用いて配置する過程を示す説明図。 本発明に係る機器及び建屋の配置順序に対する遺伝子列に係る交差を示す説明図。 本発明に係る機器及び建屋の配置順序に対する遺伝子列に係る突然変異を示す説明図。 本発明に係るプラント設計支援システムを表す模式図。 本発明に係る最適配置解の探索の流れを示すフロー図。 図7に示す敷地と機器及び建屋に対する配置解の例を示す説明図。 実施例2に係る配置ルールセットの説明図。 実施例2に係る敷地条件を示す説明図。 実施例2に係る配置解の第一の例を示す説明図。 実施例2に係る配置解の第二の例を示す説明図。 実施例3に係る配置ルールセットの説明図。 実施例3に係る配置ルールセットの説明図。 実施例3に係る配置ルールセットの説明図。 実施例3に係る配置ルールセットの説明図。 実施例3に係る配置ルールセットの説明図。 実施例3に係る配置ルールセットの説明図。 実施例3に係る配置ルールセットの説明図。 実施例4に係る仮想的な複数の階層を示す説明図。 実施例4に係る配置ルールセットの説明図。 実施例4に係る準最適な配置解に対応する3次元配置平面図。 実施例5に係る準最適な配置解に対応する3次元配置図。
本発明のプラント設計支援システムは、プラント敷地の条件を示す敷地データおよび配置する機器の条件を示す機器データを含むプラントデータとプラント設計が達成すべき条件を示す希求水準データと機器を配置する際に順守すべき複数のルールからなる配置ルールセットデータを入力するための入力装置と、敷地データに対応するプラント敷地への機器データに対応する機器の配置方法を示す配置解データを作成する演算装置と、入力装置から入力されたプラントデータ、希求水準データ及び配置ルールセットデータと演算装置が作成した配置解データとを記憶する記憶装置と、記憶装置に記録された配置解データを出力するための出力装置とを備え、配置解データを出力装置に出力し、演算装置が、敷地データに対応するプラント敷地の上の配置可能空間を複数の仮想的階層平面に分割して順序尺度により示す配置階層データと該仮想的階層平面における配置位置を比例尺度により表す2次元配置位置データとの組により機器の3次元的な配置位置を示す3次元配置位置データを作成して遺伝的アルゴリズムにより最適配置解を探索することにより、最適な3次元配置解の候補を迅速に提示して設計者を支援することができるものであれば、その具体的な実施態様は、如何なるものであっても構わない。
以下、図面に基づいて本発明を実施するための形態を説明する。
ここで、図1は、本発明に係るプラント設計支援の概念図であり、図2は、本発明に係る矩形および円形の機器及び建屋の接続関係を示す概念図であり、図3は、本発明に係る機器及び建屋の向きの遺伝子を示す説明図であり、図4は、本発明に係る機器及び建屋の向きの遺伝子とメンテナンススペースの関係を示す説明図であり、図5は、本発明に係るプラント敷地、メンテナンススペース及び取合い点の関係を示す説明図であり、図6は、本発明に係るメンテナンススペースと敷地境界線または他の機器との干渉関係を示す図であり、図7は、本発明に係る機器及び建屋と敷地の設計情報を示す説明図であり、図8は、図7に示す敷地と機器及び建屋を用いて配置する過程を示す説明図であり、図9は、本発明に係る機器及び建屋の配置順序に対する遺伝子列に係る交差を示す説明図であり、図10は、本発明に係る機器及び建屋の配置順序に対する遺伝子列に係る突然変異を示す説明図であり、図11は、本発明に係るプラント設計支援システムを表す模式図であり、図12は、本発明に係る最適配置解の探索の流れを示すフロー図であり、図13は、図7に示す敷地と機器及び建屋に対する配置解の例を示す説明図であり、図14は、実施例2に係る配置ルールセットの説明図であり、図15は、実施例2に係る敷地条件を示す説明図であり、図16は、実施例2に係る配置解の第一の例を示す説明図であり、図17は、実施例2に係る配置解の第二の例を示す説明図であり、図18乃至図24は、実施例3に係る配置ルールセットの説明図であり、図25は、実施例4に係る仮想的な複数の階層を示す説明図であり、図26は、実施例4に係る配置ルールセットの説明図であり、図27は、実施例4に係る準最適な配置解に対応する3次元配置図であり、図28は、実施例5に係る準最適な配置解に対応する3次元配置図である。
本発明のプラント設計支援システム100を説明するにあたり、まず火力発電所の2次元配置を行う場合のプラント設計支援の理論的背景について説明する。
<プラント配置設計とその評価指標>
火力発電プラントは一般に、ボイラ、タービン、発電機などの機器と、制御室、電気室、管理ビルなどの建屋から構成されており、これらの機器や建屋は、系統構成に応じて、それぞれ配管、ダクトおよびケープルでつながれている。設計においては、多くの評価指標を勘案する必要があるが、主要な評価指標として、各種法規の遵守と安全性、配管・ダクト物量の経済性、ケーブル物量の経済性、プラント設備のメンテナンス性・拡張性、建設工事の容易性の5つの指標をあげることができる。
<プラント配置設計の多目的最適化>
火力発電プラントの配置設計は、法規上の規制や安全性を考慮した配置制約を満たしながら、経済性の良さが求められる。しかし、例えば経済性を優先すると、機器および建屋が互いに接近し、プラント設備のメンテナンス性・拡張性を損ない、建設工事にも悪影響をおよぼすなどの不都合が生じるため、火力発電プラントの配置最適化は、各種の評価指標を考慮した多目的最適化問題と位置付けることができる。
また、現実の設計現場での意思決定には、上述の5つの評価指標のような客観的評価指標ばかりでなく、建造物の美観、設計情報の不確実性、熟練設計者の感覚やノウハウなどのいわば主観的かつ暗黙的な評価指標も影響を与えている。
このため、コンピュータによる完全な自動配置により、設計者が満足できる配置解を得ることは困難であり、むしろ、設計者とコンピュータとの協調により、設計者が主体的に最適配置解の意思決定に関与できる、対話型配置解法が有効である。
<対話型配置解法>
本発明に係るプラント設計支援方法の概念図を図1に示す。このプラント設計支援方法のフレームワークは、多目的最適化問題の対話型解法の一つである満足化トレードオフ法であり、コンピュータ知能領域ICと設計者知識領域DKとを融合するものである。コンピュータ知能領域ICの主な役割は、遺伝的アルゴリズム(GA)による配置最適化手法に基づいて、多様な最適配置解の候補を生成することである。
一方、設計者知識領域DKの主な役割は、コンピュータ知能領域ICから提示される最適配置解の候補を設計者が総合的に判断・選択し、最終的な配置調整を加味しながら配置計画の意思決定を行うことである。
プラント配置設計を複数の評価関数(以下、配置制約も含む)fiを併せて考慮する多目的最適化問題と捉える。そして、満足化トレードオフ法に基づいて、数1のスカラー化関数の最小化問題に置き換えて、設計者の意図する最適配置解を求める。この方法は、最大成分最小化法(min-max解)に相当し、評価関数の中で最大成分となる項目が小さい程、良好な配置解となる。
ここで、希求水準fi-は、各評価関数に対する設計者の目標値である。Xは設計変数xの実行可能集合を表す。aは微小な正の値(10^-6)とし、重みwiは数2で自動的に決定できる。
ただし、理想点fi*は、一般に数3で与えられる。
数1のβiは、評価関数における目的と制約の度合を表し、区間[0、1]の範囲内で調整する。すなわちβi=1のときが目的関数であり、βi=0に近付くにつれて制約の度合が大きくなる。
<アルゴリズム>
図1を参照して、本プラント設計支援システム100を用いて準最適配置解を得る手順を説明する。なお、図中のデータベースは、社内の配置設計CADシステム上に構築してあってもよい。本システムのアルゴリズムは、ステップ101(以下、S101等と表す)からS106の配置最適化計算を行うメインループと、S101、S104からS106の手動配置調整を行うサブループとが組み合わさっている。
まず、設計者は、プラントデータを設定し、データベースに登録する(S101)。そして、多目的最適化計算を行う場合は、S102へ進む。あるいはS106で選択した配置結果を手動調整する場合は、データベースの配置設計データ(配置座標、配置角度)を編集し、S104へ進む。
次に、設計者は、各評価関数に対して、それぞれ希求水準値を設定する(S102)。そして、GAによる進化計算を通じて、S102で設定した各希求水準値に近付く最適配置解の候補を探索する(S103)。このとき、S104で見積られる各評価関数値を用いて、数1のスカラー化関数の最小化問題を解く。
その後、データベースと連携して、各評価関数値を見積る(S104)。そして、配置設計データと各評価関数値とを関連付けてデータベースに登録する。そして、データベースを参照しながら、S102で設定した各希求水準値に近付いている複数の配置結果を抽出し、最適配置解の候補として表示する(S105)。
設計者は、S105で表示された複数の配置結果を総合的な視点で評価し、より好ましい配置結果を一つ選択する(S106)。そして、選択した配置結果に満足するか否かを判断する。もし、設計者が満足しない場合は、S101へ戻り、S101からS106(配置最適化計算)、あるいはS101、S104からS106(手動配置調整)のループが繰り返される。もし、設計者が満足する場合は、S107へ進む。
設計者は、S106で選択した配置結果を準最適配置解(満足解)として最終確定する(S107)。
<遺伝的アルゴリズムによる配置最適化手法>
次に、プロットプランの計画設計に好適な遺伝的アルゴリズム(GA)によるコーディング法および遺伝的演算法について説明する。
<プラントデータプロット>
プランの計画設計は、上流設計フェーズで行われるため、設計情報が限定的となる。従って、設計対象を抽象化し、簡単な設計情報を用いて表現できることが肝要となる。必要なプラントデータは、後述する外形寸法、接続関係、グループ配置および敷地条件である。プラントデータの見本を表1に示す(ただし、表1中の機器と建屋は全て長方形としている)。
(1)外形寸法
図2に示すように、機器および建屋は、長方形または円形の図形要素で表現し、それぞれ外形寸法から、各図形要素の長さと幅(長方形)または直径(円形)を設定する。
(2)接続関係
図2に示すように、各図形要素の前後左右と中心部には、接続ポートが設けられている。そして、プラント系統図と単線結線図から、配管、ダクトおよびケーブルの接続関係を、表1に示すように「From―To」の形式で定義し、入口(From側)と出口(To側)の接続ポートの位置を指定する(表1は合計8つの接続関係を示している)。
また、接続毎にそれらの種別(配管、ダクトおよびケーブル)、本数および単価(単位長さ当たり)を設定する。図2は、長方形の機器RER(例えば、建屋)の前側REFから円形の機器CE(例えば、建屋)の左側CELへの接続関係の例を示している。
(3)グループ配置
グループ配置とは、複数のプラント構成機器及び建屋を一まとめにして配置することである。これは、以下の(a)~(c)の3通りに用いられる。
(a)整列配置
客先の使用性の観点から、同種の機器及び建屋は、平行または線対称・点対称に整列配置することが多い。また、複数の機器から構成される付帯設備などは、互いの位置関係を含めてパッケージ化できることが多い。このような機器及び建屋は、互いの位置関係を保てるグループ配置とする。
(b)連結配置
例えば、タービンと発電機は、シャフトで連結されている。このような機器及び建屋は、互いの連結関係を保てるグループ配置とする。
(c)任意形状
機器及び建屋の形状によっては、長方形または円形の図形要素では不都合な場合がある。このような場合は、複数の図形要素を組み合わせたグループ配置により、任意形状の機器及び建屋を表現できる。
(4)敷地条件
建設用地の形状と寸法を設定する。そして、燃料供給点、送電端、取水口、放水口など、敷地PS外の設備との取合い点Tの位置を設定する。これらは、電力会社の立地計画から指定される。
<コーディング法>
本実施例では、プラント配置問題を機器及び建屋の配置順序を決めるスケジュール最適化と、それらを配置する際の向き、空隙、配置位置を決める組合せ最適化との混合問題に置き換えて考える。このようにして、設計空間を狭めて効率的に解探索することで計算の高速化をはかる。
GAの染色体の一例を表2に示す。まず、染色体の長さは、機器及び建屋の数と等しくする。ただし、グループ配置の機器及び建屋は、ひとまとめにして数える。そして、染色体の各遺伝子座を機器及び建屋の識別番号、それぞれの機器及び建屋の向き、空隙、配置位置の組で表す。
向きの遺伝子は、機器及び建屋の適切な配置角度を得るために設けている。図3に示すように、原点を基準として、遺伝子0番を0°、遺伝子1番を180°、遺伝子2番を90°、遺伝子3番を270°として定義する。また、機器及び建屋に応じて遺伝子番号の可動値を限定することで、配置角度の固定や角度変化の指定もできる。
空隙の遺伝子は、機器及び建屋間の適切なメンテナンススペースを得るために設けている。機器及び建屋の外形本体の外側にm個のメンテナンススペース枠を用意し、機器及び建屋毎に前後左右の4方向に対して各メンテナンススペースの空隙距離を設定する。例えば、3個のメンテナンススペース枠の場合は、図4に示すように、遺伝子0番をメンテナンススペースなし(機器及び建屋の外形本体)、遺伝子1番をメンテナンススペースAの領域、遺伝子2番をメンテナンススペースBの領域として定義する。
配置位置の遺伝子は、機器及び建屋の適切な配置場所を得るために設けている。敷地PS上の任意の場所に配置位置を設けられるが、上述の取合い点Tを含めると合理的な配置が得られやすい。n個の配置位置に対して遺伝子0番から遺伝子n-1番まで割り当て、該当する遺伝子番号の配置位置と機器及び建屋の中心点との距離が最短となる場所に配置する。
図5は、更地に機器(建屋)を向き0°、メンテナンススペースAの領域で取合い点TA(遺伝子0番)に隣接して配置する場合を表した一例である。
次に、染色体を表現体に変換する規則として基数列を用いる。基数列は、機器及び建屋の識別番号と対応付ける任意の自然数列であり、機器及び建屋を敷地PS内に配置する順番を規定する。機器及び建屋の識別番号の並びを染色体の遺伝子列とし、この数列の順番に該当する番号の列位にある基数列の番号を取り出し、表現体の遺伝子列に並び替える。例えば、表2の染色体の遺伝子列は(1、3、4、0、2、5)なので、基数列が(0、1、2、3、4、5)のとき、表現体の遺伝子列は(1、3、4、0、2、5)となる。また、基数列が(5、4、3、2、1、0)のときには、表現体の遺伝子列は(4、2、1、5、3、0)となり、基数列が(3、2、5、0、4、1)のときには、表現体の遺伝子列が(2、0、4、3、5、1)となる。
以下、この表現体により機器及び建屋を配置する場合に適用する一組の配置ルール(配置ルールセット1)を説明する。
<配置ルールセット1>
配置ルール1-1
表現体の遺伝子列の順番に従って、該当する識別番号の機器及び建屋をその遺伝子座の持つ向き、空隙、配置位置に合わせて敷地PS内に配置していく。
配置ルール1-2
配置ルール1-1の規則に従って配置する際に、既に配置された機器及び建屋の遺伝子番号に応じたメンテナンススペースの領域と、これから配置する機器及び建屋の遺伝子番号に該当するメンテナンススペースの領域とが干渉しないように配置する。もし、敷地PS内に配置できる場所がない場合は、敷地PS外にはみ出して配置する。ただし、敷地PS外にはみ出す部分の機器及び建屋の外形面積が最小になる位置とする。
配置ルール1-3
配置ルール1-2のメンテナンススペースに関する規則を守りつつ、これから配置する機器及び建屋の遺伝子番号に該当する配置位置と機器及び建屋の中心点との距離が最短になる場所に配置する。もし、最短距離の位置が複数ある場合は、この中から無作為に選ぶなお、グループ配置の場合は、構成される機器及び建屋のそれぞれで距離を求め、より短い方を代表距離とする。
<配置制約の考慮>
ここまでに説明したコーディング法では、配置制約を満たせない個体も生成される。そこで、配置制約を守れるように拘束条件を加える。様々な配置制約を、以下の3タイプに分類する。そして、機器及び建屋毎に前後左右の4方向に対して各配置制約タイプの空隙距離を設定する。ここで、同じ配置制約タイプに属する配置制約が複数ある場合は、空隙距離が最大のもので代表する。
図6は機器(建屋)Aの各配置制約タイプの領域(破線枠)に対して、敷地境界線SBと他の機器(建屋)Bとの干渉関係を示している。
(1)配置制約タイプA
図6(a)のタイプであり、本領域が敷地PS外へはみ出すことを禁ずるが、本領域に他の機器及び建屋が侵入することを許す。このタイプは、騒音などの環境規制に見られる。
(2)配置制約タイプB
図6(b)のタイプであり、本領域が敷地PS外へはみ出すことと、本領域に他の機器及び建屋が侵入することを禁ずる。このタイプは、危険物や可燃物などの安全規制に見られる。
(3)配置制約タイプC
図6(c)のタイプであり、本領域が敷地PS外へはみ出すことを許すが、本領域に他の機器及び建屋が侵入することを禁ずる。このタイプは、扉や通路などの保安規則に見られる。
次に、配置制約を考慮して、機器及び建屋の配置を進める際の段取りを説明する。
ステップ1
既に配置された機器及び建屋の配置制約と、これから配置する機器及び建屋の配置制約に基づいて、敷地PS内から配置制約を守れる配置可能区域を抽出する。この配置可能区域の中で、上述の配置ルール1-1から配置ルール1-3の規則に従って機器及び建屋を配置する。
ステップ2
もし、ステップ1にて配置制約を守れる配置可能区域がない場合は、これから配置する機器及び建屋の配置制約を取り除いて同区域を再抽出し、前述の配置ルール1-1から配置ルール1-3に従って機器及び建屋を配置する。
ステップ3
もし、ステップ2でも配置可能区域がない場合は、既に配置されている機器及び建屋の配置制約も全て取り除いて、前述の配置ルール1-1から配置ルール1-3の規則に従って機器及び建屋を配置する。
ステップ4
もし、ステップ3でも敷地PS内に配置できる場所がない場合は、敷地PS外にはみ出して配置する。ただし、敷地PS外にはみ出す部分の機器及び建屋の外形面積が最小になる位置とする。
次に、表1のプラントデータを参照し、図7の機器及び建屋と敷地PSの設計情報における配置の表現型を説明する。ただし、識別番号2と識別番号3の機器は、それぞれグループ配置とする。そして、メンテナンススペースAとBの空隙距離は、全ての機器及び建屋に対して、それぞれ前後左右ともに4mと8mに設定する。また、配置制約タイプAの空隙距離は、識別番号0と識別番号1の機器に対して前後左右ともに6m、配置制約タイプBの空隙距離は、識別番号0と識別番号1と識別番号4の機器に対して前後左右ともに2m、識別番号2と識別番号3の機器に対して前に4mと後左右に2m、配置制約タイプCの空隙距離は、識別番号5の建屋に対して前後左右ともに2mに設定する。
ここでは、基数列が(0、1、2、3、4、5)のとき、表2の染色体[表現体の遺伝子列(1、3、4、0、2、5)]における配置過程を図8(a)から(f)に示す。ここで、配置する機器及び建屋の周囲の実線枠は各メンテナンススペースの領域、破線枠は各配置制約タイプの領域を示す。
<遺伝的演算法>
本提案手法によるGAの解探索の流れを説明する。まず、予め設定された数の個体と、基数列を無作為に生成する。このとき、機器及び建屋の識別番号の重複が発生しないようにする。そして、以下の項で説明する評価・選択、交叉、突然変異および外来種突然変異の進化計算を経て、準最適な配置解を探索する。なお、交叉、突然変異および外来種突然変異は、機器及び建屋の識別番号の重複が起こらない方法とし、致死遺伝子が生じないようにする。また、計算の高速化のため、過去の計算履歴を所定の世代数さかのぼり、同じ表現体の遺伝子列となる個体が生成された場合は、計算履歴にある配置解を参照し、その個体の計算を省略してもよい。
(1)評価・選択
各個体の適合度Fは、複数の評価関数を数1によりスカラー化し、数4のようにシグモイド関数を用いて非負関数にスケーリングして算出する。そして、適者生存の選択には、適合度比例方式によるルーレット選択と、最良個体を次の世代に残すエリート保存選択とを併用する。
ここで、Fは式(1)のスカラー化関数値、aとbは個体分布に適応させる可変パラメータである。添え字kは個体番号である。
<交叉>
交叉の対象は、機器及び建屋の配置順序に対する遺伝子列と、その他の遺伝子列(向き、空隙、配置位置)とし、それぞれ所定の交叉率で行う。前者の交叉方法を図9に示す。交叉点CPは無作為に決められる一点交叉とする。そして、子個体O1は、親個体P1の遺伝子番号の順序を交叉点CPまでは継承し、その後は親個体P1から既に選ばれた遺伝子番号を取り除いた順序を継承する。同様に、子個体O2は、親個体P2の遺伝子番号の順序を交叉点CPまでは継承し、その後は親個体P1から既に選ばれた遺伝子番号を取り除いた順序を継承する。後者の交叉方法は、無作為に複数の交叉点CPを定め、交叉点CPを境に遺伝子番号を交換する多点交叉とする。
<突然変異>
突然変異の対象は、機器及び建屋の配置順序に対する遺伝子列と、その他の遺伝子列(向き、空隙、配置位置)とし、それぞれ所定の突然変異率で発生させる。前者の突然変異は、図10に示す2種類の方法による。図10(a)は任意位置の遺伝子番号の隣接順序を交換する方法、図10(b)は任意に選ばれる2つの遺伝子番号を交換する方法である。後者の突然変異は、無作為に選ばれる要素の遺伝子番号を変化させる通常の突然変異とする。
<外来種突然変異>
プラント配置問題では、同程度の適合度でも大きく異なる配置解が存在するので、一般に、基数列の設定によっては早期収束するという問題が生じる場合がある。本実施例では、この問題を避ける新たな工夫として、十数世代の進化毎に、基数列を今までに見つかった最良個体の表現体の遺伝子列と入れ替える。そして、最良個体の染色体を新しい基数列に順応させ、残りの個体は同じ染色体でも異なる表現体を取るようにする。
この方法によれば、大域的最適解では染色体の遺伝子列が全て(0、1、2、3、4、5)と並ぶことにより、結果の合理性を確認することができ、また、多様な配置解を得ることができる。
図11は、本実施例のプラント設計支援システム100を表す模式図である。本実施例のプラント設計支援システム100は、図1のコンピュータ知能領域ICに対応している。
図11において、プラント設計支援システム100は、入力装置101、出力装置102、演算装置110及びデータベースとしての記憶装置120を有している。
入力装置101は、コンピュータへの入力に通常用いられるキーボードおよびマウスであり、演算装置110への演算の指示と記憶装置120へのデータ入力のために用いられる。
出力装置102は、コンピュータからの出力内容の表示に通常用いられる液晶モニタであり、入力項目、入力データ、基数列、配置解及びこれに対応する配置図等を表示するために用いられる。
演算装置110は、パーソナルコンピュータ等において通常用いられているCPU、ドライバ等であり、各種の数値的演算のほかに、入力装置101からの入力および出力装置102への出力、記憶装置120へのデータの記録及び読み取り等の各種の制御を行う。
演算装置110は、最適解探索部111と制御部119とを備えており、最適解探索部111は、基数列及び初期染色体作成部112、ルールベース配置部113、評価部114、適応度計算部115、親選択部116、交差及び突然変異発生部117、生き残り選択部118を備えている。
記憶装置120は、データベースとしての機能を備えており、プラントデータ記憶部121、配置設計データ記憶部122、評価関数値記憶部123、希求水準値記億部124、配置ルール記憶部125、制約条件記憶部126、基数列記憶部127及び配置解記憶部128を有している。特に、3次元配置解を探索する場合には、プラントデータ記憶部121が3次元配置位置データ記憶部121aを備えるものとなる。
本実施例に係るプラント設計支援システム100を使用してプラント設計の準最適解(満足解)を得るには、まず設計者が、出力装置102に表示されている入力項目を、入力装置101から入力する。この入出力の制御は、制御部119が行う。
設計者が入力装置101から入力するデータは、プラントデータ、配置設計データ、評価関数、希求水準値、配置ルール及び制約条件等であり、入力されたデータは、それぞれ、プラントデータ記憶部121、配置設計データ記憶部122、評価関数値記憶部123、希求水準値記億部124、配置ルール記憶部125及び制約条件記憶部126に記録される。
次に、図12を参照して、本実施例におけるプラント設計支援の流れを説明する。
本実施例における配置最適化は、最適解探索部111が遺伝的アルゴリズム(GA)により行う。まず、基数列及び初期染色体作成部112がランダムな数列として基数列を作成して、基数列記憶部127に記録し(S201)、続けて、基数列及び初期染色体作成部112が複数の初期染色体を作製して、配置解記憶部128に記録する(S202)。
さらに、ルールベース配置部113が、プラントデータ記憶部121に記録されているプラントデータ、配置設計データ記憶部122に記録されている配置設計データ、配置ルール記憶部125に記録されている配置ルールデータ及び制約条件記憶部126に記録されている制約条件データを読み出して、これらの配置ルール及び制約条件に基づいて各初期染色体データに対応したルールベース配置を試行し、条件を満たす配置解を配置解データとして配置解記憶部128にそれぞれ記録する(S203)。
そして、評価部114が配置解記憶部128に記録されている各配置解データを、評価関数値記憶部123に記録されている各評価関数に基づいて評価し(S204)、各配置解データと紐づけて各評価関数値データを配置解記憶部128にそれぞれ記録する。
その後に、適応度計算部115が、希求水準値記億部124に記録されている希求水準データと配置解記憶部128に記録されている各配置解データと紐づけられた各評価値データとをそれぞれ読み出し、これらを比較して各配置解の適応度を計算し、各配置解データとさらに紐づけて適応度データとしてそれぞれ配置解記憶部128に記録する(S205)。
さらに、親選択部116が、配置解記憶部128に記録されている各配置解データのうち、適応度データの適応度が高い配置解データP1、P2を選択して親世代として読み出し(S206)、その上で、交差及び突然変異発生部117が、選択された親世代の各配置解データに対して、交差の操作によって生じた子世代配置解データO1、O2を子世代の配置解データとして配置解記憶部128に記録し(S207)、さらに、交差及び突然変異発生部117が、配置解記憶部128に記録されているこれらの子世代配置解データO1、O2を呼び出し、突然変異の操作によって生じた子世代の個体データO’を、それぞれ子世代の配置解データとして配置解記憶部128に記録する(S208)。
ここで、ルールベース配置部113が、配置解記憶部128に記録されている各子世代の配置解データを読み出し、更に、プラントデータ記憶部121に記録されているプラントデータ、配置設計データ記憶部122に記録されている配置設計データ、配置ルール記憶部125に記録されている配置ルールデータ及び制約条件記憶部126に記録されている制約条件データを読み出して、これらの配置ルール及び制約条件に基づいて各子世代の配置解データに対応したルールベース配置を試行し、条件を満たす配置解を配置解データとして配置解記憶部128にそれぞれ記録する(S209)。
そして、評価部114が配置解記憶部128に記録されている各子世代の配置解データを各評価関数に基づいて評価し(S210)、各子世代の配置解データと紐づけて各評価値データを配置解記憶部128にそれぞれ記録する。
その後に、適応度計算部115が、希求水準値記億部124に記録されている希求水準データと配置解記憶部128に記録されている各配置解データと紐づけられた各評価値データとをそれぞれ読み出し、これらを比較して各子世代の配置解の適応度を計算し、各子世代の配置解データとさらに紐づけて適応度データとしてそれぞれ配置解記憶部128に記録する(S211)。
ここで、生き残り選択部118が、配置解記憶部128に記録されている各子世代の配置解データを読み出し、適合度に応じて適者生存の選択により生き残る子世代の配置データを選択し、配置解記憶部128に記録する(S212)。
以上のプロセスを予め設定されているn世代が生じるまで繰り返すこととし、n世代に至っていない場合にはS206に戻ってループし、n世代に達した場合には、S201に戻って基数列作成から再度同じプロセスを繰り返す。
ここまで説明してきた方法により、図7に示した例(表1のプラントデータを参照)を用いて、多目的最適配置解を探索した。本実施例によるGAの組合せ総数は、機器及び建屋の数p、空隙の遺伝子数m、配置位置の遺伝子数nとすると、向きの遺伝子数は4なので、(4×m×n)×p!となる。図7の例では、機器及び建屋の数が6、空隙の遺伝子数が3、配置位置の遺伝子数が3なので、組合せ総数は25920通りとなる。
ここでの計算条件は、配管・ダクトの総費用f1とケーブルの総費用f2の優先度が高いケース1と、メンテナンススペースの干渉面積f3の優先度が高いケース2とする。具体的には、ケース1の各希求水準値をf1=$32000、f2=$8000、f3=1344平方メートルとし、ケース2の各希求水準値をf1=$41600、f2=$35200、f3=200平方メートルとする。そして、乱数を変えてそれぞれ10回の試行計算を実行した(初期個体も乱数により異なる)。なお、GAの各種パラメータは、個体数30、交叉率60%、突然変異率10%とし、外来種突然変異を15世代毎に付加しながら、最適配置解が得られる世代数まで解探索を継続する。
ケース1とケース2の各10回の試行計算は、ケース1が平均72(最小53、最大90)世代目、ケース2が平均136(最小85、最大172)世代目に、何れも各希求水準を満たす最適配置解の候補を得ることができた。
ケース1で探索した配置解データを演算装置110が変換して出力装置102に出力した配置図を図13(a)に示し、ケース2で探索した配置解データを演算装置110が変換して出力装置102に出力した配置図を図13(b)に示す。両者ともに全ての配置制約を満足していること、ケース1はケース2よりもコンパクトな配置になるなど、希求水準に応じて適切な最適配置解が得られた。
このような小規模な問題ですら、設計者が希求水準に応じて最適配置解を試行錯誤により求めることは、相応の時間と労力を要するが、本実施例に係るプラント設計支援システム100を東芝製ノートパソコンdynabook(登録商標)SSRX2(プロセッサ動作周波数1.20GHz)内に構築して実施したところ、1世代当たりの計算時間は、4分程度で終了した。
次に、実施例2として、本発明に係るプラント設計支援システム100により、プラントを構成する機器及び建屋を敷地PS内に2次元配列する他の場合について、実施例1と異なる点を中心に説明する。
本実施例においては、目的関数として配管コストのみを採用している。また、制約条件として、機器サイズ、保有スペース、現地組み立て機器の指定、機器のグループ、プロセスの順序、敷地条件、ポンプ配置、現地組み立て順序(重機の使用)、配管ラックRCの位置、機器高さ条件などを総合的に考慮する必要があることから、配置ルールを新たに設定している。
図14は、この新たな一組の配置ルール(配置ルールセット2)を示す図である。
<配置ルールセット2>
まず、配置ルールセット2に係る共通ルールとして(1)取り合い点に近いところから配置する(距離はコストを乗じる)、(2)中心点を移動操作点とする、(3)円でない場合は、90度回転して、距離的に短い方を選択する、というルールに従うものとする。
次に、配置ルール2-0として、図14(a)に示すように、(1)メンテナンススペースは外部道路RDとは重なることは可能、(2)メンテナンススペースはラックRCとは重なってはいけない、(3)メンテナンススペースは大きい方を優先する、というルールを設定する。
同様に、配置ルール2-1として、図14(b)に示すように、(1)メンテナンススペースは外部道路RDとは重なることは可能、(2)メンテナンススペースはラックRCとは重なってはいけない、(3)メンテナンススペースは大きい方を優先する、(4)必ず1面が外部道路RDと接する、というルールを設定する。
さらに、配置ルール2-2として、図14(c)に示すように、(1)メンテナンススペースは重ならない、(2)外部道路RDとも重ならない、(3)熱交換器HE独自のルールを付与する、というルールを設定する。
そして、配置ルール2-3として、図14(d)に示すように、(1)メンテナンススペースも重ならない、(2)外部道路RDとも重ならない、というルールを設定する。
さらに、配置ルール2-4として、図14(e)に示すように、(1)メンテナンススペースは重ならない、(2)外部道路RDとも重ならない、(3)ラックRCに近接して配置、(4)機器からエリア端部までスペース確保、というルールを設定する。
なお、配置ルール2-4は、配置ルール2-0から配置ルール2-3までの配置ルールに対し、更に「工事スペース」や「重機が入る」という制約条件を考慮して、加えたルールである。
図15は、本実施例を適用する敷地条件の概要を示している。
図16は、配置ルールセット2のうち、配置ルール2-0から配置ルール2-3までを適用して得た配置解の例である。この解は、機器及び建屋が物理的に配置可能な案になっているものの、「工事スペース」や「重機が入る」という制約条件が適用されていないため、実際にプラントを建設する上では、必ずしも適切な解となっていない。
図17は、配置ルールセット2のうち、配置ルール2-0から配置ルール2-4までのすべてのルールを適用して得た配置解の例である。この解は、「工事スペース」や「重機が入る」という制約条件を満たすことが、破線で囲んだ領域に顕著に表れている。
次に、本発明に係るプラント設計支援システム100により、プラントを構成する機器及び建屋を敷地PS内に2次元配列する他の場合について、実施例1および実施例2と異なる点を中心に説明する。
本実施例では、図18乃至図24に示すように、実施例1および実施例2の場合とは異なる一組の配置ルール(配置ルールセット3)を適用している。配置ルールセット3の内容は、以下の通りである。
<配置ルールセット3>
配置ルール3-0として、図18に示すように、配置可能領域について、(1)基本的に、サブスペースの内側に3mの領域を確保する、(2)ラックRCスペースは中央に配置する、(3)ラックRCスペースから1.5mのメンテナンススペースを配置する。ただし、ポンプをラックRCの外に置く場合はそのスペースを用意する、(4)さらに、サブスペースの周囲は4mの道路RDを配置する。
配置ルール3-1として、図19に示すように、リアクタ配置について、(1)ラックRCに隣接し(ただし、1.5mメンテナンススペースおよびポンプスペースは確保する)、リアクタの中心が並ぶように配置する、(2)中心線CLは、一番大きいものが選ばれるまではその大きさに、選ばれて以降は、逆の領域に関してはその次に大きいものに設定しておく。
配置ルール3-2として、図20に示すように、カラムCMについて、(1)ラックRCに隣接し(ただし、1.5mメンテナンススペースおよびポンプスペースは確保する)、カラムCMの面が合うように配置する。
配置ルール3-3として、図21に示すように、コンプレッサについて、(1)メンテナンススペースとして各機器に3mを確保する。そのうえで例えば3つならば、それが並ぶように設定する。そのためには、最初の一つに対して例えば3つ分必要なメンテナンススペースをつけて配置し、その後、それに連なるように残りの機器を配置する。
配置ルール3-4として、図22に示すように、リボイラについて、(1)コラムにはリボイラが付く場合と付かない場合がある。1つ付く場合は、コラムと中心を合わせ、ラックRCの反対側に配置する。2つ付く場合は、45度開き、中心線CLに対称にして、ラックRCの反対側に配置する。
配置ルール3-5として、図23に示すように、熱交換器HEについて、(1)メンテナンススペースをラックRC側にとり、接続する機器と中心を合わせて配置する。配置できないときは、取り合い点から離れた方向に配置できるまで移動する。
配置ルール3-6として、図24に示すように、2つの機器を図24の左図のように中心を合わせて配置すると、接続が遠回りする場合について、(1)図24の右図のように、中心をずらして配置する。元の機器を配置するときに、子の機器の配置スペースを確保したのちに配置する。
以上の配置ルールセット3を適用することにより、設計者にとって更に満足度が高い準最適解(満足解)を得ることができた。
次に、実施例4として、本発明に係るプラント設計支援システム100により、プラントを構成する機器及び建屋を敷地PS内に3次元配列する場合について、実施例1乃至実施例3と異なる点を中心に説明する。
図25は、本実施例に係る敷地条件を示す図である。本実施例においては、敷地PS内に建屋及び機器を配置する場合の準最適解(満足解)を得るために、図15に示した敷地条件のうち、単一の矩形状の敷地PSを対象とし、この敷地PSを仮想的な複数の階層(本実施例では1Fから3Fまでの3階層)に分けて、それぞれの仮想的な階層に建屋及び機器を配置するものとして扱う。
上述のように敷地PSを複数の階層に分けることにより、配置される機器の平面上の占有面積が同じであっても、その高さによって、単一の層(例えば1F)のみを占有するのか、複数の階層(例えば1Fと2F)にまたがって配置されるのかについて異なる事象として取り扱うことができる。
例えば、図25において、円形の機器CE1は、背が低いため配置時に1Fのみの空間を占有しており、矩形の機器REは、背が高いため配置時に1Fと2Fにまたがって配置されるため、1Fと2Fの対応する空間を占有していることを示している。
図25においては、背の高い矩形の機器REが1Fに配置されたことにより、1F及び2Fを占有していることを示しており、さらに、円形の機器CE3が3Fの、矩形の機器REの直上の位置に配置されていることを示している。
このように、下の階層(例えば1Fと2F)に、既に機器が配置されていても、その直上の階層(たとえば3F)は占有されていないため、他の機器を配置する配置解として採用され得る。この結果、配置解の探索空間が大幅に拡大することになる。
このような複数の階層にまたがる機器の配置をデータ処理するために、プラントの配置可能な空間を縦方向には複数の階層で複数の平面に分割し、各平面は一定の間隔でグリッド化している。
このために、演算装置110は最適解の探索を始める前に、敷地データに対応するプラント敷地PSの上の配置可能空間を複数の仮想的階層平面に分割して順序尺度により示す配置階層データと該仮想的階層平面内における配置位置を比例尺度により表す2次元配置位置データとの組により機器の3次元的な配置位置を示す3次元配置位置データを作成して、プラントデータ記憶部121内の3次元配置位置データ記憶部121aに記録することとなる。
その後に、この3次元配置位置データを用いて、敷地PSの上の配置可能空間内の各配置位置に既に他の機器に占有されているか、既に他の機器のメンテナンススペースとなっているか、あるいは機器が配置可能か、という配置状態をデータ処理する。
すなわち、敷地PSの上の配置可能空間内の各配置位置を配列で構成し、配置可能なところにはあらかじめ0を入力しておき、配置解に対応する機器の配置状況に応じて、この数値を変化させる。
図25に示すような複数の階層に分けた敷地PS内に、機器及び建屋を3次元的に配置するための配置解を得るためには、実施例3として説明した、2次元に配置する場合のルールセット3に加えて、3次元配置用のルールセット(配置ルールセット4)が必要となる。配置ルールセット4の内容は、以下の通りであり、その配置例を図26に示す。
<配置ルールセット4>
配置ルール4-1:リボイラ(再加熱機)は主の設備と近接して配置する。
配置ルール4-2:Top-To―Topの接続機器階層が異なっても中心をずらして配置する。
配置ルール4-3:機器は、境界より一定距離離れて配置する。
配置ルール4-4:中心線CLを揃えて配置する。
配置ルール4-5:指定機器の道路側には他の機器を置かない(メンテナンス、搬出スペースの確保のため)。
配置ルール4-6:ポンプは、接続する機器の配管長が一定値以内なら集約して(パイプラックRC下に)配置する。
配置ルール4-7:同じグループの機器は、パイプラックRCをまたがず配置する。
配置ルールセット3に加え、配置ルールセット4を適用して3次元配列に関する配置解を探索した結果、図27に示す準最適解(満足解)を得ることができた。
本実施例では、機器及び建屋を3次元的に配置するための配置解を得るために、実施例3における配置ルールセット4に替えて、下記の配置ルールセット5を適用した。ところ、図28に示すように希求水準を満たす準最適解(満足解)を得ることができた。
<配置ルールセット5>
<基本配置ルールセット>
配置ルール5-A(直方体の配置):与えられた階層(複数選択可)すべてのグリッドで直方体の内部が0ならばそこに直方体を配置できる。メンテナンススペースにはx、実スペースにはyの値をいれる。ただし、y>xとする。
配置ルール5-B(球の配置):与えられた階層(複数選択可)すべてのグリッドで球体の内部が0ならばそこに球体を配置できる。メンテナンススペースをx、球体内部をyとする。
配置ルール5-C(円柱の配置):与えられた階層(複数選択可)すべてのグリッドで円柱の内部が0ならばそこに円柱体を配置できる。メンテナンススペースをx、円柱体内部をyとする。
配置ルール5-D(複合体の配置):与えられた階層(複数選択可)すべてのグリッドで複合体の内部が0ならばそこに球体を配置できる。メンテナンススペースをx、球体内部をyとする。なお、複合体とは円柱の上下に半球体がついたものである。
<特殊配置ルールセット>
配置ルール5-0(内部に配線がない場合):メンテナンススペースは外部の道路とは重なることは可能。メンテナンススペースはラックRCとは重なってはいけない。メンテナンススペースは大きい方を優先する。取り合い点に近いところから配置する(距離はコストを乗じる)。中心点を移動操作点とする。円でない場合は90°回転して距離の近い方を選択する。
配置ルール5-1(内部に配線がない場合):メンテナンススペースは外部の道路とは重なることは可能。メンテナンススペースはラックRCとは重なってはいけない。メンテナンススペースは大きい方を優先する。取り合い点に近いところから配置する(距離はコストを乗じる)。必ず1面が外部の道路と接する。中心点を移動操作点とする。円でない場合は90°回転して距離の近い方を選択する。
メンテナンススペースも重ならない。取り合い点に近いところから配置する。中心点を移動操作点とする。円でない場合は90°回転して、距離的に短い方を選択する。
配置ルール5-2(内部に配線がない場合):メンテナンススペースは重ならない。取り合い点に近いところから配置する。中心点を移動操作点とする。円でない場合は90°回転して距離的に短い方を選択する。1mごとに格子点を設け基本的には格子点情報を利用する。
配置ルール5-3(カラムCMのためのルール):メンテナンススペースも重ならない。取り合い点に近いところから配置する。中心点を移動操作点とする。円でない場合は90°回転して、距離的に短い方を選択する。ラックRCに近接するように配置する。エリアの端まではメンテナンススペースとして各階層でスペースを確保する。
配置ルール5-4(グループ化):隣接してほしい機器同士でグループ化する。
配置ルール5-5(グループ間の間隔):グループ間には適切な間隔をとるよう指定する。
配置ルール5-6(多層化):同一グループの機器の上下関係を指定する。
配置ルール5-7(リアクタの配置):ラックRCに隣接させメンテナンススペースをとる。ポンプスペースを確保する。リアクタの中心が並ぶように配置する。中心線CLは一番大きいものが選ばれるまでのその大きさに設定する。一番大きいものが選ばれた以降は逆の領域に関してはその次に大きいものに設定しておく。
上述の配置ルールセット5を適用したところ、図28に示すように希求水準を満たす準最適解(満足解)を得ることができた。
以上説明したように、これらの実施例に係るプラント設計支援システム100によれば、プラント敷地PSの条件を示す敷地データおよび配置する機器の条件を示す機器データを含むプラントデータとプラント設計が達成すべき条件を示す希求水準データと機器を配置する際に順守すべき複数のルールからなる配置ルールセットデータを入力するための入力装置101と、敷地データに対応するプラント敷地PSへの機器データに対応する機器の配置方法を示す配置解データを作成する演算装置110と、入力装置101から入力されたプラントデータ、希求水準データ及び配置ルールセットデータと演算装置110が作成した配置解データとを記憶する記憶装置120と、記憶装置120に記録された配置解データを出力するための出力装置102とを備え、配置解データを出力装置102に出力することによりプラントの設計を支援するばかりか、各実施例に固有の構成により、以下の各実施例に固有の効果を奏することができる。
これらの実施例に係るプラント設計支援システム100によれば、演算装置110が、遺伝的アルゴリズムにより最適配置解を探索する最適解探索部111を備え、記憶装置120が、敷地データに対応するプラント敷地の上の配置可能空間を複数の仮想的階層平面に分割して順序尺度により示す配置階層データと該仮想的階層平面内における配置位置を比例尺度により表す2次元配置位置データとの組により機器の3次元的な配置位置を表す3次元配置位置データを記憶する3次元配置位置データ記憶部121aを備えていることにより、少数の入力データに基づいて3次元最適配置解を探索するため、最適な3次元配置解の候補を迅速に提示して設計者を支援することができる。
また、これらの実施例に係るプラント設計支援システム100によれば、最適解探索部11が、配置ルールセットデータに基づいて最適配置解を探索するため、専門的な設計知識や膨大な配置ノウハウを予めコンピュータに記憶させることを必要とせず、最適な3次元配置解の候補を提示して設計者を支援することができる。
さらに、これらの実施例に係るプラント設計支援システム100によれば、最適解探索部11が、配置ルールセットデータを構成する個々のルールを順に適用して最適配置解を探索することにより、適合度が高い解を優先的に探索するため、適応度が高い3次元配置解を優先的に提示して設計者をいっそう支援することができる。
そして、これらの実施例に係るプラント設計支援システム100によれば、最適解探索部111が、希求水準データとして入力された配管物量に基づいて配置解データの適合度を算出することにより、配管物量が小さい3次元配置解を選択的に探索するため、配管コストが小さい3次元配置解を選択的に提示して設計者をよりいっそう支援することができる。
以上、本発明に係るプラント設計支援システムについて実施例を用いて説明したが、本発明は、これらに限定されるものではない。
本発明は、その主旨を逸脱しない範囲で当業者の知識に基づき種々の改良、修正、変更を加えた態様で実施できるものである。
本発明に係るプラント設計支援システムは、機器及び建屋をプラント敷地内に配置するプラント設計の支援を行うプラント設計支援システムとして用いることができる。
100・・・プラント設計支援システム
101・・・入力装置
102・・・出力装置
110・・・演算装置
111・・・最適解探索部
112・・・基数列及び初期染色体作成部
113・・・ルールベース配置部
114・・・評価部
115・・・適応度計算部
116・・・親選択部
117・・・交差及び突然変異発生部
118・・・生き残り選択部
119・・・制御部
120・・・記憶装置
121・・・プラントデータ記憶部
121a・・3次元配置位置データ記憶部
122・・・配置設計データ記憶部
123・・・評価関数値記憶部
124・・・希求水準値記億部
125・・・ 配置ルール記憶部
126・・・制約条件記憶部
127・・・基数列記憶部
128・・・配置解記憶部
DK・・・・設計者知識領域
IC・・・・コンピュータ知能領域
RP・・・・基準点
RE・・・・矩形の機器及び建屋
CE・・・・円形の機器及び建屋
P/C・・・配管またはケーブル
NMS・・・メンテナンススペースなし
MSa・・・メンテナンススペースa
MSb・・・メンテナンススペースb
T、T1、T2、T3、TA、TB、TC・・・・・・取合い点
SB・・・・敷地境界線
CA・・・・配置制約領域
PS・・・・プラント敷地
CP・・・・交叉位置
RC・・・・ラック
RD・・・・外部道路
CL・・・・中心線
PD・・・・公道
HE・・・・熱交換器
CM・・・・カラム

Claims (4)

  1. プラント敷地の条件を示す敷地データおよび配置する機器の条件を示す機器データを含むプラントデータとプラント設計が達成すべき条件を示す希求水準データと機器を配置する際に順守すべき複数のルールからなる配置ルールセットデータを入力するための入力装置と、
    前記敷地データに対応するプラント敷地への前記機器データに対応する機器の配置方法を示す配置解データを作成する演算装置と、
    前記入力装置から入力された前記プラントデータ、前記希求水準データ及び前記配置ルールセットデータと前記演算装置が作成した前記配置解データとを記憶する記憶装置と、
    前記記憶装置に記録された前記配置解データを出力するための出力装置と
    を備え、
    前記配置解データを前記出力装置に出力することによりプラントの設計を支援するプラント設計支援システムであって、
    前記演算装置が、遺伝的アルゴリズムにより最適配置解を探索する最適解探索部を備え、
    前記記憶装置が、前記敷地データに対応する前記プラント敷地の上の配置可能空間を複数の仮想的階層平面に分割して順序尺度により示す配置階層データと該仮想的階層平面内における配置位置を比例尺度により表す2次元配置位置データとの組により機器の3次元的な配置位置を表す3次元配置位置データを記憶する3次元配置位置データ記憶部を備えていることを特徴とするプラント設計支援システム。
  2. 前記最適解探索部が、前記配置ルールセットデータに基づいて前記最適配置解を探索することを特徴とする請求項1に記載のプラント設計支援システム。
  3. 前記最適解探索部が、前記配置ルールセットデータを構成する個々のルールを順に適用して前記最適配置解を探索することを特徴とする請求項2に記載のプラント設計支援システム。
  4. 前記最適解探索部が、前記希求水準データとして入力された配管物量に基づいて前記配置解データの適合度を算出することを特徴とする請求項1乃至請求項3のいずれか一つに記載のプラント設計支援システム。
JP2020024656A 2020-02-17 2020-02-17 プラント設計支援システム Active JP7422556B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2020024656A JP7422556B2 (ja) 2020-02-17 2020-02-17 プラント設計支援システム

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2020024656A JP7422556B2 (ja) 2020-02-17 2020-02-17 プラント設計支援システム

Publications (2)

Publication Number Publication Date
JP2021128717A JP2021128717A (ja) 2021-09-02
JP7422556B2 true JP7422556B2 (ja) 2024-01-26

Family

ID=77488767

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020024656A Active JP7422556B2 (ja) 2020-02-17 2020-02-17 プラント設計支援システム

Country Status (1)

Country Link
JP (1) JP7422556B2 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102554178B1 (ko) * 2022-11-30 2023-07-11 주식회사 일렉트린 전기추진선박으로 개조하기 위한 공간 최적화 설계 시스템

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004086602A (ja) 2002-08-27 2004-03-18 Dai-Dan Co Ltd 機器配置システム及び機器配置方法及び機器配置プログラム
JP2006330887A (ja) 2005-05-24 2006-12-07 Babcock Hitachi Kk プラント機器配置設計システム

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004086602A (ja) 2002-08-27 2004-03-18 Dai-Dan Co Ltd 機器配置システム及び機器配置方法及び機器配置プログラム
JP2006330887A (ja) 2005-05-24 2006-12-07 Babcock Hitachi Kk プラント機器配置設計システム

Also Published As

Publication number Publication date
JP2021128717A (ja) 2021-09-02

Similar Documents

Publication Publication Date Title
Su Computer aided geometric feasible assembly sequence planning and optimizing
Niu et al. Ship Pipe Routing Design Using NSGA‐II and Coevolutionary Algorithm
Ivson et al. A systematic review of visualization in building information modeling
Koenig et al. Comparing two evolutionary algorithm based methods for layout generation: Dense packing versus subdivision
US8396903B2 (en) Method and system for organizing and retrieving energy information
US11681971B2 (en) Rapid exploration of building design options for ventilation
JP7422556B2 (ja) プラント設計支援システム
JP2011048768A (ja) 最適設計装置
CN115618543B (zh) 机电设备用房图纸自动绘制方法、系统、存储介质和设备
KR102492696B1 (ko) 그리드 기반의 공간 속성 부여를 통한 가시화 디지털 트윈 에너지 관리 장치 및 방법
Fedorova GANs for urban design
Ranjbar Tezenji et al. An integrated model for supplier location-selection & order allocation under capacity constraints in an uncertain environment
Chatzikonstantinou A 3-dimensional architectural layout generation procedure for optimization applications: DC-RVD
Fedorova Generative adversarial networks for urban block design
CN112288845A (zh) 一种热控仪表快速三维建模方法
JP6173885B2 (ja) 工程計画支援装置及び工程計画支援方法
Saad et al. Visually Programming Automated Slab Positioning Tool (ASPT) using Evolutionary Solvers
KR101787473B1 (ko) 용적률 극대화된 건축물 배치를 결정하기 위한 컴퓨터를 이용한 시뮬레이션 방법
Qian et al. A survey of pipe routing design
Mosavi On engineering optimization the splined profiles
JP2016212613A (ja) プラント建設工程変更影響評価装置
Zhang et al. Research and application of intelligent layout design algorithm for 3d pipeline of nuclear power plant
Singh BIM-based automatic piping layout design and schedule optimization
Hoepfner et al. A virtual reality platform that supports integrated design of energy and land-use plans in Brownfield Industrial Parks
Kernohan et al. PHASE: An interactive appraisal package for whole hospital design

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20200303

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20200310

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20200303

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20200310

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230216

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20231212

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20231219

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20240116

R150 Certificate of patent or registration of utility model

Ref document number: 7422556

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150