JP7314016B2 - Method for forming metal oxide thin film - Google Patents

Method for forming metal oxide thin film Download PDF

Info

Publication number
JP7314016B2
JP7314016B2 JP2019189198A JP2019189198A JP7314016B2 JP 7314016 B2 JP7314016 B2 JP 7314016B2 JP 2019189198 A JP2019189198 A JP 2019189198A JP 2019189198 A JP2019189198 A JP 2019189198A JP 7314016 B2 JP7314016 B2 JP 7314016B2
Authority
JP
Japan
Prior art keywords
substrate
processing chamber
metal oxide
thin film
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019189198A
Other languages
Japanese (ja)
Other versions
JP2021064720A (en
Inventor
岳 土渕
逸人 村田
克昌 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiyo Nippon Sanso Corp
Original Assignee
Taiyo Nippon Sanso Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiyo Nippon Sanso Corp filed Critical Taiyo Nippon Sanso Corp
Priority to JP2019189198A priority Critical patent/JP7314016B2/en
Publication of JP2021064720A publication Critical patent/JP2021064720A/en
Application granted granted Critical
Publication of JP7314016B2 publication Critical patent/JP7314016B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

本発明は、金属酸化薄膜の形成方法に関する。 The present invention relates to a method for forming a metal oxide thin film.

半導体デバイスの製作においては、シリコン酸化(SiO)膜やチタニウム酸化(TiO)膜のような化学的に不活性な薄い不動態層が必須である。例えば、シリコン酸化膜は、トランジスタを形成する際、ゲート絶縁膜やサイドウォールスペーサ等に用いられる。 Thin chemically inert passivation layers such as silicon oxide (SiO) and titanium oxide (TiO) films are essential in the fabrication of semiconductor devices. For example, silicon oxide films are used for gate insulating films, sidewall spacers, and the like when forming transistors.

また、近年では、半導体デバイスの微細化や高集積化が進み、集積回路の水平寸法、垂直寸法が縮小し続ける中で、オングストロームオーダーでの膜厚の制御技術や、良好なカバレッジ(被覆)特性を有する薄膜の形成技術が求められている。 In recent years, semiconductor devices have been miniaturized and highly integrated, and as the horizontal and vertical dimensions of integrated circuits continue to shrink, film thickness control technology on the order of angstroms and thin film formation technology with good coverage characteristics are in demand.

これらの要求による金属酸化薄膜の製造は、原料ガスである金属前駆体化合物(プリカーサー)と反応ガスである酸素含有化合物とをそれぞれ交互に処理室へ供給することで行われる。すなわち、基板表面に吸着した前駆体が、熱エネルギーによって、酸素含有化合物と化学反応を生じて薄膜を形成する方法である。なお、このように原料ガスと反応ガスを交互に供給して薄膜を形成する方法は、原子層堆積法(ALD:Atomic Layer Deposition)と呼ばれる。 A metal oxide thin film according to these requirements is produced by alternately supplying a metal precursor compound (precursor) as a source gas and an oxygen-containing compound as a reaction gas to a processing chamber. That is, it is a method in which a precursor adsorbed on the substrate surface undergoes a chemical reaction with an oxygen-containing compound by thermal energy to form a thin film. The method of forming a thin film by alternately supplying source gas and reaction gas is called atomic layer deposition (ALD).

また、ALD法には、反応ガスをプラズマ活性化させて状態で供給する、プラズマ援用方式(PEALD:Plasma Enhanced Atomic Layer Deposition)がある。このプラズマ援用方式では、薄膜を形成する際に成膜温度を低くできるメリットがあるが、下地基板へのダメージの影響が避けられない等のデメリットも存在する。なお、ALD法によるカバレッジ特性は、化学気相成長法(CVD:Chemical Vapor Deposition)よりも良好であることが知られている。 The ALD method also includes a plasma-enhanced atomic layer deposition (PEALD) method in which the reactant gas is plasma-activated and supplied. This plasma-assisted method has the advantage of being able to lower the deposition temperature when forming a thin film, but also has the disadvantage of inevitably causing damage to the underlying substrate. In addition, it is known that the coverage characteristic by the ALD method is better than that by the chemical vapor deposition method (CVD: Chemical Vapor Deposition).

例えば、シリコン酸化膜のCVD方法としては、シリコン前駆体としてモノシラン(SiH)やテトラエトキシシラン(TEOS)、酸素含有化合物としてオゾン(O)や酸素プラズマ(Oラジカル)を用いて、250~800℃の堆積温度で形成できる。しかしながら、堆積温度が低下するに伴い、ステップカバレッジ(段差被覆性)および成膜速度が悪化することが知られている。 For example, as a CVD method for a silicon oxide film, monosilane (SiH 4 ) or tetraethoxysilane (TEOS) is used as a silicon precursor, ozone (O 3 ) or oxygen plasma (O radical) is used as an oxygen-containing compound, and a deposition temperature of 250 to 800° C. can be used. However, it is known that step coverage and deposition rate deteriorate as the deposition temperature decreases.

なかでも、樹脂等の基板上に対してシリコン酸化膜に代表される金属酸化薄膜の堆積を行う場合、基板の耐熱温度が低いことに加え、基板と堆積層との密着性が良好でないことに起因して、段差被覆性および成膜速度の低下が顕著となる。そのため、基板にダメージを与えることなく、速い成膜速度で良質な金属酸化薄膜を形成できるプロセスの開発が求められている。 In particular, when a metal oxide thin film typified by a silicon oxide film is deposited on a substrate made of resin or the like, the step coverage and the film formation speed are significantly lowered due to the low heat resistance temperature of the substrate and the poor adhesion between the substrate and the deposited layer. Therefore, there is a demand for the development of a process capable of forming a high-quality metal oxide thin film at a high deposition rate without damaging the substrate.

そこで、特許文献1には、速い成膜速度で良質なシリコン酸化膜を形成するために、基板温度を550℃以上に加熱する、ALD法による堆積方法が開示されている。 Therefore, Japanese Patent Laid-Open No. 2002-100001 discloses a deposition method by ALD, in which the substrate temperature is heated to 550° C. or higher in order to form a good-quality silicon oxide film at a high film formation rate.

特許第6262702号公報Japanese Patent No. 6262702

しかしながら、特許文献1に記載のシリコン酸化膜の形成方法では、基板温度を高くする必要があるため、サーマルバジェット(熱履歴)の増加や熱応力に起因してデバイス構造を破壊してしまうおそれがある。
また、特許文献1には、550~750℃において、次のALDサイクルにおける反応点を形成することを目的として、水蒸気又はヒドロキシ基(-OH基)の供給源を供給する工程が記載されている。しかしながら、ヒドロキシ基供給源として過酸化水素を用いた場合、500℃を超える温度領域では自己分解が進行するため、特許文献1の温度領域ではヒドロキシ(OH)末端の形成効果を発揮できないという課題がある。また、基板温度が550℃以上となる場合、一般な樹脂材質からなる基板は自身の形状を維持できない。
However, in the method for forming a silicon oxide film described in Patent Document 1, it is necessary to raise the substrate temperature, so there is a risk of destroying the device structure due to an increase in thermal budget (thermal history) and thermal stress.
Patent Document 1 also describes a process of supplying water vapor or a source of hydroxyl groups (--OH groups) at 550-750° C. for the purpose of forming reaction points in the next ALD cycle. However, when hydrogen peroxide is used as a hydroxy group supply source, self-decomposition proceeds in a temperature range exceeding 500° C., so there is a problem that the effect of forming a hydroxy (OH) terminal cannot be exhibited in the temperature range of Patent Document 1. Further, when the substrate temperature is 550° C. or higher, the substrate made of general resin cannot maintain its shape.

本発明は、上記事情に鑑みてなされたものであって、段差被覆率及び成膜速度に優れ、良質な金属酸化薄膜を形成可能な、金属酸化薄膜の形成方法を提供することを課題とする。 SUMMARY OF THE INVENTION It is an object of the present invention to provide a method for forming a metal oxide thin film that is excellent in step coverage and film formation speed and capable of forming a good quality metal oxide thin film.

上記課題を解決するため、本発明は以下の構成を備える。
[1] 基板を処理室内に設置し、前記処理室内の基板を加熱して、前記基板の表面温度を150℃以上500℃以下の範囲内のいずれかの温度に制御しながら、
前記処理室内の前記基板に対して、1以上の金属前駆体化合物を供給する工程と、
前記処理室内の前記基板に対して、1以上の酸素含有化合物を供給する工程と、
前記処理室内の前記基板に対して、1以上のヒドロキシ基供給源を供給する工程と、を含む成膜サイクルを、前記基板上の金属酸化薄膜が所要の膜厚となるまで繰り返す、金属酸化薄膜の形成方法。
[2] 前記酸素含有化合物と前記ヒドロキシ基供給源とが異なる、前項[1]に記載の金属酸化薄膜の形成方法。
[3] 前記成膜サイクルに含まれる各工程を、同時に行う、前項[1]又は[2]に記載の金属酸化薄膜の形成方法。
[4] 前記成膜サイクルに含まれる各工程を、この順序で行う、前項[1]又は[2]に記載の金属酸化薄膜の形成方法。
[5] 前記成膜サイクルが、各工程間のそれぞれに、不活性ガスによって前記処理室内の気相置換を行う工程を含む、前項[4]に記載の金属酸化薄膜の形成方法。
[6] 前記不活性ガスとして、ヘリウム、窒素、及びアルゴンからなる群から選択されるいずれか1種又は2種以上の混合ガスを用いる、前項[5]に記載の金属酸化薄膜の形成方法。
[7] 前記処理室内の圧力が、13Pa以上13332Pa以下である、前項[1]乃至[6]のいずれかに記載の金属酸化薄膜の形成方法。
[8] 前記基板が、シリコン基板、ガラス基板、ポリイミド樹脂基板、又はエポキシ樹脂基板である、前項[1]乃至[7]のいずれかに記載の金属酸化薄膜の形成方法。
[9] 前記金属前駆体化合物として、(EtO)Si、(tBuNH)SiH、(iPrN)SiH(MeN)Si、(MeN)SiH、(MeN)SiH、(MeN)SiH、(EtN)Si、(EtN)SiH、(EtN)SiH、(EtN)SiH、(MeN)Ti、TiCl、(C)Zr(MeN)、(MeEtN)Zr、HfCl、(MeEtN)Hf、(MeN)Hf、MeAlからなる群から選択される1種以上を供給する、前項[1]乃至[8]のいずれかに記載の金属酸化薄膜の形成方法。
[10] 前記酸素含有化合物として、酸素、酸素プラズマ、水蒸気、水蒸気プラズマ、過酸化水素、過酸化水素プラズマ、無水過酸化水素、オゾン、亜酸化窒素からなる群から選択される1種以上を供給する、前項[1]乃至[9]のいずれかに記載の金属酸化薄膜の形成方法。
[11] 前記ヒドロキシ基供給源として、過酸化水素、過酸化水素プラズマ、無水過酸化水素からなる群から選択される1種以上を供給する、前項[1]乃至[10]のいずれか一項に記載の金属酸化薄膜の形成方法。
In order to solve the above problems, the present invention has the following configuration.
[1] Place a substrate in a processing chamber, heat the substrate in the processing chamber, and control the surface temperature of the substrate to any temperature within the range of 150° C. or higher and 500° C. or lower,
providing one or more metal precursor compounds to the substrate in the processing chamber;
supplying one or more oxygen-containing compounds to the substrate in the processing chamber;
supplying one or more hydroxyl group sources to the substrate in the processing chamber, and repeating a film formation cycle until the metal oxide thin film on the substrate reaches a desired thickness.
[2] The method for forming a metal oxide thin film according to [1] above, wherein the oxygen-containing compound and the hydroxyl group supply source are different.
[3] The method for forming a metal oxide thin film according to [1] or [2] above, wherein the steps included in the film formation cycle are performed simultaneously.
[4] The method for forming a metal oxide thin film according to [1] or [2] above, wherein the steps included in the film formation cycle are performed in this order.
[5] The method for forming a metal oxide thin film according to [4] above, wherein the film formation cycle includes a step of performing gas phase substitution in the processing chamber with an inert gas between each step.
[6] The method for forming a metal oxide thin film according to [5] above, wherein any one or a mixed gas of two or more selected from the group consisting of helium, nitrogen, and argon is used as the inert gas.
[7] The method for forming a metal oxide thin film according to any one of [1] to [6] above, wherein the pressure in the processing chamber is 13 Pa or more and 13332 Pa or less.
[8] The method for forming a metal oxide thin film according to any one of [1] to [7] above, wherein the substrate is a silicon substrate, a glass substrate, a polyimide resin substrate, or an epoxy resin substrate.
[9] 前記金属前駆体化合物として、(EtO) Si、(tBuNH)SiH 、(iPr N)SiH (Me N) Si、(Me N) SiH、(Me N) SiH 、(Me N)SiH 、(Et N) Si、(Et N) SiH、(Et N) SiH 、(Et N)SiH 、(Me N) Ti、TiCl 、(C )Zr(Me N) 、(MeEtN) Zr、HfCl 、(MeEtN) Hf、(Me N) Hf、Me Alからなる群から選択される1種以上を供給する、前項[1]乃至[8]のいずれかに記載の金属酸化薄膜の形成方法。
[10] The method for forming a metal oxide thin film according to any one of [1] to [9] above, wherein at least one selected from the group consisting of oxygen, oxygen plasma, water vapor, water vapor plasma, hydrogen peroxide, hydrogen peroxide plasma, anhydrous hydrogen peroxide, ozone, and nitrous oxide is supplied as the oxygen-containing compound.
[11] The method for forming a metal oxide thin film according to any one of the preceding items [1] to [10], wherein at least one selected from the group consisting of hydrogen peroxide, hydrogen peroxide plasma, and anhydrous hydrogen peroxide is supplied as the hydroxyl group supply source.

本発明の金属酸化薄膜の形成方法は、段差被覆率及び成膜速度に優れ、良質な金属酸化薄膜を形成できる。 The method for forming a metal oxide thin film of the present invention is excellent in step coverage and film formation speed, and can form a good quality metal oxide thin film.

本発明の一実施形態である金属酸化薄膜の形成方法に適用可能な成膜装置の構成の一例を示す系統図である。BRIEF DESCRIPTION OF THE DRAWINGS FIG. 1 is a system diagram showing an example of the configuration of a film forming apparatus applicable to the method for forming a metal oxide thin film according to one embodiment of the present invention;

以下、本発明を適用した一実施形態である金属酸化薄膜の形成方法の構成について、それに用いる成膜装置と併せて、図面を用いて詳細に説明する。なお、以下の説明で用いる図面は、特徴をわかりやすくするために、便宜上特徴となる部分を拡大して示している場合があり、各構成要素の寸法比率などが実際と同じであるとは限らない。 The configuration of a method for forming a metal oxide thin film, which is an embodiment to which the present invention is applied, will be described below in detail with reference to the drawings together with a film forming apparatus used therefor. In addition, in the drawings used in the following description, in order to make the features easier to understand, the characteristic parts may be enlarged for convenience, and the dimensional ratio of each component may not necessarily be the same as the actual one.

<金属酸化薄膜の形成方法>
本発明の金属酸化薄膜の形成方法は、基板を処理室内に設置し、処理室内の基板を加熱して、基板の表面温度を150℃以上500℃以下の範囲内のいずれかの温度に制御しながら、以下の(1)~(3)の工程を含む成膜サイクルを、基板上の金属酸化薄膜が所要の膜厚となるまで繰り返す、金属酸化薄膜の形成方法である。
(1)処理室内の基板に対して、1以上の金属前駆体化合物を供給する工程
(2)処理室内の基板に対して、1以上の酸素含有化合物を供給する工程
(3)処理室内の基板に対して、1以上のヒドロキシ基供給源を供給する工程
<Method for Forming Metal Oxide Thin Film>
The method for forming a metal oxide thin film of the present invention comprises placing a substrate in a processing chamber, heating the substrate in the processing chamber, and controlling the surface temperature of the substrate to any temperature within the range of 150° C. or more and 500° C. or less, while repeating a film formation cycle including the following steps (1) to (3) until the metal oxide thin film on the substrate reaches a desired thickness.
(1) Step of supplying one or more metal precursor compounds to the substrate in the processing chamber (2) Step of supplying one or more oxygen-containing compounds to the substrate in the processing chamber (3) Step of supplying one or more hydroxyl group supply sources to the substrate in the processing chamber

本発明の成膜対象となる金属酸化薄膜を構成する金属としては、シリコン(Si)、チタン(Ti)、ジルコニア(Zr)、ハフニウム(Hf)及びアルミニウム(Al)等が挙げられる。
シリコン(Si)の酸化膜としては、SiOが挙げられる。
チタン(Ti)の酸化膜としては、TiO、Ti、TiOが挙げられる。
ジルコニア(Zr)の酸化膜としては、ZrOが挙げられる。
ハフニウム(Hf)の酸化膜としては、HfOが挙げられる。
アルミニウム(Al)の酸化膜としては、Alが挙げられる。
Examples of metals constituting the metal oxide thin film to be deposited in the present invention include silicon (Si), titanium (Ti), zirconia (Zr), hafnium (Hf) and aluminum (Al).
Silicon (Si) oxide films include SiO 2 .
Titanium (Ti) oxide films include TiO, Ti 2 O 3 and TiO 2 .
ZrO 2 is an example of a zirconia (Zr) oxide film.
HfO 2 is an example of a hafnium (Hf) oxide film.
Al 2 O 3 is an example of an oxide film of aluminum (Al).

金属酸化薄膜の膜厚は、特に限定されるものではなく、金属酸化膜の用途等に応じて適宜選択することが好ましい。金属酸化薄膜の膜厚としては、1nm以上10000nm以下が好ましく、2nm以上1000nm以下であることがより好ましく、5nm以上100nm以下であることがさらに好ましい。 The film thickness of the metal oxide thin film is not particularly limited, and is preferably selected as appropriate according to the use of the metal oxide film. The film thickness of the metal oxide thin film is preferably 1 nm or more and 10000 nm or less, more preferably 2 nm or more and 1000 nm or less, and even more preferably 5 nm or more and 100 nm or less.

本発明の金属酸化薄膜の形成方法に適用可能な基板としては、シリコン基板、ガラス基板、ポリイミド樹脂基板、およびエポキシ樹脂基板が挙げられる。
基板の耐熱温度としては、50℃以上が好ましく、100℃以上がより好ましく、200℃以上がさらに好ましい。基板の耐熱温度が200℃以上であれば、成膜時の熱による基板の変形を抑制できる。
Substrates applicable to the method for forming a metal oxide thin film of the present invention include silicon substrates, glass substrates, polyimide resin substrates, and epoxy resin substrates.
The heat-resistant temperature of the substrate is preferably 50° C. or higher, more preferably 100° C. or higher, and even more preferably 200° C. or higher. If the heat resistance temperature of the substrate is 200° C. or higher, deformation of the substrate due to heat during film formation can be suppressed.

処理室内の圧力は、13Pa(0.1Torr)以上13332Pa(100Torr)以下であることが好ましく、13Pa以上6666Pa以下であることがより好ましく、13Pa以上3333Pa以下であることがさらに好ましい。処理室内の圧力が上記範囲内であれば、基板表面への前駆体吸着の自己停止機構が発現しやすい。 The pressure in the processing chamber is preferably 13 Pa (0.1 Torr) or more and 13332 Pa (100 Torr) or less, more preferably 13 Pa or more and 6666 Pa or less, and even more preferably 13 Pa or more and 3333 Pa or less. If the pressure in the processing chamber is within the above range, the self-stopping mechanism of precursor adsorption to the substrate surface is likely to develop.

処理室内の基板の表面温度は、150℃以上500℃以下であることが好ましく、160℃以上450℃以下であることがより好ましく、170℃以上400℃以下であることがさらに好ましい。基板の表面温度を150℃以上とすると、ヒドロキシ(OH)末端の形成効果が得られる。一方、基板の表面温度を500℃以下とすると、(3)ヒドロキシ基供給源の供給工程において、ヒドロキシ基供給源として供給する過酸化水素の自己分解がほとんど進行しないため、優れたヒドロキシ(OH)末端の形成効果を発揮させることができる。
なお、基板表面のOH濃度が高いほど、前駆体の飽和吸着量が多くなるため、成膜速度および膜密度を向上させることが可能となる。
The surface temperature of the substrate in the processing chamber is preferably 150° C. or higher and 500° C. or lower, more preferably 160° C. or higher and 450° C. or lower, and even more preferably 170° C. or higher and 400° C. or lower. When the surface temperature of the substrate is 150° C. or higher, the effect of forming hydroxy (OH) terminals can be obtained. On the other hand, when the surface temperature of the substrate is 500° C. or less, the self-decomposition of the hydrogen peroxide supplied as the hydroxyl group supply source hardly progresses in the step (3) of supplying the hydroxyl group supply source, so that an excellent effect of forming hydroxy (OH) terminals can be exhibited.
Note that the higher the OH concentration on the substrate surface, the greater the amount of saturated adsorption of the precursor, which makes it possible to improve the film formation speed and film density.

成膜サイクルの(1)工程では、処理室内の基板に対して、1以上の金属前駆体化合物を気体(ガス)として供給する。
金属前駆体化合物は、150℃以上500℃以下の温度で成膜可能な化合物であれば、特に限定されない。金属前駆体化合物としては、(EtO)Si、(tBuNH)SiH、(iPrN)SiH(MeN)Si、(MeN)SiH、(MeN)SiH、(MeN)SiH、(EtN)Si、(EtN)SiH、(EtN)SiH、(EtN)SiH、(MeN)Ti、TiCl、(C)Zr(MeN)、(MeEtN)Zr、HfCl、(MeEtN)Hf、(MeN)Hf、MeAl等が挙げられる。
処理室内への金属前駆体化合物の供給は、これらの群から選択される、いずれか1種を供給してもよいし、2種以上を同時あるいは別々に供給してもよい。
In step (1) of the deposition cycle, one or more metal precursor compounds are supplied as gases to the substrate in the processing chamber.
The metal precursor compound is not particularly limited as long as it is a compound capable of forming a film at a temperature of 150°C or higher and 500°C or lower. Metal precursor compounds include (EtO) 4Si , (tBuNH ) SiH2 , ( iPr2N ) SiH3 ( Me2N ) 4Si , ( Me2N ) 3SiH , ( Me2N ) 2SiH2 , (Me2N) SiH3 , ( Et2N ) 4Si , ( Et2N ). 3SiH, ( Et2N ) 2SiH2 , (Et2N) SiH3, (Me2N)4Ti, TiCl4, (C5H5)Zr(Me2N)3 , ( MeEtN)4Zr, HfCl4, (MeEtN)4Hf , ( Me2N ) 4 Hf , Me3Al , etc. are mentioned .
For the supply of the metal precursor compound into the processing chamber, any one selected from these groups may be supplied, or two or more may be supplied simultaneously or separately.

成膜サイクルの(2)工程では、処理室内の基板に対して、1以上の酸素含有化合物を気体(ガス)として供給する。
酸素含有化合物としては、酸素、酸素プラズマ、水蒸気、水蒸気プラズマ、過酸化水素、過酸化水素プラズマ、無水過酸化水素、オゾン、亜酸化窒素等が挙げられる。
処理室内への酸素含有化合物の供給は、これらの群から選択される、いずれか1種を供給してもよいし、2種以上を同時あるいは別々に供給してもよい。
In step (2) of the film formation cycle, one or more oxygen-containing compounds are supplied as gas to the substrate in the processing chamber.
Oxygen-containing compounds include oxygen, oxygen plasma, water vapor, water vapor plasma, hydrogen peroxide, hydrogen peroxide plasma, anhydrous hydrogen peroxide, ozone, nitrous oxide, and the like.
The supply of the oxygen-containing compound into the processing chamber may be any one selected from these groups, or two or more thereof may be supplied simultaneously or separately.

成膜サイクルの(3)工程では、処理室内の基板に対して、1以上のヒドロキシ基供給源を気体(ガス)として供給する。
ヒドロキシ基供給源としては、過酸化水素、過酸化水素プラズマ、無水過酸化水素等が挙げられる。
処理室内へのヒドロキシ基供給源の供給は、これらの群から選択される、いずれか1種を供給してもよいし、2種以上を同時あるいは別々に供給してもよい。
In step (3) of the deposition cycle, one or more hydroxyl group supply sources are supplied as gas to the substrate in the processing chamber.
Hydroxyl group sources include hydrogen peroxide, hydrogen peroxide plasma, anhydrous hydrogen peroxide, and the like.
The supply of the hydroxyl group supply source into the treatment chamber may be performed by supplying any one selected from these groups, or supplying two or more of them simultaneously or separately.

なお、成膜サイクルの(2)工程における酸素含有化合物と、(3)工程におけるヒドロキシ基供給源となる化合物とは、異なるものを用いる。
例えば、(2)工程における酸素含有化合物として、過酸化水素、過酸化水素プラズマ、無水過酸化水素のうち、いずれかを用いる場合には、(3)工程におけるヒドロキシ基供給源となる化合物として、過酸化水素、過酸化水素プラズマ、無水過酸化水素のうち、酸素含有化合物として用いる化合物以外のものを用いる。
(2)工程における酸素含有化合物と(3)工程におけるヒドロキシ基供給源となる化合物とを異なる化合物とすることで、前駆体の吸着量の増大と、前駆体に対する最適な酸化源の選択との両立が可能になるという効果が得られる。
The oxygen-containing compound in step (2) of the film formation cycle and the compound serving as the hydroxyl group supply source in step (3) are different.
For example, when any one of hydrogen peroxide, hydrogen peroxide plasma, and anhydrous hydrogen peroxide is used as the oxygen-containing compound in step (2), a compound other than the compound used as the oxygen-containing compound among hydrogen peroxide, hydrogen peroxide plasma, and anhydrous hydrogen peroxide is used as the compound serving as the hydroxyl group supply source in step (3).
By using different compounds for the oxygen-containing compound in the step (2) and the compound serving as the hydroxyl group supply source in the step (3), it is possible to achieve both an increase in the adsorption amount of the precursor and selection of an optimum oxidation source for the precursor.

本発明の金属酸化薄膜の形成方法は、上記(1)~(3)の工程を同時に行うCVD法に適用してもよいし、上記(1)~(3)の工程をこの順序で行うALD法に適用してもよい。 The method for forming a metal oxide thin film of the present invention may be applied to a CVD method in which the steps (1) to (3) are performed simultaneously, or may be applied to an ALD method in which the steps (1) to (3) are performed in this order.

本発明の金属酸化薄膜の形成方法をALD法に適用した場合、上記(1)~(3)の工程を含む成膜サイクルが、(1)工程と(2)工程の間、及び(2)工程と(3)工程の間のそれぞれに、(4)不活性ガスによって処理室内の気相置換を行う工程、を含んでいてもよい。
不活性ガスとしては、ヘリウム、窒素、及びアルゴン等が挙げられる。処理室内への不活性ガスの供給は、これらの群から選択される、いずれか1種を供給してもよいし、2種以上を同時あるいは別々に供給してもよい。
When the method for forming a metal oxide thin film of the present invention is applied to the ALD method, the film formation cycle including the steps (1) to (3) may include (4) the step of gas phase substitution in the processing chamber with an inert gas between steps (1) and (2) and between steps (2) and (3).
Inert gases include helium, nitrogen, argon, and the like. The supply of the inert gas into the processing chamber may be one selected from these groups, or two or more may be supplied simultaneously or separately.

なお、成膜サイクルでは、上記(1)~(4)の工程において、処理室内へのガスの供給量は、流量調整等によって適宜制御することが好ましい。
また、成膜サイクルの繰り返し回数は、特に限定されるものではなく、成膜速度と金属酸化薄膜の膜厚とに応じて適宜選択することが好ましい。
In the film formation cycle, it is preferable to appropriately control the amount of gas supplied into the processing chamber by adjusting the flow rate or the like in the steps (1) to (4).
Moreover, the number of repetitions of the film formation cycle is not particularly limited, and is preferably selected appropriately according to the film formation rate and the thickness of the metal oxide thin film.

<金属酸化薄膜の成膜装置>
次に、本発明の金属酸化薄膜の形成方法に適用可能な成膜装置の構成について、図1を参照しながら説明する。
<Metal oxide thin film deposition apparatus>
Next, the configuration of a film forming apparatus applicable to the method for forming a metal oxide thin film of the present invention will be described with reference to FIG.

図1は、本発明の金属酸化薄膜の形成方法に適用可能な成膜装置1の一例を示す系統図である。図1に示すように、成膜装置1は、基板Cが設置される処理室2と、処理室2に連通されるガス導入経路L1及びガス排出経路L2と、を備える。成膜装置1は、ガス導入経路L1によって原料ガス、反応ガス及び不活性ガスを処理室2内に供給し、ガス排出経路L2によって処理室2内の気相を排出できる。 FIG. 1 is a system diagram showing an example of a film forming apparatus 1 applicable to the method for forming a metal oxide thin film of the present invention. As shown in FIG. 1, the film forming apparatus 1 includes a processing chamber 2 in which a substrate C is installed, and a gas introduction path L1 and a gas discharge path L2 that communicate with the processing chamber 2 . The film forming apparatus 1 can supply the raw material gas, the reaction gas, and the inert gas into the processing chamber 2 through the gas introduction path L1, and discharge the gas phase in the processing chamber 2 through the gas discharge path L2.

ガス導入経路L1には、流量調節機能を有する開閉バルブが設けられている。また、ガス導入経路L1は、上流側において、過酸化水素(H)の供給経路L3、酸素(O)の供給経路L4、窒素(N)の供給経路L5、オゾン(O)の供給経路L6、及び金属前駆体化合物(金属プリカーサー)の供給経路L7に、それぞれ分岐する。なお、供給経路L3~L6には、質量流量計(MFC;MassFlowController)及び流量調節機能を有する開閉バルブがそれぞれ設けられており、各ガスの供給量を制御可能とされている。 An opening/closing valve having a flow control function is provided in the gas introduction path L1. Further, the gas introduction path L1 branches upstream into a supply path L3 for hydrogen peroxide (H 2 O 2 ), a supply path L4 for oxygen (O 2 ), a supply path L5 for nitrogen (N 2 ), a supply path L6 for ozone (O 3 ), and a supply path L7 for a metal precursor compound (metal precursor). The supply paths L3 to L6 are respectively provided with a mass flow meter (MFC; MassFlow Controller) and an opening/closing valve having a flow rate control function, so that the supply amount of each gas can be controlled.

金属前駆体化合物が常温(20℃)で液体である場合、供給経路L7には気化器4を有する。また、供給経路L7は、金属前駆体化合物が貯留された容器3の液相から抽出された液体を気化器4に供給する経路L8と、熱交換器5を有し、キャリアガスとして窒素(N)を気化器4に供給する経路L9とに分岐する。なお、容器3には、金属前駆体化合物の圧送ガスとしてヘリウム(He)ガスを供給する経路L10が接続されている。これにより、金属前駆体化合物を気化させたガスをキャリアガスとともに供給量を制御して、処理室2内の基板Cに供給できる。 If the metal precursor compound is liquid at room temperature (20° C.), the supply path L7 has a vaporizer 4 . Further, the supply route L7 branches into a route L8 that supplies the liquid extracted from the liquid phase of the container 3 in which the metal precursor compound is stored to the vaporizer 4, and a route L9 that has a heat exchanger 5 and supplies nitrogen ( N ) as a carrier gas to the vaporizer 4. Note that the container 3 is connected to a path L10 for supplying helium (He) gas as a pressure-fed gas for the metal precursor compound. As a result, the gas obtained by vaporizing the metal precursor compound can be supplied to the substrate C in the processing chamber 2 by controlling the supply amount together with the carrier gas.

なお、金属前駆体化合物を供給する態様は、上述した態様に限定されない。例えば、気化器4を用いずに容器3の気相部から金属前駆体化合物の蒸気を直接処理室2内へ供給する態様であってもよい。その際、キャリアガスとともに供給する態様であってもよい。
また、キャリアガスによって容器3内をバブリングし、キャリアガスとともに金属前駆体化合物の蒸気を処理室2内へ供給する態様であってもよい。
また、金属前駆体化合物の容器3を適宜加熱し、蒸気圧を高くして供給する態様であってもよい。
The mode of supplying the metal precursor compound is not limited to the mode described above. For example, the vapor of the metal precursor compound may be directly supplied into the processing chamber 2 from the gas phase portion of the container 3 without using the vaporizer 4 . At that time, it may be supplied together with a carrier gas.
Alternatively, the inside of the container 3 may be bubbled with a carrier gas, and the vapor of the metal precursor compound may be supplied into the processing chamber 2 together with the carrier gas.
Alternatively, the container 3 of the metal precursor compound may be appropriately heated to increase the vapor pressure and supply the metal precursor compound.

金属前駆体化合物を供給する際に用いるキャリアガスとしては、例えば、ヘリウム(He)、窒素(N)、アルゴン(Ar)などの希ガス、水素(H)が挙げられる。 Examples of the carrier gas used when supplying the metal precursor compound include helium (He), nitrogen (N 2 ), rare gases such as argon (Ar), and hydrogen (H 2 ).

また、酸素含有化合物あるいはヒドロキシ基供給源となる化合物を供給する態様は、上述した態様に限定されない。例えば、酸素含有化合物あるいはヒドロキシ基供給源となる化合物が液体である場合、それらを貯留する容器内の気相部から、酸素含有化合物あるいはヒドロキシ基供給源となる化合物の蒸気を直接処理室2内へ供給する態様であってもよい。その際、キャリアガスとともに供給する態様であってもよい。
また、透過膜を用いて分離した酸素含有化合物あるいはヒドロキシ基供給源となる化合物の蒸気をキャリアガスとともに処理室2内へ供給する態様であってもよい。
また、キャリアガスによって容器内をバブリングし、キャリアガスとともに酸素含有化合物あるいはヒドロキシ基供給源となる化合物の蒸気を処理室2内へ供給する態様であってもよい。
また、酸素含有化合物あるいはヒドロキシ基供給源となる化合物の容器を適宜加熱し、蒸気圧を高くして供給する態様であってもよい。
Moreover, the mode of supplying the oxygen-containing compound or the compound serving as the hydroxy group supply source is not limited to the mode described above. For example, when the oxygen-containing compound or the compound serving as the hydroxyl group source is liquid, the vapor of the oxygen-containing compound or the compound serving as the hydroxyl group source may be directly supplied into the processing chamber 2 from the gas phase portion in the container storing them. At that time, it may be supplied together with a carrier gas.
Alternatively, the vapor of the oxygen-containing compound or the compound serving as the hydroxyl group supply source separated using a permeable membrane may be supplied into the processing chamber 2 together with the carrier gas.
Alternatively, the inside of the vessel may be bubbled with the carrier gas, and the vapor of the oxygen-containing compound or the compound serving as the hydroxyl group supply source may be supplied into the processing chamber 2 together with the carrier gas.
Alternatively, the container of the oxygen-containing compound or the compound serving as the hydroxyl group supply source may be appropriately heated to increase the vapor pressure before supplying.

酸素含有化合物あるいはヒドロキシ基供給源となる化合物を供給する際に用いるキャリアガスとしては、例えば、ヘリウム(He)、窒素(N)、アルゴン(Ar)などの希ガス、水素(H)が挙げられる。 Examples of the carrier gas used for supplying the oxygen-containing compound or the compound serving as the hydroxyl group supply source include helium (He), nitrogen (N 2 ), rare gases such as argon (Ar), and hydrogen (H 2 ).

ガス排出経路L2には、PIA(絶対圧力計)、APC(自動圧力制御バルブ)、流量調節機能を有する開閉バルブ、及び真空ポンプが設けられている。ガス排出経路L2により、処理室2内の気相を排気あるいは置換することができる。 The gas discharge path L2 is provided with a PIA (absolute pressure gauge), an APC (automatic pressure control valve), an on-off valve having a flow control function, and a vacuum pump. The gas phase in the processing chamber 2 can be exhausted or replaced by the gas exhaust path L2.

なお、本発明の金属酸化薄膜の形成方法に適用可能な成膜装置1の構成は、上記形態に限定されるものではなく、本発明の趣旨を逸脱しない範囲において種々の変更を加えることが可能である。例えば、成膜装置1は、リモートプラズマソースを備える構成であってもよい。これにより、PECVD法及びPEALD法に適用できる。 The configuration of the film forming apparatus 1 applicable to the method for forming a metal oxide thin film of the present invention is not limited to the above embodiment, and various modifications can be made without departing from the scope of the present invention. For example, the film forming apparatus 1 may be configured to include a remote plasma source. This makes it applicable to the PECVD method and the PEALD method.

<金属酸化薄膜の評価方法>
本発明の金属酸化薄膜の形成方法は、成膜速度に優れる。
成膜速度は、得られた金属酸化膜の膜厚から、1サイクルあたりの成膜量であるGPC(Growth per cycle)を算出することで評価できる。ここで、金属酸化膜の膜厚は、例えば、市販の分光エリプソメトリー(SOPRA製分光エリプソメーター:「GES5E」等)を用いた測定値を用いることができる。
なお、本発明の金属酸化薄膜の形成方法では、GPCの値が0.5Å/cycle以上であることが好ましく、1.0Å/cycle以上であることがより好ましい。
<Evaluation method of metal oxide thin film>
The method for forming a metal oxide thin film of the present invention is excellent in film formation speed.
The deposition rate can be evaluated by calculating GPC (Growth per cycle), which is the amount of deposition per cycle, from the thickness of the obtained metal oxide film. Here, for the film thickness of the metal oxide film, for example, a value measured using a commercially available spectroscopic ellipsometer (spectroscopic ellipsometer manufactured by SOPRA: "GES5E", etc.) can be used.
In the method for forming a metal oxide thin film of the present invention, the GPC value is preferably 0.5 Å/cycle or more, more preferably 1.0 Å/cycle or more.

本発明の金属酸化薄膜の形成方法によって得られる金属酸化膜の薄膜は、段差被覆率(ステップカバレッジ)に優れる。
段差被覆率は、電子顕微鏡(日立ハイテクノロジーズ製STEM:「NX2000」等)を用いた断面観察によって、深さ15μmのトレンチ(溝)構造を形成した基板のトレンチ上部の膜厚(上部膜厚)およびトレンチ底部の膜厚(底部膜厚)を測定し、以下の式(A)によって得られるトレンチ被覆率によって評価できる。
トレンチ被覆率(ステップカバレッジ)=(底部膜厚)/(上部膜厚) ・・・(A)
なお、上記式(A)によって得られるトレンチ被覆率の値が1に近いほど、段差被覆率(ステップカバレッジ)が良好である。本発明の金属酸化薄膜の形成方法では、トレンチ被覆率の値が0.8以上であることが好ましく、0.9以上であることがより好ましい。
The thin metal oxide film obtained by the method for forming a metal oxide thin film of the present invention is excellent in step coverage.
The step coverage can be evaluated by measuring the film thickness at the top of the trench (top film thickness) and the film thickness at the bottom of the trench (bottom film thickness) of a substrate on which a trench (groove) structure with a depth of 15 μm is formed by cross-sectional observation using an electron microscope (STEM: “NX2000” manufactured by Hitachi High-Technologies Corporation, etc.), and evaluating the trench coverage obtained by the following formula (A).
Trench coverage (step coverage)=(bottom film thickness)/(top film thickness) (A)
It should be noted that the closer the value of the trench coverage obtained by the above formula (A) is to 1, the better the step coverage (step coverage). In the method for forming a metal oxide thin film of the present invention, the trench coverage value is preferably 0.8 or more, more preferably 0.9 or more.

本発明の金属酸化薄膜の形成方法は、良質な金属酸化膜の薄膜が得られる。すなわち、得られた金属酸化膜は、膜質が優れる。
金属酸化膜の膜質は、膜の緻密性によって評価できる。ここで、膜の緻密性は、例えば、市販の分光エリプソメトリー(SOPRA製分光エリプソメーター:「GES5E」等)を用いた薄膜の屈折率の測定値によって判断できる。
According to the method for forming a metal oxide thin film of the present invention, a thin metal oxide film of good quality can be obtained. That is, the obtained metal oxide film has excellent film quality.
The film quality of the metal oxide film can be evaluated by the denseness of the film. Here, the denseness of the film can be determined, for example, by measuring the refractive index of the thin film using a commercially available spectroscopic ellipsometer (spectroscopic ellipsometer manufactured by SOPRA: "GES5E", etc.).

例えば、金属酸化膜がシリコン酸化膜の場合、薄膜の屈折率が1.40~1.46の範囲内であるとき、一般的に良質なシリコン酸化膜であると評価できる。一方、薄膜の屈折率が1.40未満であるとき、シリコン酸化膜は空孔の多い粗な膜構造であると評価できる。 For example, when the metal oxide film is a silicon oxide film, the silicon oxide film can generally be evaluated to be of good quality when the refractive index of the thin film is within the range of 1.40 to 1.46. On the other hand, when the refractive index of the thin film is less than 1.40, it can be evaluated that the silicon oxide film has a rough film structure with many holes.

<ALD法による金属酸化薄膜の形成方法>
次に、本発明の金属酸化薄膜の形成方法をALD法に適用した場合について、説明する。
<Method for Forming Metal Oxide Thin Film by ALD>
Next, the case where the method for forming a metal oxide thin film of the present invention is applied to the ALD method will be described.

ALD法による金属酸化薄膜の形成方法は、以下の工程で実施される。
処理室内の基板の表面温度を所定の温度に制御した後、処理室内の基板に対して金属前駆体化合物を供給する工程と、処理室内を不活性ガスでパージする工程と、処理室内の基板に対して酸素含有化合物を供給し、基板に吸着した金属前駆体化合物を酸化させる工程と、を少なくとも含むサイクルを1サイクルとし、このサイクルを金属酸化薄膜が所要の膜厚となるまで繰り返す。
A method for forming a metal oxide thin film by the ALD method is carried out by the following steps.
A cycle including at least a step of supplying a metal precursor compound to the substrate in the processing chamber after controlling the surface temperature of the substrate in the processing chamber to a predetermined temperature, a step of purging the inside of the processing chamber with an inert gas, and a step of supplying an oxygen-containing compound to the substrate in the processing chamber to oxidize the metal precursor compound adsorbed on the substrate is defined as one cycle, and this cycle is repeated until the metal oxide thin film has a desired thickness.

一般に、低温での金属酸化薄膜の形成プロセスでは、良好な段差被覆率(ステップカバレッジ)が得られにくいことが知られている。これは、熱エネルギーが小さい状態で反応を進行させるため、酸素含有化合物の供給後の、堆積膜の表面の結合状態が一様になりにくく、次のサイクルにおける前駆体の吸着状態もまた一様になりにくくなるためである。 Generally, it is known that it is difficult to obtain a good step coverage in the process of forming a metal oxide thin film at a low temperature. This is because the reaction progresses in a state where the thermal energy is small, so that after the supply of the oxygen-containing compound, it is difficult for the bonding state on the surface of the deposited film to become uniform, and the adsorption state of the precursor in the next cycle also becomes difficult to become uniform.

例えば、シリコン酸化膜の形成プロセスでは、前駆体化合物(プリカーサー)のもつ固着官能基が、基板の最表面に形成された「Si-OH」や「Si-O-Si」に自己制御機構を作用させつつ、化学吸着することで新たな原子層が形成される起点ができる。 For example, in the process of forming a silicon oxide film, the anchoring functional group of the precursor compound (precursor) causes the “Si—OH” and “Si—O—Si” formed on the outermost surface of the substrate to act on the self-regulating mechanism, and chemisorption creates a starting point for the formation of a new atomic layer.

本発明を適用したALD法では、低温成膜での段差被覆率の改善を目的として、酸素含有化合物の供給を停止した後、プリカーサー吸着面におけるヒドロキシ基(OH基)の形成および親水化の促進を目的として、処理室内の基板に対して、ヒドロキシ基供給源となる化合物を供給する工程と、ヒドロキシ基供給源となる化合物の供給を停止する工程と、を複数ステップにて実施する。これにより、基板の最表面にプリカーサーの持つ固着官能基の吸着点を多数かつ均一に形成し、段差被覆率および成膜レート(成膜速度)の向上を達成する。 In the ALD method to which the present invention is applied, after stopping the supply of the oxygen-containing compound for the purpose of improving the step coverage in low-temperature film formation, for the purpose of promoting the formation of hydroxyl groups (OH groups) and hydrophilization on the precursor adsorption surface, a step of supplying a compound serving as a hydroxyl group supply source and a step of stopping the supply of the compound serving as a hydroxyl group supply source to the substrate in the processing chamber are performed in a plurality of steps. As a result, a large number of adsorption points of the fixed functional groups of the precursor are uniformly formed on the outermost surface of the substrate, and the step coverage and the film formation rate (film formation speed) are improved.

以下、ALD法による金属酸化薄膜の形成方法の一例として、シリコン酸化膜の具体的な形成方法について詳細に説明する。
先ず、処理室内に搬送された基板を所定温度に加熱する。
A specific method for forming a silicon oxide film will be described in detail below as an example of a method for forming a metal oxide thin film by the ALD method.
First, the substrate conveyed into the processing chamber is heated to a predetermined temperature.

(第1の工程)
第1の工程では、処理室内の基板に対して、真空下でシリコン前駆体化合物を供給する。これにより、基板表面とシリコン前駆体化合物との化学吸着反応が生じる。
(First step)
In a first step, a silicon precursor compound is supplied under vacuum to the substrate in the processing chamber. This causes a chemisorption reaction between the substrate surface and the silicon precursor compound.

シリコンプリカーサーの共存ガスは、特に限定されないが、例えば、ヘリウム(He)、窒素(N)、アルゴン(Ar)などの希ガス、水素(H)を用いることができる。 The coexisting gas of the silicon precursor is not particularly limited, but for example, helium (He), nitrogen (N 2 ), rare gases such as argon (Ar), and hydrogen (H 2 ) can be used.

(第2の工程)
第2の工程では、上述した化学吸着反応後にシリコン前駆体化合物の供給を停止し、処理室内に残留する未反応のシリコン前駆体化合物を除去するためにパージする。
なお、パージガスとしては、ヘリウム(He)、窒素(N)、アルゴン(Ar)等の不活性ガスが挙げられる。
(Second step)
In a second step, the supply of the silicon precursor compound is stopped after the chemisorption reaction described above, and the process chamber is purged to remove any unreacted silicon precursor compound remaining therein.
Note that examples of the purge gas include inert gases such as helium (He), nitrogen (N 2 ), and argon (Ar).

(第3の工程)
第3の工程では、処理室内の基板に対して、酸素含有化合物を供給する。これにより、第1の工程において基板表面に吸着したシリコン前駆体化合物を酸化させる。
(Third step)
In the third step, an oxygen-containing compound is supplied to the substrate in the processing chamber. Thereby, the silicon precursor compound adsorbed on the substrate surface in the first step is oxidized.

ここで、酸素含有化合物としては、酸素、酸素プラズマ、水蒸気、水蒸気プラズマ、過酸化水素、過酸化水素プラズマ、無水過酸化水素、オゾン、亜酸化窒素が挙げられる。これらの中から少なくとも1つを選択して供給する。 Here, the oxygen-containing compound includes oxygen, oxygen plasma, water vapor, water vapor plasma, hydrogen peroxide, hydrogen peroxide plasma, anhydrous hydrogen peroxide, ozone, and nitrous oxide. At least one of these is selected and supplied.

酸素含有化合物の共存ガスは、特に限定されないが、例えば、ヘリウム(He)、窒素(N)、アルゴン(Ar)などの不活性ガス、水素(H)、プロピレン、エチレン、ブタン、アセチレン等の炭化水素ガス、酸素(O)等を用いることができる。 The coexisting gas of the oxygen-containing compound is not particularly limited, but for example, inert gases such as helium (He), nitrogen ( N ), and argon (Ar), hydrogen ( H ), propylene, ethylene, butane, hydrocarbon gases such as acetylene, oxygen (O ) , and the like can be used.

(第4の工程)
第4の工程では、上述したシリコン前駆体化合物の酸化反応後に酸素含有化合物の供給を停止し、基板の最表面におけるシラノール基の形成および親水化の促進を目的として、処理室内の基板に対して、ヒドロキシ基供給源となる化合物を供給する。
(Fourth step)
In the fourth step, the supply of the oxygen-containing compound is stopped after the oxidation reaction of the silicon precursor compound described above, and a compound serving as a hydroxyl group supply source is supplied to the substrate in the processing chamber for the purpose of promoting the formation of silanol groups and hydrophilization on the outermost surface of the substrate.

ここで、ヒドロキシ基供給源となる化合物としては、過酸化水素、過酸化水素プラズマ、無水過酸化水素が挙げられる。これらの中から少なくとも1つを選択して供給する。なお、ヒドロキシ基供給源となる化合物は、上述した酸素含有化合物とは異なる化合物を選択する。 Here, hydrogen peroxide, hydrogen peroxide plasma, and anhydrous hydrogen peroxide are examples of the compound that serves as a hydroxyl group supply source. At least one of these is selected and supplied. A compound different from the oxygen-containing compound described above is selected as the compound serving as the hydroxyl group supply source.

なお、ヒドロキシ基供給源となる化合物として、過酸化水素もしくは無水過酸化水素を用いる場合、処理室内の基板の表面温度は、500℃以下に制御することが好ましい。これは、強力な親水化効果を有する過酸化水素は、500℃を超える温度領域においては、以下の式(B)に示される自己分解反応が進行しやすいためである。
2H→2HO+O ・・・(B)
When hydrogen peroxide or anhydrous hydrogen peroxide is used as the compound serving as the hydroxyl group supply source, it is preferable to control the surface temperature of the substrate in the processing chamber to 500° C. or lower. This is because hydrogen peroxide, which has a strong hydrophilizing effect, easily undergoes a self-decomposition reaction represented by the following formula (B) in a temperature range exceeding 500°C.
2H 2 O 2 →2H 2 O+O 2 (B)

ヒドロキシ基供給源となる化合物の共存ガスは、特に限定されないが、例えば、ヘリウム(He)、窒素(N)、アルゴン(Ar)などの希ガス、水素(H)、プロピレン、エチレン、ブタン、アセチレン等の炭化水素ガス、酸素(O)を用いることができる。 The coexisting gas of the compound serving as the hydroxyl group supply source is not particularly limited, but for example, rare gases such as helium (He), nitrogen (N ) , and argon (Ar), hydrogen ( H ), propylene, ethylene, butane, hydrocarbon gases such as acetylene, and oxygen (O ) can be used.

なお、ヒドロキシ基供給源となる化合物として、過酸化水素もしくは無水過酸化水素を用いる場合、共存ガスとして酸素(O)を用いることが好ましい。共存ガスとして酸素を使用することで、過酸化水素の自己分解反応の進行を抑制することができる。 When hydrogen peroxide or anhydrous hydrogen peroxide is used as the compound serving as the hydroxyl group supply source, it is preferable to use oxygen (O 2 ) as the coexisting gas. By using oxygen as the coexisting gas, the progress of the self-decomposition reaction of hydrogen peroxide can be suppressed.

(第5の工程)
第5の工程では、上述した基板の最表面の親水化後にヒドロキシ基供給源となる化合物の供給を停止し、処理室内に残留するヒドロキシ基供給源となる化合物を除去するためにパージする。
なお、パージガスとしては、ヘリウム(He)、窒素(N)、アルゴン(Ar)等の不活性ガスが挙げられる。
(Fifth step)
In the fifth step, after the outermost surface of the substrate is hydrophilized, the supply of the hydroxyl group source compound is stopped, and the processing chamber is purged to remove the hydroxyl group source compound remaining therein.
Note that examples of the purge gas include inert gases such as helium (He), nitrogen (N 2 ), and argon (Ar).

以上の第1の工程~第5の工程を1サイクルとして、複数回(例えば、300サイクル)繰り返す。これにより、基板上に所望の膜厚のシリコン酸化膜を形成できる。 The first to fifth steps described above are set as one cycle, and are repeated a plurality of times (for example, 300 cycles). Thereby, a silicon oxide film having a desired thickness can be formed on the substrate.

以上説明したように、本発明の金属酸化薄膜の形成方法によれば、段差被覆率及び成膜速度に優れ、良質な金属酸化薄膜を形成できる。 As described above, according to the method for forming a metal oxide thin film of the present invention, a high-quality metal oxide thin film having excellent step coverage and film formation speed can be formed.

また、本発明の金属酸化薄膜の形成方法を適用したALD法によれば、150℃以上500℃以下の温度で成膜可能な金属前駆体化合物を用いるため、基板表面の温度を500℃以下とすることができる。これにより、ヒドロキシ基供給源となる化合物として過酸化水素を供給して、金属前駆体化合物が吸着した表面にOH末端を形成して親水化させる工程(親水化工程)の際、過酸化水素の分解を抑制できるため、基板の最表面に前駆体化合物(プリカーサー)の吸着点を多数かつ均一に形成することができる。したがって、段差被覆率(ステップカバレッジ)および成膜速度(成膜レート)に優れ、良質な金属酸化薄膜を形成することができる。 In addition, according to the ALD method to which the method for forming a metal oxide thin film of the present invention is applied, the temperature of the substrate surface can be kept at 500° C. or less because a metal precursor compound that can form a film at a temperature of 150° C. or more and 500° C. or less is used. As a result, hydrogen peroxide can be supplied as a compound serving as a hydroxyl group supply source to form OH terminals on the surface to which the metal precursor compound is adsorbed to hydrophilize the surface (hydrophilization step). Since the decomposition of hydrogen peroxide can be suppressed, a large number of adsorption points of the precursor compound (precursor) can be uniformly formed on the outermost surface of the substrate. Therefore, it is possible to form a high-quality metal oxide thin film with excellent step coverage and film formation speed.

なお、本発明の技術範囲は上記実施の形態に限定されるものではなく、本発明の趣旨を逸脱しない範囲において種々の変更を加えることが可能である。例えば、上述したALD法によるシリコン酸化膜の形成方法では、シリコン前駆体化合物、酸素含有化合物及びヒドロキシ基供給源となる化合物を供給する工程において、それぞれ1種類の化合物を供給する構成を一例として説明したが、これに限定されない。具体的には、金属前駆体化合物、酸素含有化合物及びヒドロキシ基供給源となる化合物を供給する工程のうち、いずれか1つ又は2以上の工程において、それぞれ2種以上の化合物を混合した状態で供給してもよい。 The technical scope of the present invention is not limited to the above embodiments, and various modifications can be made without departing from the gist of the present invention. For example, in the method for forming a silicon oxide film by the ALD method described above, in the step of supplying a silicon precursor compound, an oxygen-containing compound, and a compound serving as a hydroxyl group supply source, one type of compound is supplied, respectively. Specifically, in any one or two or more of the steps of supplying the metal precursor compound, the oxygen-containing compound, and the compound serving as the hydroxyl group supply source, two or more kinds of compounds may be mixed and supplied.

また、上述したALD法によるシリコン酸化膜の形成方法では、シリコン前駆体化合物、酸素含有化合物及びヒドロキシ基供給源となる化合物を供給する工程において、それぞれ1種類の化合物を1ステップ(1工程)で供給する構成を一例として説明したが、これに限定されない。例えば、2種類以上の金属前駆体化合物を供給する際、2以上の多段ステップによって供給してもよい。酸素含有化合物およびヒドロキシ基供給源となる化合物を供給する際も同様に、2以上の多段ステップによって供給してもよい。 In addition, in the method of forming a silicon oxide film by the ALD method described above, in the step of supplying the silicon precursor compound, the oxygen-containing compound, and the compound serving as the hydroxy group supply source, each one type of compound is supplied in one step (one step). For example, when supplying two or more kinds of metal precursor compounds, they may be supplied in two or more multistage steps. Similarly, when supplying the oxygen-containing compound and the compound serving as the hydroxyl group supply source, they may be supplied in two or more multistage steps.

シリコン前駆体化合物、酸素含有化合物及びヒドロキシ基供給源となる化合物を供給する工程の総数を4ステップ以上とする構成について、以下に例示する。 A configuration in which the total number of steps for supplying the silicon precursor compound, the oxygen-containing compound, and the compound serving as the hydroxyl group supply source is four or more will be exemplified below.

[例1]
(第1の工程)シリコン前駆体化合物を供給する工程
(第2の工程)第1の工程で残留したシリコン前駆体を除去する工程
(第3の工程)酸素含有化合物を供給する工程
(第4の工程)ヒドロキシ基供給源となる化合物を供給する工程
(第5の工程)第4の工程とは異なるヒドロキシ基供給源となる化合物を供給する工程
(第6の工程)第5の工程で残留したヒドロキシ基供給源となる化合物を除去する工程
[Example 1]
(First step) Step of supplying a silicon precursor compound (Second step) Step of removing the silicon precursor remaining in the first step (Third step) Step of supplying an oxygen-containing compound (Fourth step) Step of supplying a compound serving as a hydroxyl group source (Fifth step) Step of supplying a compound serving as a hydroxyl group source different from the fourth step (Sixth step) Step of removing the compound serving as a hydroxyl group source that remained in the fifth step

[例2]
(第1の工程)シリコン前駆体化合物を供給する工程
(第2の工程)第1の工程で残留したシリコン前駆体化合物を除去する工程
(第3の工程)酸素含有化合物を供給する工程
(第4の工程)ヒドロキシ基供給源となる化合物を供給する工程
(第5の工程)第4の工程で残留したヒドロキシ基供給源となる化合物を除去する工程
(第6の工程)ハフニウム前駆体化合物を供給する工程
(第7の工程)第6の工程で残留したハフニウム前駆体化合物を除去する工程
(第8の工程)酸素含有化合物を供給する工程
(第9の工程)ヒドロキシ基供給源となる化合物を供給する工程
(第10の工程)第9の工程で残留したヒドロキシ基供給源となる化合物を除去する工程
[Example 2]
(First step) Step of supplying a silicon precursor compound (Second step) Step of removing a silicon precursor compound remaining in the first step (Third step) Step of supplying an oxygen-containing compound (Fourth step) Step of supplying a compound serving as a hydroxyl group source (Fifth step) Step of removing a compound serving as a hydroxyl group source remaining in the fourth step (Sixth step) Step of supplying a hafnium precursor compound (Seventh step) Step of removing a hafnium precursor compound remaining in the sixth step (Eighth step) Step of supplying an oxygen-containing compound (Ninth step) Step of supplying a compound serving as a hydroxyl group source (Tenth step) Step of removing the compound serving as a hydroxyl group source remaining in the ninth step

以下、実施例を参照して、本発明の効果を説明する。以下、実施例、比較例の成膜条件および評価結果を表1に示す。なお、本発明は、以下の実施例によって限定されるものではない。 The effects of the present invention will be described below with reference to examples. Table 1 shows film forming conditions and evaluation results of Examples and Comparative Examples. In addition, the present invention is not limited by the following examples.

[成膜例1:シリコン酸化膜の原子層堆積]
図1に示す成膜装置1を用い、深さ15μmのトレンチ(溝)構造を形成した基板上に、ALD法によってシリコン酸化膜を成膜した。
シリコン酸化膜の評価は、分光エリプソメトリーと断面観察によって行った。分光エリプソメトリーでは、膜厚と屈折率とを測定し、得られた膜厚から、1サイクルあたりの成膜量としてGPC(Growth per cycle)を算出した。また、トレンチ構造部分の断面観察により、トレンチ上部及び底部の膜厚をそれぞれ測定して、段差被覆率(ステップカバレッジ)を評価した。
[Film Formation Example 1: Atomic Layer Deposition of Silicon Oxide Film]
Using the film forming apparatus 1 shown in FIG. 1, a silicon oxide film was formed by ALD on a substrate having a trench (groove) structure with a depth of 15 μm.
The silicon oxide film was evaluated by spectroscopic ellipsometry and cross-sectional observation. In spectroscopic ellipsometry, film thickness and refractive index were measured, and GPC (Growth per cycle) was calculated as the amount of film formed per cycle from the obtained film thickness. In addition, by observing the cross section of the trench structure portion, the film thicknesses of the upper portion and the bottom portion of the trench were measured to evaluate the step coverage (step coverage).

<比較例1-1>
成膜条件、成膜サイクル及び評価結果の詳細は、以下の通りである。
(成膜条件)
・基板:シリコン基板
・成膜温度(基板の表面温度):250℃
・金属前駆体化合物:トリスジメチルアミノシラン(3DMAS)
・酸素含有化合物:オゾン(O
・ヒドロキシ基(OH基)供給源となる化合物:なし
・以下の成膜サイクルの繰り返し回数:300回
(成膜サイクル)
第1の工程:3DMASを処理室内に供給し、基板表面に対し5秒間吸着させる。
第2の工程:10秒間Nによるパージを行い、未反応の3DMASを除去する。
第3の工程:Oを処理室内に供給し、3DMASが吸着した基板表面に対し10秒間酸化させる。
第4の工程:10秒間Nによるパージを行い、未反応のOを除去する。
(評価結果)
・GPC:0.65(Å/cycle)
・屈折率:1.42
・ステップカバレッジ:0.81
<Comparative Example 1-1>
The details of the film formation conditions, film formation cycles, and evaluation results are as follows.
(Deposition conditions)
・Substrate: Silicon substrate ・Deposition temperature (substrate surface temperature): 250°C
・Metal precursor compound: trisdimethylaminosilane (3DMAS)
・Oxygen-containing compound: ozone (O 3 )
- A compound that serves as a hydroxyl group (OH group) supply source: none - The number of repetitions of the following film formation cycle: 300 times (film formation cycle)
First step: 3DMAS is supplied into the processing chamber and adhered to the substrate surface for 5 seconds.
Second step: Purge with N2 for 10 seconds to remove unreacted 3DMAS.
Third step: O 3 is supplied into the processing chamber to oxidize the substrate surface on which 3DMAS is adsorbed for 10 seconds.
Fourth step: Purge with N2 for 10 seconds to remove unreacted O3 .
(Evaluation results)
・GPC: 0.65 (Å/cycle)
・Refractive index: 1.42
・Step coverage: 0.81

<比較例1-2>
成膜条件、成膜サイクル及び評価結果の詳細は、以下の通りである。
(成膜条件)
・基板:シリコン基板
・成膜温度(基板の表面温度):250℃
・金属前駆体化合物:トリスジメチルアミノシラン(3DMAS)
・酸素含有化合物:オゾン(O
・ヒドロキシ基(OH基)供給源となる化合物:水蒸気(HO)
・以下の成膜サイクルの繰り返し回数:300回
(成膜サイクル)
第1の工程:3DMASを処理室内に供給し、基板表面に対し5秒間吸着させる。
第2の工程:10秒間Nによるパージを行い、未反応の3DMASを除去する。
第3の工程:O3を処理室内に供給し、3DMASが吸着した基板表面に対し10秒間酸化させる。
第4の工程:HOを処理室内に供給し、基板表面に対し10秒間親水化処理を行なう。
第5の工程:10秒間Nによるパージを行い、未反応のHOを除去する。
(評価結果)
・GPC:0.64(Å/cycle)
・屈折率:1.42
・ステップカバレッジ:0.81
<Comparative Example 1-2>
The details of the film formation conditions, film formation cycles, and evaluation results are as follows.
(Deposition conditions)
・Substrate: Silicon substrate ・Deposition temperature (substrate surface temperature): 250°C
・Metal precursor compound: trisdimethylaminosilane (3DMAS)
・Oxygen-containing compound: ozone (O 3 )
- A compound that serves as a hydroxyl group (OH group) supply source: water vapor (H 2 O)
・The number of repetitions of the following film formation cycle: 300 times (film formation cycle)
First step: 3DMAS is supplied into the processing chamber and adhered to the substrate surface for 5 seconds.
Second step: Purge with N2 for 10 seconds to remove unreacted 3DMAS.
Third step: O3 is supplied into the processing chamber to oxidize the substrate surface on which 3DMAS is adsorbed for 10 seconds.
Fourth step: H 2 O is supplied into the processing chamber to hydrophilize the substrate surface for 10 seconds.
Fifth step: Purge with N2 for 10 seconds to remove unreacted H2O .
(Evaluation results)
・GPC: 0.64 (Å/cycle)
・Refractive index: 1.42
・Step coverage: 0.81

ヒドロキシ基(OH基)供給源となる化合物として水蒸気を用いた場合、OH基供給源の供給工程のない比較例1-1と比較して、GPCおよびステップカバレッジは変化しなかった。したがって、水蒸気による親水化工程は、成膜速度および段差被覆率の向上に対する効果が薄いことが示された。 When water vapor was used as the compound serving as the hydroxyl group (OH group) supply source, the GPC and step coverage did not change as compared with Comparative Example 1-1 in which the step of supplying the OH group supply source was not performed. Therefore, it was shown that the hydrophilization process using water vapor has little effect on improving the film forming speed and step coverage.

<比較例1-3>
成膜条件、成膜サイクル及び評価結果の詳細は、以下の通りである。
(成膜条件)
・基板:シリコン基板
・成膜温度(基板の表面温度):550℃
・金属前駆体化合物:トリスジメチルアミノシラン(3DMAS)
・酸素含有化合物:オゾン(O
・ヒドロキシ基(OH基)供給源となる化合物:なし
・以下の成膜サイクルの繰り返し回数:300回
(成膜サイクル)
第1の工程:3DMASを処理室内に供給し、基板表面に対し5秒間吸着させる。
第2の工程:10秒間Nによるパージを行い、未反応の3DMASを除去する。
第3の工程:Oを処理室内に供給し、3DMASが吸着した基板表面に対し10秒間酸化させる。
第4の工程:10秒間Nによるパージを行い、未反応のOを除去する。
(評価結果)
・GPC:0.91(Å/cycle)
・屈折率:1.43
・ステップカバレッジ:0.82
<Comparative Example 1-3>
The details of the film formation conditions, film formation cycles, and evaluation results are as follows.
(Deposition conditions)
・Substrate: Silicon substrate ・Deposition temperature (substrate surface temperature): 550°C
・Metal precursor compound: trisdimethylaminosilane (3DMAS)
・Oxygen-containing compound: ozone (O 3 )
- A compound that serves as a hydroxyl group (OH group) supply source: none - The number of repetitions of the following film formation cycle: 300 times (film formation cycle)
First step: 3DMAS is supplied into the processing chamber and adhered to the substrate surface for 5 seconds.
Second step: Purge with N2 for 10 seconds to remove unreacted 3DMAS.
Third step: O 3 is supplied into the processing chamber to oxidize the substrate surface on which 3DMAS is adsorbed for 10 seconds.
Fourth step: Purge with N2 for 10 seconds to remove unreacted O3 .
(Evaluation results)
・GPC: 0.91 (Å/cycle)
・Refractive index: 1.43
・Step coverage: 0.82

<比較例1-4>
成膜条件、成膜サイクル及び評価結果の詳細は、以下の通りである。
(成膜条件)
・基板:シリコン基板
・成膜温度(基板の表面温度):550℃
・金属前駆体化合物:トリスジメチルアミノシラン(3DMAS)
・酸素含有化合物:オゾン(O
・ヒドロキシ基(OH基)供給源となる化合物:過酸化水素(H
・以下の成膜サイクルの繰り返し回数:300回
(成膜サイクル)
第1の工程:3DMASを処理室内に供給し、基板表面に対し5秒間吸着させる。
第2の工程:10秒間Nによるパージを行い、未反応の3DMASを除去する。
第3の工程:Oを処理室内に供給し、3DMASが吸着した基板表面に対し10秒間酸化させる。
第4の工程:Hを処理室内に供給し、基板表面に対し10秒間親水化処理を行なう。
第5の工程:10秒間Nによるパージを行い、未反応のHを除去する。
(評価結果)
・GPC:0.90(Å/cycle)
・屈折率:1.43
・ステップカバレッジ:0.82
<Comparative Example 1-4>
The details of the film formation conditions, film formation cycles, and evaluation results are as follows.
(Deposition conditions)
・Substrate: Silicon substrate ・Deposition temperature (substrate surface temperature): 550°C
・Metal precursor compound: trisdimethylaminosilane (3DMAS)
・Oxygen-containing compound: ozone (O 3 )
- A compound that serves as a hydroxyl group (OH group) supply source: hydrogen peroxide (H 2 O 2 )
・The number of repetitions of the following film formation cycle: 300 times (film formation cycle)
First step: 3DMAS is supplied into the processing chamber and adhered to the substrate surface for 5 seconds.
Second step: Purge with N2 for 10 seconds to remove unreacted 3DMAS.
Third step: O 3 is supplied into the processing chamber to oxidize the substrate surface on which 3DMAS is adsorbed for 10 seconds.
Fourth step: H 2 O 2 is supplied into the processing chamber to hydrophilize the substrate surface for 10 seconds.
Fifth step: Purge with N2 for 10 seconds to remove unreacted H2O2 .
(Evaluation results)
・GPC: 0.90 (Å/cycle)
・Refractive index: 1.43
・Step coverage: 0.82

基板の表面温度が550℃の条件において、ヒドロキシ基(OH基)供給源となる化合物として過酸化水素を供給した場合、ヒドロキシ基(OH基)供給源の供給工程のない比較例1-3と比較して、GPCおよびステップカバレッジは変化しなかった。したがって、基板温度550℃の加熱条件では、過酸化水素の自己分解性により、親水化工程の成膜速度および段差被覆率の向上に対する効果が薄いことが示された。 When hydrogen peroxide was supplied as a compound serving as a hydroxyl group (OH group) supply source under the condition that the surface temperature of the substrate was 550° C., GPC and step coverage did not change as compared with Comparative Example 1-3 in which there was no step of supplying a hydroxyl group (OH group) supply source. Therefore, it was shown that under the heating condition of the substrate temperature of 550° C., the self-decomposing property of hydrogen peroxide has little effect on improving the film forming speed and step coverage in the hydrophilization step.

<実施例1-1>
成膜条件、成膜サイクル及び評価結果の詳細は、以下の通りである。
(成膜条件)
・基板:シリコン基板
・成膜温度(基板の表面温度):250℃
・金属前駆体化合物:トリスジメチルアミノシラン(3DMAS)
・酸素含有化合物:オゾン(O
・ヒドロキシ基(OH基)供給源となる化合物:過酸化水素(H
・以下の成膜サイクルの繰り返し回数:300回
(成膜サイクル)
第1の工程:3DMASを処理室内に供給し、基板表面に対し5秒間吸着させる。
第2の工程:10秒間Nによるパージを行い、未反応の3DMASを除去する。
第3の工程:Oを処理室内に供給し、3DMASが吸着した基板表面に対し10秒間酸化させる。
第4の工程:Hを処理室内に供給し、基板表面に対し10秒間親水化処理を行なう。
第5の工程:10秒間Nによるパージを行い、未反応のHを除去する。
(評価結果)
・GPC:0.71(Å/cycle)
・屈折率:1.42
・ステップカバレッジ:0.89
<Example 1-1>
The details of the film formation conditions, film formation cycles, and evaluation results are as follows.
(Deposition conditions)
・Substrate: Silicon substrate ・Deposition temperature (substrate surface temperature): 250°C
・Metal precursor compound: trisdimethylaminosilane (3DMAS)
・Oxygen-containing compound: ozone (O 3 )
- A compound that serves as a hydroxyl group (OH group) supply source: hydrogen peroxide (H 2 O 2 )
・The number of repetitions of the following film formation cycle: 300 times (film formation cycle)
First step: 3DMAS is supplied into the processing chamber and adhered to the substrate surface for 5 seconds.
Second step: Purge with N2 for 10 seconds to remove unreacted 3DMAS.
Third step: O 3 is supplied into the processing chamber to oxidize the substrate surface on which 3DMAS is adsorbed for 10 seconds.
Fourth step: H 2 O 2 is supplied into the processing chamber to hydrophilize the substrate surface for 10 seconds.
Fifth step: Purge with N2 for 10 seconds to remove unreacted H2O2 .
(Evaluation results)
・GPC: 0.71 (Å/cycle)
・Refractive index: 1.42
・Step coverage: 0.89

基板の表面温度が250℃の条件において、ヒドロキシ基(OH基)供給源となる化合物として過酸化水素を供給した場合、ヒドロキシ基(OH基)供給源の供給工程のない比較例1-1と比較して、GPCおよびステップカバレッジが向上した。したがって、基板温度250℃の加熱条件では、親水化工程による成膜速度および段差被覆率の向上に対して効果的であることが示された。 When hydrogen peroxide was supplied as a compound serving as a hydroxyl group (OH group) supply source under the condition that the surface temperature of the substrate was 250° C., GPC and step coverage were improved as compared with Comparative Example 1-1 in which there was no step of supplying a hydroxyl group (OH group) supply source. Therefore, it was shown that the heating condition of the substrate temperature of 250° C. is effective for improving the film formation rate and step coverage in the hydrophilization process.

<実施例1-2>
成膜条件、成膜サイクル及び評価結果の詳細は、以下の通りである。
(成膜条件)
・基板:シリコン基板
・成膜温度(基板の表面温度):250℃
・金属前駆体化合物:トリスジメチルアミノシラン(3DMAS)
・酸素含有化合物:オゾン(O
・ヒドロキシ基(OH基)供給源となる化合物:無水過酸化水素(H
・以下の成膜サイクルの繰り返し回数:300回
(成膜サイクル)
第1の工程:3DMASを処理室内に供給し、基板表面に対し5秒間吸着させる。
第2の工程:10秒間Nによるパージを行い、未反応の3DMASを除去する。
第3の工程:Oを処理室内に供給し、3DMASが吸着した基板表面に対し10秒間酸化させる。
第4の工程:無水Hを処理室内に供給し、基板表面に対し10秒間親水化処理を行なう。
第5の工程:10秒間Nによるパージを行い、未反応の無水Hを除去する。
(評価結果)
・GPC:0.74(Å/cycle)
・屈折率:1.43
・ステップカバレッジ:0.93
<Example 1-2>
The details of the film formation conditions, film formation cycles, and evaluation results are as follows.
(Deposition conditions)
・Substrate: Silicon substrate ・Deposition temperature (substrate surface temperature): 250°C
・Metal precursor compound: trisdimethylaminosilane (3DMAS)
・Oxygen-containing compound: ozone (O 3 )
- A compound that serves as a hydroxyl group (OH group) supply source: anhydrous hydrogen peroxide ( H2O2 )
・The number of repetitions of the following film formation cycle: 300 times (film formation cycle)
First step: 3DMAS is supplied into the processing chamber and adhered to the substrate surface for 5 seconds.
Second step: Purge with N2 for 10 seconds to remove unreacted 3DMAS.
Third step: O 3 is supplied into the processing chamber to oxidize the substrate surface on which 3DMAS is adsorbed for 10 seconds.
Fourth step: Anhydrous H 2 O 2 is supplied into the processing chamber to hydrophilize the substrate surface for 10 seconds.
Fifth step: Purge with N2 for 10 seconds to remove unreacted anhydrous H2O2 .
(Evaluation results)
・GPC: 0.74 (Å/cycle)
・Refractive index: 1.43
・Step coverage: 0.93

基板の表面温度が250℃の条件において、ヒドロキシ基(OH基)供給源となる化合物として無水過酸化水素を供給した場合、ヒドロキシ基(OH基)供給源の供給工程のない比較例1-1、及び過酸化水素を用いた実施例1-1と比較して、GPCおよびステップカバレッジが向上した。したがって、基板温度250℃の加熱条件では、無水過酸化水素は過酸化水素よりも成膜速度および段差被覆率の向上に対して効果的であることが示された。 When anhydrous hydrogen peroxide was supplied as a compound serving as a hydroxy group (OH group) supply source under the condition that the surface temperature of the substrate was 250° C., GPC and step coverage were improved compared to Comparative Example 1-1, which did not include the step of supplying a hydroxy group (OH group) supply source, and Example 1-1 using hydrogen peroxide. Therefore, under the heating condition of the substrate temperature of 250° C., anhydrous hydrogen peroxide was shown to be more effective than hydrogen peroxide in improving the film formation rate and step coverage.

[成膜例2:チタニウム酸化膜の原子層堆積]
図1に示す成膜装置1を用い、深さ15μmのトレンチ(溝)構造を形成した基板上に、ALD法によってチタニウム酸化膜を成膜した。
チタニウム酸化膜の評価は、分光エリプソメトリーと断面観察によって行った。分光エリプソメトリーでは、膜厚と屈折率とを測定し、得られた膜厚から、1サイクルあたりの成膜量としてGPC(Growth per cycle)を算出した。また、トレンチ構造部分の断面観察により、トレンチ上部及び底部の膜厚をそれぞれ測定して、段差被覆率(ステップカバレッジ)を評価した。
[Film Formation Example 2: Atomic Layer Deposition of Titanium Oxide Film]
Using the film forming apparatus 1 shown in FIG. 1, a titanium oxide film was formed by ALD on a substrate having a trench (groove) structure with a depth of 15 μm.
The titanium oxide film was evaluated by spectroscopic ellipsometry and cross-sectional observation. In spectroscopic ellipsometry, film thickness and refractive index were measured, and GPC (Growth per cycle) was calculated as the amount of film formed per cycle from the obtained film thickness. In addition, by observing the cross section of the trench structure portion, the film thicknesses of the upper portion and the bottom portion of the trench were measured to evaluate the step coverage (step coverage).

<比較例2-1>
成膜条件、成膜サイクル及び評価結果の詳細は、以下の通りである。
(成膜条件)
・基板:シリコン基板
・成膜温度(基板の表面温度):180℃
・金属前駆体化合物:テトラキスジメチルアミノチタニウム(TDMAT)
・酸素含有化合物:オゾン(O
・ヒドロキシ基(OH基)供給源となる化合物:なし
・以下の成膜サイクルの繰り返し回数:300回
(成膜サイクル)
第1の工程:TDMATを処理室内に供給し、基板表面に対し5秒間吸着させる。
第2の工程:10秒間Nによるパージを行い、未反応のTDMATを除去する。
第3の工程:Oを処理室内に供給し、TDMATが吸着した基板表面に対し10秒間酸化させる。
第4の工程:10秒間Nによるパージを行い、未反応のOを除去する。
(評価結果)
・GPC:0.95(Å/cycle)
・屈折率:2.49
・ステップカバレッジ:0.93
<Comparative Example 2-1>
The details of the film formation conditions, film formation cycles, and evaluation results are as follows.
(Deposition conditions)
・Substrate: Silicon substrate ・Deposition temperature (substrate surface temperature): 180°C
・Metal precursor compound: tetrakisdimethylaminotitanium (TDMAT)
・Oxygen-containing compound: ozone (O 3 )
- A compound that serves as a hydroxyl group (OH group) supply source: none - The number of repetitions of the following film formation cycle: 300 times (film formation cycle)
First step: TDMAT is supplied into the processing chamber and adsorbed on the substrate surface for 5 seconds.
Second step: Purge with N2 for 10 seconds to remove unreacted TDMAT.
Third step: O 3 is supplied into the processing chamber to oxidize the surface of the substrate on which TDMAT is adsorbed for 10 seconds.
Fourth step: Purge with N2 for 10 seconds to remove unreacted O3 .
(Evaluation results)
・GPC: 0.95 (Å/cycle)
・Refractive index: 2.49
・Step coverage: 0.93

<比較例2-2>
成膜条件、成膜サイクル及び評価結果の詳細は、以下の通りである。
(成膜条件)
・基板:ポリイミド基板
・成膜温度(基板の表面温度):180℃
・金属前駆体化合物:テトラキスジメチルアミノチタニウム(TDMAT)
・酸素含有化合物:オゾン(O
・ヒドロキシ基(OH基)供給源となる化合物:なし
・以下の成膜サイクルの繰り返し回数:300回
(成膜サイクル)
第1の工程:TDMATを処理室内に供給し、基板表面に対し5秒間吸着させる。
第2の工程:10秒間Nによるパージを行い、未反応のTDMATを除去する。
第3の工程:Oを処理室内に供給し、TDMATが吸着した基板表面に対し10秒間酸化させる。
第4の工程:10秒間Nによるパージを行い、未反応のOを除去する。
[評価結果]
・GPC:0.77(Å/cycle)
・屈折率:2.47
・ステップカバレッジ:0.82
<Comparative Example 2-2>
The details of the film formation conditions, film formation cycles, and evaluation results are as follows.
(Deposition conditions)
・Substrate: polyimide substrate ・Deposition temperature (substrate surface temperature): 180°C
・Metal precursor compound: tetrakisdimethylaminotitanium (TDMAT)
・Oxygen-containing compound: ozone (O 3 )
- A compound that serves as a hydroxyl group (OH group) supply source: none - The number of repetitions of the following film formation cycle: 300 times (film formation cycle)
First step: TDMAT is supplied into the processing chamber and adsorbed on the substrate surface for 5 seconds.
Second step: Purge with N2 for 10 seconds to remove unreacted TDMAT.
Third step: O 3 is supplied into the processing chamber to oxidize the surface of the substrate on which TDMAT is adsorbed for 10 seconds.
Fourth step: Purge with N2 for 10 seconds to remove unreacted O3 .
[Evaluation results]
・GPC: 0.77 (Å/cycle)
・Refractive index: 2.47
・Step coverage: 0.82

<実施例2-1>
成膜条件、成膜サイクル及び評価結果の詳細は、以下の通りである。
(成膜条件)
・基板:シリコン基板
・成膜温度(基板の表面温度):180℃
・金属前駆体化合物:テトラキスジメチルアミノチタニウム(TDMAT)
・酸素含有化合物:オゾン(O
・ヒドロキシ基(OH基)供給源となる化合物:無水過酸化水素(H
・以下の成膜サイクルの繰り返し回数:300回
(成膜サイクル)
第1の工程:TDMATを処理室内に供給し、基板表面に対し5秒間吸着させる。
第2の工程:10秒間Nによるパージを行い、未反応のTDMATを除去する。
第3の工程:Oを処理室内に供給し、TDMATが吸着した基板表面に対し10秒間酸化させる。
第4の工程:無水Hを処理室内に供給し、基板表面に対し10秒間親水化処理を行なう。
第5の工程:10秒間Nによるパージを行い、未反応の無水Hを除去する。
(評価結果)
・GPC:1.02(Å/cycle)
・屈折率:2.49
・ステップカバレッジ:0.95
<Example 2-1>
The details of the film formation conditions, film formation cycles, and evaluation results are as follows.
(Deposition conditions)
・Substrate: Silicon substrate ・Deposition temperature (substrate surface temperature): 180°C
・Metal precursor compound: tetrakisdimethylaminotitanium (TDMAT)
・Oxygen-containing compound: ozone (O 3 )
- A compound that serves as a hydroxyl group (OH group) supply source: anhydrous hydrogen peroxide ( H2O2 )
・The number of repetitions of the following film formation cycle: 300 times (film formation cycle)
First step: TDMAT is supplied into the processing chamber and adsorbed on the substrate surface for 5 seconds.
Second step: Purge with N2 for 10 seconds to remove unreacted TDMAT.
Third step: O 3 is supplied into the processing chamber to oxidize the surface of the substrate on which TDMAT is adsorbed for 10 seconds.
Fourth step: Anhydrous H 2 O 2 is supplied into the processing chamber to hydrophilize the substrate surface for 10 seconds.
Fifth step: Purge with N2 for 10 seconds to remove unreacted anhydrous H2O2 .
(Evaluation results)
・GPC: 1.02 (Å/cycle)
・Refractive index: 2.49
・Step coverage: 0.95

基板の表面温度が180℃の条件において、ヒドロキシ基(OH基)供給源となる化合物として無水過酸化水素を供給した場合、ヒドロキシ基(OH基)供給源の供給工程のない比較例2-1と比較して、GPCおよびステップカバレッジが向上した。したがって、チタニウム酸化膜の形成プロセスにおいて、基板温度180℃の加熱条件では、無水過酸化水素による親水化工程が、成膜速度および段差被覆率の向上に対して効果的であることが示された。 When anhydrous hydrogen peroxide was supplied as a compound serving as a hydroxy group (OH group) supply source under the condition that the surface temperature of the substrate was 180° C., GPC and step coverage were improved as compared with Comparative Example 2-1 in which there was no step of supplying a hydroxy group (OH group) supply source. Therefore, in the formation process of the titanium oxide film, it was shown that the hydrophilization step with anhydrous hydrogen peroxide is effective for improving the film forming speed and step coverage under the heating condition of the substrate temperature of 180°C.

<実施例2-2>
成膜条件、成膜サイクル及び評価結果の詳細は、以下の通りである。
(成膜条件)
・基板:ポリイミド基板
・成膜温度(基板の表面温度):180℃
・金属前駆体化合物:テトラキスジメチルアミノチタニウム(TDMAT)
・酸素含有化合物:オゾン(O
・ヒドロキシ基(OH基)供給源となる化合物:無水過酸化水素(H
・以下の成膜サイクルの繰り返し回数:300回
(成膜サイクル)
第1の工程:TDMATを処理室内に供給し、基板表面に対し5秒間吸着させる。
第2の工程:10秒間Nによるパージを行い、未反応のTDMATを除去する。
第3の工程:Oを処理室内に供給し、TDMATが吸着した基板表面に対し10秒間酸化させる。
第4の工程:無水Hを処理室内に供給し、基板表面に対し10秒間親水化処理を行なう。
第5の工程:10秒間Nによるパージを行い、未反応の無水Hを除去する。
(評価結果)
・GPC:0.94(Å/cycle)
・屈折率:2.49
・ステップカバレッジ:0.92
<Example 2-2>
The details of the film formation conditions, film formation cycles, and evaluation results are as follows.
(Deposition conditions)
・Substrate: polyimide substrate ・Deposition temperature (substrate surface temperature): 180°C
・Metal precursor compound: tetrakisdimethylaminotitanium (TDMAT)
・Oxygen-containing compound: ozone (O 3 )
- A compound that serves as a hydroxyl group (OH group) supply source: anhydrous hydrogen peroxide ( H2O2 )
・The number of repetitions of the following film formation cycle: 300 times (film formation cycle)
First step: TDMAT is supplied into the processing chamber and adsorbed on the substrate surface for 5 seconds.
Second step: Purge with N2 for 10 seconds to remove unreacted TDMAT.
Third step: O 3 is supplied into the processing chamber to oxidize the surface of the substrate on which TDMAT is adsorbed for 10 seconds.
Fourth step: Anhydrous H 2 O 2 is supplied into the processing chamber to hydrophilize the substrate surface for 10 seconds.
Fifth step: Purge with N2 for 10 seconds to remove unreacted anhydrous H2O2 .
(Evaluation results)
・GPC: 0.94 (Å/cycle)
・Refractive index: 2.49
・Step coverage: 0.92

基板の表面温度が180℃の条件において、ヒドロキシ基(OH基)供給源となる化合物として無水過酸化水素を用いた場合、ヒドロキシ基(OH基)供給源の供給工程のない比較例2-2と比較して、GPCおよびステップカバレッジが向上した。したがって、チタニウム酸化膜の形成プロセスにおいて、基板温度180℃の加熱条件では、無水過酸化水素による親水化工程が、成膜速度および段差被覆率の向上に対して効果的であることが示された。 When anhydrous hydrogen peroxide was used as the compound serving as the hydroxyl group (OH group) supply source under the condition that the surface temperature of the substrate was 180° C., GPC and step coverage were improved as compared with Comparative Example 2-2 in which there was no supply step of the hydroxyl group (OH group) supply source. Therefore, in the formation process of the titanium oxide film, it was shown that the hydrophilization step with anhydrous hydrogen peroxide is effective for improving the film forming speed and step coverage under the heating condition of the substrate temperature of 180°C.

[成膜例3:アルミニウム酸化膜の原子層堆積]
図1に示す成膜装置1にリモートプラズマソースが設置された成膜装置を用い、深さ15μmのトレンチ(溝)構造を形成した基板上に、PEALD法によってアルミニウム酸化膜を成膜した。
アルミニウム酸化膜の評価は、分光エリプソメトリーと断面観察によって行った。分光エリプソメトリーでは、膜厚と屈折率とを測定し、得られた膜厚から、1サイクルあたりの成膜量としてGPC(Growth per cycle)を算出した。また、トレンチ構造部分の断面観察により、トレンチ上部及び底部の膜厚をそれぞれ測定して、段差被覆率(ステップカバレッジ)を評価した。
[Film Formation Example 3: Atomic Layer Deposition of Aluminum Oxide Film]
An aluminum oxide film was formed by the PEALD method on a substrate in which a trench (groove) structure with a depth of 15 μm was formed, using a film forming apparatus equipped with a remote plasma source in the film forming apparatus 1 shown in FIG.
Evaluation of the aluminum oxide film was performed by spectroscopic ellipsometry and cross-sectional observation. In spectroscopic ellipsometry, film thickness and refractive index were measured, and GPC (Growth per cycle) was calculated as the amount of film formed per cycle from the obtained film thickness. In addition, by observing the cross section of the trench structure portion, the film thicknesses of the upper portion and the bottom portion of the trench were measured to evaluate the step coverage (step coverage).

<比較例3-1>
成膜条件、成膜サイクル及び評価結果の詳細は、以下の通りである。
(成膜条件)
・基板:シリコン基板
・成膜温度(基板の表面温度):200℃
・金属前駆体化合物:トリメチルアルミニウム(TMA)
・酸素含有化合物:酸素プラズマ(Oプラズマ)
・ヒドロキシ基(OH基)供給源となる化合物:なし
・以下の成膜サイクルの繰り返し回数:300回
(成膜サイクル)
第1の工程:TMAを処理室内に供給し、基板表面に対し5秒間吸着させる。
第2の工程:10秒間Nによるパージを行い、未反応のTMAを除去する。
第3の工程:Oプラズマを処理室内に供給し、TMAが吸着した基板表面に対し10秒間酸化させる。
第4の工程:10秒間Nによるパージを行い、未反応のOプラズマを除去する。
(評価結果)
・GPC:1.07(Å/cycle)
・屈折率:1.66
・ステップカバレッジ:0.85
<Comparative Example 3-1>
The details of the film formation conditions, film formation cycles, and evaluation results are as follows.
(Deposition conditions)
・Substrate: Silicon substrate ・Deposition temperature (substrate surface temperature): 200°C
・Metal precursor compound: trimethylaluminum (TMA)
Oxygen-containing compounds: oxygen plasma ( O2 plasma)
- A compound that serves as a hydroxyl group (OH group) supply source: none - The number of repetitions of the following film formation cycle: 300 times (film formation cycle)
First step: TMA is supplied into the processing chamber and adhered to the substrate surface for 5 seconds.
Second step: Purge with N2 for 10 seconds to remove unreacted TMA.
Third step: O 2 plasma is supplied into the processing chamber to oxidize the substrate surface on which TMA is adsorbed for 10 seconds.
Fourth step: Purge with N2 for 10 seconds to remove unreacted O2 plasma.
(Evaluation results)
・GPC: 1.07 (Å/cycle)
・Refractive index: 1.66
・Step coverage: 0.85

<比較例3-2>
成膜条件、成膜サイクル及び評価結果の詳細は、以下の通りである。
(成膜条件)
・基板:シリコン基板
・成膜温度(基板の表面温度):200℃
・金属前駆体化合物:トリメチルアルミニウム(TMA)
・酸素含有化合物:水蒸気(HO)
・ヒドロキシ基(OH基)供給源となる化合物:なし
・以下の成膜サイクルの繰り返し回数:300回
(成膜サイクル)
第1の工程:TMAを処理室内に供給し、基板表面に対し5秒間吸着させる。
第2の工程:10秒間Nによるパージを行い、未反応のTMAを除去する。
第3の工程:HOを処理室内に供給し、TMAが吸着した基板表面に対し10秒間酸化させる。
第4の工程:10秒間Nによるパージを行い、未反応のHOを除去する。
(評価結果)
・GPC:0.93(Å/cycle)
・屈折率:1.65
・ステップカバレッジ:0.91
<Comparative Example 3-2>
The details of the film formation conditions, film formation cycles, and evaluation results are as follows.
(Deposition conditions)
・Substrate: Silicon substrate ・Deposition temperature (substrate surface temperature): 200°C
・Metal precursor compound: trimethylaluminum (TMA)
- Oxygen-containing compound: Water vapor ( H2O )
- A compound that serves as a hydroxyl group (OH group) supply source: none - The number of repetitions of the following film formation cycle: 300 times (film formation cycle)
First step: TMA is supplied into the processing chamber and adhered to the substrate surface for 5 seconds.
Second step: Purge with N2 for 10 seconds to remove unreacted TMA.
Third step: H 2 O is supplied into the processing chamber to oxidize the surface of the substrate on which TMA is adsorbed for 10 seconds.
Fourth step: Purge with N2 for 10 seconds to remove unreacted H2O .
(Evaluation results)
・GPC: 0.93 (Å/cycle)
・Refractive index: 1.65
・Step coverage: 0.91

基板の表面温度が200℃の条件において、酸素含有化合物として水蒸気を用いた場合、酸素含有化合物として酸素プラズマを用いた比較例3-1と比較してGPCが低下したが、ステップカバレッジは向上した。 When water vapor was used as the oxygen-containing compound under the condition that the surface temperature of the substrate was 200° C., the GPC was lower than in Comparative Example 3-1 using oxygen plasma as the oxygen-containing compound, but the step coverage was improved.

<実施例3-1>
成膜条件、成膜サイクル及び評価結果の詳細は、以下の通りである。
(成膜条件)
・基板:シリコン基板
・成膜温度(基板の表面温度):200℃
・金属前駆体化合物:トリメチルアルミニウム(TMA)
・酸素含有化合物:水蒸気(HO)
・ヒドロキシ基(OH基)供給源となる化合物:無水過酸化水素(H
・以下の成膜サイクルの繰り返し回数:300回
(成膜サイクル)
第1の工程:TMAを処理室内に供給し、基板表面に対し5秒間吸着させる。
第2の工程:10秒間Nによるパージを行い、未反応のTMAを除去する。
第3の工程:HOを処理室内に供給し、TMAが吸着した基板表面に対し10秒間酸化させる。
第4の工程:無水Hを処理室内に供給し、基板表面に対し10秒間親水化処理を行なう。
第5の工程:10秒間Nによるパージを行い、未反応の無水Hを除去する。
(評価結果)
・GPC:1.03(Å/cycle)
・屈折率:1.66
・ステップカバレッジ:0.93
<Example 3-1>
The details of the film formation conditions, film formation cycles, and evaluation results are as follows.
(Deposition conditions)
・Substrate: Silicon substrate ・Deposition temperature (substrate surface temperature): 200°C
・Metal precursor compound: trimethylaluminum (TMA)
- Oxygen-containing compound: Water vapor ( H2O )
- A compound that serves as a hydroxyl group (OH group) supply source: anhydrous hydrogen peroxide ( H2O2 )
・The number of repetitions of the following film formation cycle: 300 times (film formation cycle)
First step: TMA is supplied into the processing chamber and adhered to the substrate surface for 5 seconds.
Second step: Purge with N2 for 10 seconds to remove unreacted TMA.
Third step: H 2 O is supplied into the processing chamber to oxidize the surface of the substrate on which TMA is adsorbed for 10 seconds.
Fourth step: Anhydrous H 2 O 2 is supplied into the processing chamber to hydrophilize the substrate surface for 10 seconds.
Fifth step: Purge with N2 for 10 seconds to remove unreacted anhydrous H2O2 .
(Evaluation results)
・GPC: 1.03 (Å/cycle)
・Refractive index: 1.66
・Step coverage: 0.93

基板の表面温度が200℃の条件において、ヒドロキシ基(OH基)供給源となる化合物として無水過酸化水素を用いた場合、比較例3-1及び比較例3-2と比較して、GPCおよびステップカバレッジが向上した。したがって、アルミニウム酸化膜の形成プロセスにおいて、基板温度200℃の加熱条件では、無水過酸化水素による親水化工程が、成膜速度および段差被覆率の向上に対して効果的であることが示された。
なお、実施例1-1、実施例1-2、実施例2-1、実施例2-2、実施例3-1は、参考例である。
When anhydrous hydrogen peroxide was used as a compound serving as a hydroxyl group (OH group) supply source under the condition that the surface temperature of the substrate was 200° C., GPC and step coverage were improved as compared with Comparative Examples 3-1 and 3-2. Therefore, in the process of forming an aluminum oxide film, it was shown that the hydrophilization step using anhydrous hydrogen peroxide is effective for improving the film formation rate and step coverage under the heating condition of the substrate temperature of 200°C.
Examples 1-1, 1-2, 2-1, 2-2, and 3-1 are reference examples.

Figure 0007314016000001
Figure 0007314016000001

本発明の金属酸化薄膜の形成方法は、基板の表面温度が150℃~500℃に制御された、原子層堆積(ALD:Atomic Layer Deposition)法を利用した金属酸化膜の薄膜を形成する方法に産業上の利用可能性を有する。 The method for forming a metal oxide thin film of the present invention has industrial applicability to a method for forming a thin metal oxide film using an atomic layer deposition (ALD) method in which the surface temperature of the substrate is controlled to 150°C to 500°C.

1・・・成膜装置
2・・・処理室
3・・・容器
4・・・気化器
5・・・熱交換器
C・・・基板
L1~L10・・・経路
DESCRIPTION OF SYMBOLS 1... Film-forming apparatus 2... Processing chamber 3... Container 4... Vaporizer 5... Heat exchanger C... Substrate L1-L10... Path|route

Claims (7)

基板を処理室内に設置し、前記処理室内の基板を加熱して、前記基板の表面温度を150℃以上500℃以下の範囲内のいずれかの温度に制御しながら、
前記処理室内の前記基板に対して、1以上の金属前駆体化合物を供給する工程と、
前記処理室内の前記基板に対して、1以上の酸素含有化合物を供給する工程と、
前記処理室内の前記基板に対して、1以上のヒドロキシ基供給源を供給する工程と、を含み、
前記金属前駆体化合物を供給する工程と、前記酸素含有化合物を供給する工程と、前記ヒドロキシ基供給源を供給する工程と、はこの順序で行われ、
前記ヒドロキシ基供給源が過酸化水素又は無水過酸化水素を含み、
前記酸素含有化合物は、前記ヒドロキシ基供給源を供給する工程で供給される過酸化水素又は無水過酸化水素を含まず、
前記ヒドロキシ基供給源の共存ガスが、酸素である、成膜サイクルを、前記基板上の金属酸化薄膜が所要の膜厚となるまで繰り返す、金属酸化薄膜の形成方法。
A substrate is placed in a processing chamber, and the substrate in the processing chamber is heated to control the surface temperature of the substrate to any temperature within the range of 150° C. or more and 500° C. or less,
providing one or more metal precursor compounds to the substrate in the processing chamber;
supplying one or more oxygen-containing compounds to the substrate in the processing chamber;
providing one or more hydroxyl group sources to the substrate in the processing chamber ;
The step of supplying the metal precursor compound, the step of supplying the oxygen-containing compound, and the step of supplying the hydroxy group supply source are performed in this order,
the hydroxy group source comprises hydrogen peroxide or anhydrous hydrogen peroxide;
The oxygen-containing compound does not contain hydrogen peroxide or anhydrous hydrogen peroxide supplied in the step of supplying the hydroxy group supply source,
A method for forming a metal oxide thin film , wherein the coexisting gas of the hydroxyl group supply source is oxygen, and a film forming cycle is repeated until the metal oxide thin film on the substrate reaches a desired thickness.
前記成膜サイクルが、各工程間のそれぞれに、不活性ガスによって前記処理室内の気相置換を行う工程を含む、請求項に記載の金属酸化薄膜の形成方法。 2. The method of forming a metal oxide thin film according to claim 1 , wherein said film forming cycle includes a step of performing vapor phase replacement in said processing chamber with an inert gas between each step. 前記不活性ガスとして、ヘリウム、窒素、及びアルゴンからなる群から選択されるいずれか1種又は2種以上の混合ガスを用いる、請求項に記載の金属酸化薄膜の形成方法。 3. The method of forming a metal oxide thin film according to claim 2 , wherein any one or a mixed gas of two or more selected from the group consisting of helium, nitrogen and argon is used as the inert gas. 前記処理室内の圧力が、13Pa以上13332Pa以下である、請求項1乃至のいずれか一項に記載の金属酸化薄膜の形成方法。 4. The method for forming a metal oxide thin film according to claim 1, wherein the pressure in said processing chamber is 13 Pa or more and 13332 Pa or less. 前記基板が、シリコン基板、ガラス基板、ポリイミド樹脂基板、又はエポキシ樹脂基板である、請求項1乃至のいずれか一項に記載の金属酸化薄膜の形成方法。 5. The method of forming a metal oxide thin film according to claim 1 , wherein said substrate is a silicon substrate, a glass substrate, a polyimide resin substrate, or an epoxy resin substrate. 前記金属前駆体化合物として、(EtO)Si、(tBuNH) SiH、(iPrN)SiH、(MeN)Si、(MeN)SiH、(MeN)SiH、(MeN)SiH (EtN)Si、(EtN)SiH、(EtN)SiH、(EtN)SiH、(MeN)Ti、TiCl、(C)Zr(MeN)、(MeEtN)Zr、HfCl、(MeEtN)Hf、(MeN)Hf、MeAlからなる群から選択される1種以上を供給する、請求項1乃至のいずれか一項に記載の金属酸化薄膜の形成方法。 As the metal precursor compound, (EtO)4Si, (tBuNH) 2 SiH2, (iPr2N) SiH3, (Me2N)4Si, (Me2N)3SiH, (Me2N)2SiH2, (Me2N) SiH3 ,(Et2N)4Si, (Et2N)3SiH, (Et2N)2SiH2, (Et2N) SiH3, (Me2N)4Ti, TiCl4, (C5H.5) Zr(Me2N)3, (MeEtN)4Zr, HfCl4, (MeEtN)4Hf, (Me2N)4Hf, Me3Supplying one or more selected from the group consisting of Al, claims 1 to5The method for forming a metal oxide thin film according to any one of . 前記酸素含有化合物として、酸素、酸素プラズマ、水蒸気、水蒸気プラズマ、過酸化水素、過酸化水素プラズマ、無水過酸化水素、オゾン、亜酸化窒素からなる群から選択される1種以上を供給する、請求項1乃至のいずれか一項に記載の金属酸化薄膜の形成方法。 The method for forming a metal oxide thin film according to any one of claims 1 to 6 , wherein one or more selected from the group consisting of oxygen, oxygen plasma, water vapor, water vapor plasma, hydrogen peroxide, hydrogen peroxide plasma, anhydrous hydrogen peroxide, ozone, and nitrous oxide is supplied as the oxygen-containing compound.
JP2019189198A 2019-10-16 2019-10-16 Method for forming metal oxide thin film Active JP7314016B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2019189198A JP7314016B2 (en) 2019-10-16 2019-10-16 Method for forming metal oxide thin film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019189198A JP7314016B2 (en) 2019-10-16 2019-10-16 Method for forming metal oxide thin film

Publications (2)

Publication Number Publication Date
JP2021064720A JP2021064720A (en) 2021-04-22
JP7314016B2 true JP7314016B2 (en) 2023-07-25

Family

ID=75488158

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019189198A Active JP7314016B2 (en) 2019-10-16 2019-10-16 Method for forming metal oxide thin film

Country Status (1)

Country Link
JP (1) JP7314016B2 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7039085B1 (en) * 2021-08-30 2022-03-22 株式会社クリエイティブコーティングス Film forming equipment

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030114018A1 (en) 2001-06-27 2003-06-19 Martin Gutsche Method for fabricating a semiconductor component
JP2009152640A (en) 2005-02-17 2009-07-09 Hitachi Kokusai Electric Inc Substrate processing device
JP2011054742A (en) 2009-09-01 2011-03-17 Tokyo Electron Ltd Film formation apparatus, and film formation method
JP2013236073A (en) 2012-04-12 2013-11-21 Air Products & Chemicals Inc High temperature atomic layer deposition of silicon oxide thin films
JP2016100530A (en) 2014-11-25 2016-05-30 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP2022516238A (en) 2018-12-21 2022-02-25 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Precursors and processes for depositing Si-containing membranes using ALD at temperatures above 550 ° C.

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0793296B1 (en) * 1991-01-08 1995-10-09 Fujitsu Kk
US5710079A (en) * 1996-05-24 1998-01-20 Lsi Logic Corporation Method and apparatus for forming dielectric films

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030114018A1 (en) 2001-06-27 2003-06-19 Martin Gutsche Method for fabricating a semiconductor component
JP2009152640A (en) 2005-02-17 2009-07-09 Hitachi Kokusai Electric Inc Substrate processing device
JP2011054742A (en) 2009-09-01 2011-03-17 Tokyo Electron Ltd Film formation apparatus, and film formation method
JP2013236073A (en) 2012-04-12 2013-11-21 Air Products & Chemicals Inc High temperature atomic layer deposition of silicon oxide thin films
JP2016100530A (en) 2014-11-25 2016-05-30 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP2022516238A (en) 2018-12-21 2022-02-25 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Precursors and processes for depositing Si-containing membranes using ALD at temperatures above 550 ° C.

Also Published As

Publication number Publication date
JP2021064720A (en) 2021-04-22

Similar Documents

Publication Publication Date Title
KR102459905B1 (en) Gas supply nozzle, substrate processing device, method of manufacturing semiconductor device and program
JP5947417B2 (en) Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US10026607B2 (en) Substrate processing apparatus for forming film including at least two different elements
JP5775947B2 (en) Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
US8946092B2 (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
JP5977364B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and recording medium
JP5847566B2 (en) Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program
KR101524519B1 (en) Method of manufacturing semiconductor device, substrate processing apparatus and non-transitory computer readable recording medium
TWI523104B (en) Method of manufacturing semiconductor device, method of processing substrate and substrate processing apparatus
CN108122736B (en) Method for manufacturing semiconductor device, substrate processing apparatus, and storage medium
JP2014216342A (en) Semiconductor device manufacturing method, substrate processing apparatus and program
JP2017112145A (en) Semiconductor device manufacturing method, substrate processing apparatus, gas supply system and program
JP6529780B2 (en) Semiconductor device manufacturing method, substrate processing apparatus and program
WO2018088003A1 (en) Manufacturing method for semiconductor device, substrate processing device, and program
JP6151335B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, and program
JP7314016B2 (en) Method for forming metal oxide thin film
JP2017183392A (en) Substrate processing device, method of manufacturing semiconductor device, and recording medium
JP5841222B2 (en) Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus
JP2019054291A (en) Semiconductor device manufacturing method, substrate processing apparatus and program

Legal Events

Date Code Title Description
A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20201106

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220901

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230530

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230531

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230622

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230627

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230712

R150 Certificate of patent or registration of utility model

Ref document number: 7314016

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150