JP7204353B2 - Transistors and semiconductor devices - Google Patents

Transistors and semiconductor devices Download PDF

Info

Publication number
JP7204353B2
JP7204353B2 JP2018114837A JP2018114837A JP7204353B2 JP 7204353 B2 JP7204353 B2 JP 7204353B2 JP 2018114837 A JP2018114837 A JP 2018114837A JP 2018114837 A JP2018114837 A JP 2018114837A JP 7204353 B2 JP7204353 B2 JP 7204353B2
Authority
JP
Japan
Prior art keywords
oxide
insulator
film
transistor
conductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018114837A
Other languages
Japanese (ja)
Other versions
JP2019220516A5 (en
JP2019220516A (en
Inventor
舜平 山崎
亮 徳丸
哲弥 掛端
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to JP2018114837A priority Critical patent/JP7204353B2/en
Publication of JP2019220516A publication Critical patent/JP2019220516A/en
Publication of JP2019220516A5 publication Critical patent/JP2019220516A5/en
Application granted granted Critical
Publication of JP7204353B2 publication Critical patent/JP7204353B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明の一態様は、半導体装置、ならびに半導体装置の作製方法に関する。または、本発明の一態様は、半導体ウエハ、モジュール、および電子機器に関する。 One embodiment of the present invention relates to a semiconductor device and a method for manufacturing the semiconductor device. Alternatively, one aspect of the present invention relates to semiconductor wafers, modules, and electronic devices.

なお、本明細書等において半導体装置とは、半導体特性を利用することで機能し得る装置全般を指す。トランジスタなどの半導体素子をはじめ、半導体回路、演算装置、記憶装置は、半導体装置の一態様である。表示装置(液晶表示装置、発光表示装置など)、投影装置、照明装置、電気光学装置、蓄電装置、記憶装置、半導体回路、撮像装置、および電子機器などは、半導体装置を有すると言える場合がある。 Note that a semiconductor device in this specification and the like refers to all devices that can function by utilizing semiconductor characteristics. A semiconductor element such as a transistor, a semiconductor circuit, an arithmetic device, and a memory device are examples of semiconductor devices. Display devices (liquid crystal display devices, light-emitting display devices, etc.), projection devices, lighting devices, electro-optic devices, power storage devices, storage devices, semiconductor circuits, imaging devices, electronic devices, and the like can be said to have semiconductor devices in some cases. .

なお、本発明の一態様は、上記の技術分野に限定されない。本明細書等で開示する発明の一態様は、物、方法、または、製造方法に関するものである。または、本発明の一態様は、プロセス、マシン、マニュファクチャ、または、組成物(コンポジション・オブ・マター)に関するものである。 Note that one embodiment of the present invention is not limited to the above technical field. One embodiment of the invention disclosed in this specification and the like relates to a product, a method, or a manufacturing method. Alternatively, one aspect of the invention relates to a process, machine, manufacture, or composition of matter.

トランジスタに適用可能な半導体薄膜として、シリコン系半導体材料が広く知られているが、その他の材料として酸化物半導体が注目されている。酸化物半導体としては、例えば、酸化インジウム、酸化亜鉛などの一元系金属の酸化物のみでなく、多元系金属の酸化物も知られている。多元系金属の酸化物の中でも、特に、In-Ga-Zn酸化物(以下、IGZOとも呼ぶ。)に関する研究が盛んに行われている。 Silicon-based semiconductor materials are widely known as semiconductor thin films applicable to transistors, but oxide semiconductors are attracting attention as other materials. As oxide semiconductors, for example, not only single-component metal oxides such as indium oxide and zinc oxide, but also multi-component metal oxides are known. In--Ga--Zn oxides (hereinafter also referred to as IGZO) have been extensively studied among multicomponent metal oxides.

IGZOに関する研究により、酸化物半導体において、単結晶でも非晶質でもない、CAAC(c-axis aligned crystalline)構造およびnc(nanocrystalline)構造が見出された(非特許文献1乃至非特許文献3参照。)。非特許文献1および非特許文献2では、CAAC構造を有する酸化物半導体を用いてトランジスタを作製する技術も開示されている。さらに、CAAC構造およびnc構造よりも結晶性の低い酸化物半導体でさえも、微小な結晶を有することが、非特許文献4および非特許文献5に示されている。 Research on IGZO has found a CAAC (c-axis aligned crystalline) structure and an nc (nanocrystalline) structure, which are neither single crystal nor amorphous, in oxide semiconductors (see Non-Patent Documents 1 to 3). .). Non-Patent Document 1 and Non-Patent Document 2 also disclose a technique for manufacturing a transistor using an oxide semiconductor having a CAAC structure. Furthermore, Non-Patent Document 4 and Non-Patent Document 5 show that even an oxide semiconductor having a crystallinity lower than that of the CAAC structure and the nc structure has minute crystals.

さらに、IGZOを活性層として用いたトランジスタは極めて低いオフ電流を持ち(非特許文献6参照。)、その特性を利用したLSIおよびディスプレイが報告されている(非特許文献7および非特許文献8参照。)。 Furthermore, a transistor using IGZO as an active layer has an extremely low off-state current (see Non-Patent Document 6), and LSIs and displays utilizing this characteristic have been reported (see Non-Patent Document 7 and Non-Patent Document 8). .).

S. Yamazaki et al., “SID Symposium Digest of Technical Papers”, 2012, volume 43, issue 1, p.183-186S. Yamazaki et al. , "SID Symposium Digest of Technical Papers", 2012, volume 43, issue 1, p. 183-186 S. Yamazaki et al., “Japanese Journal of Applied Physics”, 2014, volume 53, Number 4S, p.04ED18-1-04ED18-10S. Yamazaki et al. , "Japanese Journal of Applied Physics", 2014, volume 53, Number 4S, p. 04ED18-1-04ED18-10 S. Ito et al., “The Proceedings of AM-FPD’13 Digest of Technical Papers”, 2013, p.151-154S. Ito et al. , "The Proceedings of AM-FPD'13 Digest of Technical Papers", 2013, p. 151-154 S. Yamazaki et al., “ECS Journal of Solid State Science and Technology”, 2014, volume 3, issue 9, p.Q3012-Q3022S. Yamazaki et al. , "ECS Journal of Solid State Science and Technology", 2014, volume 3, issue 9, p. Q3012-Q3022 S. Yamazaki, “ECS Transactions”,2014, volume 64, issue 10, p.155-164S. Yamazaki, "ECS Transactions", 2014, volume 64, issue 10, p. 155-164 K. Kato et al., “Japanese Journal of Applied Physics”, 2012, volume 51, p.021201-1-021201-7K. Kato et al. , "Japanese Journal of Applied Physics", 2012, volume 51, p. 021201-1-021201-7 S. Matsuda et al., “2015 Symposium on VLSI Technology Digest of Technical Papers”, 2015, p.T216-T217S. Matsuda et al. , "2015 Symposium on VLSI Technology Digest of Technical Papers", 2015, p. T216-T217 S. Amano et al., “SID Symposium Digest of Technical Papers”, 2010, volume 41, issue 1, p.626-629S. Amano et al. , "SID Symposium Digest of Technical Papers", 2010, volume 41, issue 1, p. 626-629

チャネル形成領域に酸化物半導体を有するトランジスタであって、前記トランジスタは、ゲート、ソース、及びドレインを有し、前記酸化物半導体の下方には、第1の絶縁体が形成され、前記ゲートと、前記酸化物半導体との間には、第2の絶縁体が形成され、前記第1の絶縁体、及び前記第2の絶縁体のいずれか一方または双方は、シリコンを含む層と、ガリウムを含む層と、を有する、ことを特徴とするトランジスタ。 A transistor including an oxide semiconductor in a channel formation region, the transistor including a gate, a source, and a drain; a first insulator is formed below the oxide semiconductor; A second insulator is formed between the oxide semiconductor, and one or both of the first insulator and the second insulator include a layer containing silicon and a layer containing gallium. A transistor comprising: a layer;

本発明の一態様は、良好な電気特性を有する半導体装置を提供することを課題の一つとする。または、本発明の一態様は、信頼性が良好な半導体装置を提供することを課題の一つとする。または、本発明の一態様は、微細化または高集積化が可能な半導体装置を提供することを課題の一つとする。または、本発明の一態様は、オン電流が大きい半導体装置を提供することを課題の一つとする。または、本発明の一態様は、高い周波数特性を有する半導体装置を提供することを課題の一つとする。または、本発明の一態様は、生産性の高い半導体装置を提供することを課題の一つとする。 An object of one embodiment of the present invention is to provide a semiconductor device with favorable electrical characteristics. Another object of one embodiment of the present invention is to provide a highly reliable semiconductor device. Another object of one embodiment of the present invention is to provide a semiconductor device that can be miniaturized or highly integrated. Another object of one embodiment of the present invention is to provide a semiconductor device with high on-state current. Another object of one embodiment of the present invention is to provide a semiconductor device with high frequency characteristics. Another object of one embodiment of the present invention is to provide a highly productive semiconductor device.

本発明の一態様は、長期間においてデータの保持が可能な半導体装置を提供することを課題の一つとする。本発明の一態様は、データの書き込み速度が速い半導体装置を提供することを課題の一つとする。本発明の一態様は、設計自由度が高い半導体装置を提供することを課題の一つとする。本発明の一態様は、消費電力を抑えることができる半導体装置を提供することを課題の一つとする。本発明の一態様は、新規な半導体装置を提供することを課題の一つとする。 An object of one embodiment of the present invention is to provide a semiconductor device capable of holding data for a long time. An object of one embodiment of the present invention is to provide a semiconductor device with high data writing speed. An object of one embodiment of the present invention is to provide a semiconductor device with a high degree of freedom in design. An object of one embodiment of the present invention is to provide a semiconductor device that can consume less power. An object of one embodiment of the present invention is to provide a novel semiconductor device.

なお、これらの課題の記載は、他の課題の存在を妨げるものではない。なお、本発明の一態様は、これらの課題の全てを解決する必要はないものとする。なお、これら以外の課題は、明細書、図面、請求項などの記載から、自ずと明らかとなるものであり、明細書、図面、請求項などの記載から、これら以外の課題を抽出することが可能である。 The description of these problems does not preclude the existence of other problems. Note that one embodiment of the present invention does not necessarily solve all of these problems. Problems other than these are self-evident from the descriptions of the specification, drawings, claims, etc., and it is possible to extract problems other than these from the descriptions of the specification, drawings, claims, etc. is.

本発明の一態様は、チャネル形成領域に酸化物半導体を有するトランジスタであって、トランジスタは、ゲート、ソース、及びドレインを有し、酸化物半導体の下方には、第1の絶縁体が形成され、ゲートと、酸化物半導体との間には、第2の絶縁体が形成され、第1の絶縁体、及び第2の絶縁体のいずれか一方または双方は、シリコンを含む層と、ガリウムを含む層と、を有する。 One embodiment of the present invention is a transistor including an oxide semiconductor in a channel formation region, the transistor includes a gate, a source, and a drain, and a first insulator is formed below the oxide semiconductor. , a second insulator is formed between the gate and the oxide semiconductor, and one or both of the first insulator and the second insulator include a layer containing silicon and gallium. a layer comprising;

本発明の一態様は、チャネル形成領域に酸化物半導体を有するトランジスタであって、トランジスタは、第1のゲート、第2のゲート、ソース、及びドレインを有し、第2のゲートと、酸化物半導体との間には、第1の絶縁体が形成され、第1のゲートと、酸化物半導体との間には、第2の絶縁体が形成され、第1の絶縁体、及び第2の絶縁体のいずれか一方または双方は、シリコンを含む層と、ガリウムを含む層と、を有する。 One embodiment of the present invention is a transistor including an oxide semiconductor in a channel formation region, the transistor including a first gate, a second gate, a source, and a drain; A first insulator is formed between the semiconductor, a second insulator is formed between the first gate and the oxide semiconductor, and the first insulator and the second insulator are formed between the first gate and the oxide semiconductor. Either or both of the insulators have a layer containing silicon and a layer containing gallium.

上記において、請求項1または請求項2のいずれか一項において、酸化物半導体は、インジウム、亜鉛、およびガリウムを含む。 In the above, in any one of claim 1 and claim 2, the oxide semiconductor contains indium, zinc, and gallium.

上記において、酸化物半導体、およびガリウムを含む層は、結晶構造を有している。 In the above, the oxide semiconductor and the layer containing gallium have a crystal structure.

上記において、容量素子と、を有する半導体装置であって、容量素子は、トランジスタに含まれるシリコンを含む層と、ガリウムを含む層と、を有する。 In the above semiconductor device including the capacitor, the capacitor includes a layer containing silicon and a layer containing gallium which are included in the transistor.

上記において、容量素子は、トランジスタの上方に形成される。 In the above, the capacitor is formed above the transistor.

上記において、容量素子は、トランジスタの下方に形成される。 In the above, the capacitor is formed below the transistor.

上記トランジスタは、第3の絶縁体と、第4の絶縁体と、を有する半導体装置であって、第3の絶縁体は、アルミニウムと、酸素と、を有し、第4の絶縁体は、シリコンと、窒素と、を有し、トランジスタの上面、下面、及び側面のいずれか一または複数は、第3の絶縁体、及び第4の絶縁体によって覆われている。 The transistor is a semiconductor device including a third insulator and a fourth insulator, the third insulator including aluminum and oxygen, and the fourth insulator comprising: It contains silicon and nitrogen, and one or more of a top surface, a bottom surface, and side surfaces of the transistor are covered with a third insulator and a fourth insulator.

上記において、第3の絶縁体は、第4の絶縁体よりも内側に位置する。 In the above, the third insulator is located inside the fourth insulator.

本発明の一態様により、良好な電気特性を有する半導体装置を提供することができる。または、本発明の一態様により、信頼性が良好な半導体装置を提供することができる。または、本発明の一態様により、微細化または高集積化が可能な半導体装置を提供することができる。または、本発明の一態様により、オン電流が大きい半導体装置を提供することができる。または、本発明の一態様により、高い周波数特性を有する半導体装置を提供することができる。または、本発明の一態様により、生産性の高い半導体装置を提供することができる。 According to one embodiment of the present invention, a semiconductor device with favorable electrical characteristics can be provided. Alternatively, according to one embodiment of the present invention, a highly reliable semiconductor device can be provided. Alternatively, according to one embodiment of the present invention, a semiconductor device that can be miniaturized or highly integrated can be provided. Alternatively, according to one embodiment of the present invention, a semiconductor device with high on-state current can be provided. Alternatively, according to one embodiment of the present invention, a semiconductor device with high frequency characteristics can be provided. Alternatively, according to one embodiment of the present invention, a semiconductor device with high productivity can be provided.

または、長期間においてデータの保持が可能な半導体装置を提供することができる。または、データの書き込み速度が速い半導体装置を提供することができる。または、設計自由度が高い半導体装置を提供することができる。または、消費電力を抑えることができる半導体装置を提供することができる。または、新規な半導体装置を提供することができる。 Alternatively, a semiconductor device capable of holding data for a long time can be provided. Alternatively, a semiconductor device with high data writing speed can be provided. Alternatively, a semiconductor device with a high degree of freedom in design can be provided. Alternatively, a semiconductor device with low power consumption can be provided. Alternatively, a novel semiconductor device can be provided.

なお、これらの効果の記載は、他の効果の存在を妨げるものではない。なお、本発明の一態様は、これらの効果の全てを有する必要はない。なお、これら以外の効果は、明細書、図面、請求項などの記載から、自ずと明らかとなるものであり、明細書、図面、請求項などの記載から、これら以外の効果を抽出することが可能である。 Note that the description of these effects does not preclude the existence of other effects. Note that one embodiment of the present invention does not need to have all of these effects. Effects other than these are self-evident from the descriptions of the specification, drawings, claims, etc., and it is possible to extract effects other than these from the descriptions of the specification, drawings, claims, etc. is.

本発明の一態様に係る半導体装置の上面図および断面図。1A and 1B are a top view and a cross-sectional view of a semiconductor device according to one embodiment of the present invention; 本発明の一態様に係る半導体装置の上面図および断面図。1A and 1B are a top view and a cross-sectional view of a semiconductor device according to one embodiment of the present invention; 本発明の一態様に係る半導体装置の上面図および断面図。1A and 1B are a top view and a cross-sectional view of a semiconductor device according to one embodiment of the present invention; 本発明の一態様に係る半導体装置の作製方法を示す上面図および断面図。1A and 1B are a top view and cross-sectional views illustrating a method for manufacturing a semiconductor device according to one embodiment of the present invention; 本発明の一態様に係る半導体装置の作製方法を示す上面図および断面図。1A and 1B are a top view and cross-sectional views illustrating a method for manufacturing a semiconductor device according to one embodiment of the present invention; 本発明の一態様に係る半導体装置の作製方法を示す上面図および断面図。1A and 1B are a top view and cross-sectional views illustrating a method for manufacturing a semiconductor device according to one embodiment of the present invention; 本発明の一態様に係る半導体装置の作製方法を示す上面図および断面図。1A and 1B are a top view and cross-sectional views illustrating a method for manufacturing a semiconductor device according to one embodiment of the present invention; 本発明の一態様に係る半導体装置の作製方法を示す上面図および断面図。1A and 1B are a top view and cross-sectional views illustrating a method for manufacturing a semiconductor device according to one embodiment of the present invention; 本発明の一態様に係る半導体装置の作製方法を示す上面図および断面図。1A and 1B are a top view and cross-sectional views illustrating a method for manufacturing a semiconductor device according to one embodiment of the present invention; 本発明の一態様に係る半導体装置の上面図および断面図。1A and 1B are a top view and a cross-sectional view of a semiconductor device according to one embodiment of the present invention; 本発明の一態様に係る成膜方法を説明する断面図。4A and 4B are cross-sectional views illustrating a film formation method according to one embodiment of the present invention; 本発明の一態様に係る金属酸化物の断面図。1A and 1B are cross-sectional views of a metal oxide according to one embodiment of the present invention; 本発明の一態様に係る成膜方法を説明する断面図。4A and 4B are cross-sectional views illustrating a film formation method according to one embodiment of the present invention; 本発明の一態様に係る成膜装置を説明する上面図および断面図。1A and 1B are a top view and a cross-sectional view illustrating a film formation apparatus according to one embodiment of the present invention; 本発明の一態様に係る成膜装置を説明する断面図。1A and 1B are cross-sectional views illustrating a film formation apparatus according to one embodiment of the present invention; 本発明の一態様に係る成膜方法を説明する図。4A and 4B illustrate a film formation method according to one embodiment of the present invention; 本発明の一態様に係る金属酸化物の原子数比の範囲を説明する図。4A and 4B illustrate ranges of atomic ratios of metal oxides according to one embodiment of the present invention; 本発明の一態様に係る記憶装置の構成を示す断面図。1A and 1B are cross-sectional views each illustrating a structure of a memory device according to one embodiment of the present invention; 本発明の一態様に係る記憶装置の構成を示す断面図。1A and 1B are cross-sectional views each illustrating a structure of a memory device according to one embodiment of the present invention; 本発明の一態様に係る記憶装置の構成を示す断面図。1A and 1B are cross-sectional views each illustrating a structure of a memory device according to one embodiment of the present invention; 本発明の一態様に係る記憶装置の構成を示す断面図。1A and 1B are cross-sectional views each illustrating a structure of a memory device according to one embodiment of the present invention; 本発明の一態様に係る記憶装置の構成を示す断面図。1A and 1B are cross-sectional views each illustrating a structure of a memory device according to one embodiment of the present invention; 本発明の一態様に係る記憶装置の構成を示す断面図。1A and 1B are cross-sectional views each illustrating a structure of a memory device according to one embodiment of the present invention; 本発明の一態様に係る記憶装置の構成例を示すブロック図。1 is a block diagram illustrating a configuration example of a storage device according to one embodiment of the present invention; FIG. 本発明の一態様に係る記憶装置の構成例を示す回路図。1 is a circuit diagram illustrating a configuration example of a memory device according to one embodiment of the present invention; FIG. 本発明の一態様に係る半導体装置の模式図。1A and 1B are schematic diagrams of a semiconductor device according to one embodiment of the present invention; 本発明の一態様に係る記憶装置の模式図。1A and 1B are schematic diagrams of a memory device according to one embodiment of the present invention; 本発明の一態様に係る電子機器を示す図。1A and 1B illustrate electronic devices according to one embodiment of the present invention;

以下、実施の形態について図面を参照しながら説明する。ただし、実施の形態は多くの異なる態様で実施することが可能であり、趣旨およびその範囲から逸脱することなくその形態および詳細を様々に変更し得ることは、当業者であれば容易に理解される。したがって、本発明は、以下の実施の形態の記載内容に限定して解釈されるものではない。 Hereinafter, embodiments will be described with reference to the drawings. However, those skilled in the art will readily appreciate that the embodiments can be embodied in many different forms and that various changes in form and detail can be made without departing from the spirit and scope thereof. be. Therefore, the present invention should not be construed as being limited to the description of the following embodiments.

また、図面において、大きさ、層の厚さ、または領域は、明瞭化のために誇張されている場合がある。よって、必ずしもそのスケールに限定されない。なお、図面は、理想的な例を模式的に示したものであり、図面に示す形状または値などに限定されない。例えば、実際の製造工程において、エッチングなどの処理により層やレジストマスクなどが意図せずに目減りすることがあるが、理解を容易とするために省略して示すことがある。また、図面において、同一部分または同様な機能を有する部分には同一の符号を異なる図面間で共通して用い、その繰り返しの説明は省略する場合がある。また、同様の機能を指す場合には、ハッチパターンを同じくし、特に符号を付さない場合がある。 Also, in the drawings, sizes, layer thicknesses, or regions may be exaggerated for clarity. Therefore, it is not necessarily limited to that scale. The drawings schematically show ideal examples, and are not limited to the shapes or values shown in the drawings. For example, in an actual manufacturing process, layers, resist masks, and the like may be unintentionally reduced due to processing such as etching, but are sometimes omitted for ease of understanding. In addition, in the drawings, the same reference numerals may be used in common for the same parts or parts having similar functions, and repeated description thereof may be omitted. Moreover, when referring to similar functions, the hatch patterns may be the same and no particular reference numerals may be attached.

また、特に上面図(「平面図」ともいう。)や斜視図などにおいて、発明の理解を容易とするため、一部の構成要素の記載を省略する場合がある。また、一部の隠れ線などの記載を省略する場合がある。 In particular, in top views (also referred to as “plan views”) and perspective views, description of some components may be omitted in order to facilitate understanding of the invention. Also, description of some hidden lines may be omitted.

また、本明細書等において、第1、第2等として付される序数詞は便宜上用いるものであり、工程順または積層順を示すものではない。そのため、例えば、「第1の」を「第2の」または「第3の」などと適宜置き換えて説明することができる。また、本明細書等に記載されている序数詞と、本発明の一態様を特定するために用いられる序数詞は一致しない場合がある。 In this specification and the like, the ordinal numbers such as first and second are used for convenience and do not indicate the order of steps or the order of stacking. Therefore, for example, "first" can be appropriately replaced with "second" or "third". Also, the ordinal numbers described in this specification and the like may not match the ordinal numbers used to specify one aspect of the present invention.

また、本明細書等において、「上に」、「下に」などの配置を示す語句は、構成同士の位置関係を、図面を参照して説明するために、便宜上用いている。また、構成同士の位置関係は、各構成を描写する方向に応じて適宜変化するものである。したがって、明細書で説明した語句に限定されず、状況に応じて適切に言い換えることができる。 In this specification and the like, terms such as “above” and “below” are used for convenience in order to describe the positional relationship between configurations with reference to the drawings. In addition, the positional relationship between the configurations changes appropriately according to the direction in which each configuration is drawn. Therefore, it is not limited to the words and phrases described in the specification, and can be appropriately rephrased according to the situation.

例えば、本明細書等において、XとYとが直接的に接続されている場合と、XとYとが接続されている、と明示的に記載されている場合は、XとYとが電気的に接続されている場合と、XとYとが機能的に接続されている場合とが、本明細書等に開示されているものとする。したがって、所定の接続関係、例えば、図または文章に示された接続関係に限定されず、図または文章に示された接続関係以外のものも、図または文章に記載されているものとする。 For example, in this specification and the like, when X and Y are directly connected and when it is explicitly stated that X and Y are connected, X and Y are electrically The present specification and the like disclose the case where X and Y are functionally connected and the case where X and Y are functionally connected. Therefore, it is assumed that the connection relationships other than the connection relationships shown in the drawings or the text are not limited to the predetermined connection relationships, for example, the connection relationships shown in the drawings or the text.

ここで、X、Yは、対象物(例えば、装置、素子、回路、配線、電極、端子、導電膜、層、など)であるとする。 Here, X and Y are objects (for example, devices, elements, circuits, wiring, electrodes, terminals, conductive films, layers, etc.).

また、ソースやドレインの機能は、異なる極性のトランジスタを採用する場合や、回路動作において電流の方向が変化する場合などには入れ替わることがある。このため、本明細書等においては、ソースやドレインの用語は、入れ替えて用いることができる場合がある。 Also, the functions of the source and the drain may be interchanged when using transistors of different polarities or when the direction of current changes in circuit operation. Therefore, in this specification and the like, the terms "source" and "drain" can be used interchangeably in some cases.

なお、本明細書等において、トランジスタの構造によっては、実際にチャネルの形成される領域におけるチャネル幅(以下、「実効的なチャネル幅」ともいう。)と、トランジスタの上面図において示されるチャネル幅(以下、「見かけ上のチャネル幅」ともいう。)と、が異なる場合がある。例えば、ゲート電極が半導体の側面を覆う場合、実効的なチャネル幅が、見かけ上のチャネル幅よりも大きくなり、その影響が無視できなくなる場合がある。例えば、微細かつゲート電極が半導体の側面を覆うトランジスタでは、半導体の側面に形成されるチャネル形成領域の割合が大きくなる場合がある。その場合は、見かけ上のチャネル幅よりも、実効的なチャネル幅の方が大きくなる。 Note that in this specification and the like, depending on the structure of a transistor, the channel width in a region where a channel is actually formed (hereinafter also referred to as an "effective channel width") and the channel width shown in a top view of the transistor. (hereinafter also referred to as “apparent channel width”). For example, when the gate electrode covers the side surface of the semiconductor, the effective channel width becomes larger than the apparent channel width, and its influence cannot be ignored. For example, in a fine transistor in which a gate electrode covers the side surface of a semiconductor, the proportion of the channel formation region formed on the side surface of the semiconductor may be large. In that case, the effective channel width is larger than the apparent channel width.

このような場合、実効的なチャネル幅の、実測による見積もりが困難となる場合がある。例えば、設計値から実効的なチャネル幅を見積もるためには、半導体の形状が既知という仮定が必要である。したがって、半導体の形状が正確にわからない場合には、実効的なチャネル幅を正確に測定することは困難である。 In such a case, it may be difficult to estimate the effective channel width by actual measurement. For example, in order to estimate the effective channel width from design values, it is necessary to assume that the shape of the semiconductor is known. Therefore, it is difficult to accurately measure the effective channel width if the shape of the semiconductor is not accurately known.

本明細書では、単にチャネル幅と記載した場合には、見かけ上のチャネル幅を指す場合がある。または、本明細書では、単にチャネル幅と記載した場合には、実効的なチャネル幅を指す場合がある。なお、チャネル長、チャネル幅、実効的なチャネル幅、見かけ上のチャネル幅などは、断面TEM像などを解析することなどによって、値を決定することができる。 In this specification, simply describing the channel width may refer to the apparent channel width. Alternatively, in this specification, simply referring to the channel width may refer to the effective channel width. The values of the channel length, channel width, effective channel width, apparent channel width, etc. can be determined by analyzing a cross-sectional TEM image or the like.

なお、半導体の不純物とは、例えば、半導体を構成する主成分以外をいう。例えば、濃度が0.1原子%未満の元素は不純物と言える。不純物が含まれることにより、例えば、半導体のDOS(Density of States)が高くなることや、結晶性が低下することなどが起こる場合がある。半導体が酸化物半導体である場合、半導体の特性を変化させる不純物としては、例えば、第1族元素、第2族元素、第13族元素、第14族元素、第15族元素、および酸化物半導体の主成分以外の遷移金属などがあり、例えば、水素、リチウム、ナトリウム、シリコン、ホウ素、リン、炭素、窒素などがある。酸化物半導体の場合、水も不純物として機能する場合がある。また、酸化物半導体の場合、例えば不純物の混入によって酸素欠損を形成する場合がある。また、半導体がシリコンである場合、半導体の特性を変化させる不純物としては、例えば、酸素、水素を除く第1族元素、第2族元素、第13族元素、第15族元素などがある。 Note that impurities in a semiconductor refer to, for example, substances other than the main components that constitute the semiconductor. For example, an element whose concentration is less than 0.1 atomic percent can be said to be an impurity. When impurities are contained, for example, the DOS (Density of States) of the semiconductor may increase, the crystallinity may decrease, and the like. When the semiconductor is an oxide semiconductor, impurities that change the characteristics of the semiconductor include, for example, Group 1 elements, Group 2 elements, Group 13 elements, Group 14 elements, Group 15 elements, and oxide semiconductors. There are transition metals other than the main component of , such as hydrogen, lithium, sodium, silicon, boron, phosphorus, carbon, and nitrogen. In the case of an oxide semiconductor, water may also function as an impurity. In the case of an oxide semiconductor, for example, oxygen vacancies may be formed due to contamination by impurities. When the semiconductor is silicon, impurities that change the characteristics of the semiconductor include, for example, group 1 elements, group 2 elements, group 13 elements, and group 15 elements excluding oxygen and hydrogen.

なお、本明細書等において、酸化窒化シリコンとは、その組成として、窒素よりも酸素の含有量が多いものである。また、窒化酸化シリコンとは、その組成として、酸素よりも窒素の含有量が多いものである。 Note that in this specification and the like, silicon oxynitride contains more oxygen than nitrogen as its composition. Silicon nitride oxide contains more nitrogen than oxygen in its composition.

また、本明細書等において、「絶縁体」という用語を、絶縁膜または絶縁層と言い換えることができる。また、「導電体」という用語を、導電膜または導電層と言い換えることができる。また、「半導体」という用語を、半導体膜または半導体層と言い換えることができる。 In this specification and the like, the term “insulator” can be replaced with an insulating film or an insulating layer. Also, the term “conductor” can be replaced with a conductive film or a conductive layer. Also, the term "semiconductor" can be interchanged with a semiconductor film or a semiconductor layer.

また、本明細書等において、「平行」とは、二つの直線が-10度以上10度以下の角度で配置されている状態をいう。したがって、-5度以上5度以下の場合も含まれる。また、「略平行」とは、二つの直線が-30度以上30度以下の角度で配置されている状態をいう。また、「垂直」とは、二つの直線が80度以上100度以下の角度で配置されている状態をいう。したがって、85度以上95度以下の場合も含まれる。また、「略垂直」とは、二つの直線が60度以上120度以下の角度で配置されている状態をいう。 In this specification and the like, "parallel" means a state in which two straight lines are arranged at an angle of -10 degrees or more and 10 degrees or less. Therefore, the case of -5 degrees or more and 5 degrees or less is also included. Also, "substantially parallel" means a state in which two straight lines are arranged at an angle of -30 degrees or more and 30 degrees or less. "Perpendicular" means that two straight lines are arranged at an angle of 80 degrees or more and 100 degrees or less. Therefore, the case of 85 degrees or more and 95 degrees or less is also included. In addition, "substantially perpendicular" means a state in which two straight lines are arranged at an angle of 60 degrees or more and 120 degrees or less.

なお、本明細書において、バリア膜とは、水、水素などの不純物および酸素の透過を抑制する機能を有する膜のことであり、当該バリア膜に導電性を有する場合は、導電性バリア膜と呼ぶことがある。 Note that in this specification, a barrier film is a film that has a function of suppressing permeation of impurities such as water and hydrogen, and oxygen. I may call

本明細書等において、金属酸化物(metal oxide)とは、広い表現での金属の酸化物である。金属酸化物は、酸化物絶縁体、酸化物導電体(透明酸化物導電体を含む。)、酸化物半導体(Oxide Semiconductorまたは単にOSともいう。)などに分類される。例えば、トランジスタの半導体層に金属酸化物を用いた場合、当該金属酸化物を酸化物半導体と呼称する場合がある。つまり、OS FETあるいはOSトランジスタと記載する場合においては、酸化物または酸化物半導体を有するトランジスタと換言することができる。 In this specification and the like, a metal oxide is a metal oxide in broad terms. Metal oxides are classified into oxide insulators, oxide conductors (including transparent oxide conductors), oxide semiconductors (also referred to as oxide semiconductors or simply OSs), and the like. For example, when a metal oxide is used for a semiconductor layer of a transistor, the metal oxide is sometimes called an oxide semiconductor. In other words, an OS FET or an OS transistor can also be referred to as a transistor including an oxide or an oxide semiconductor.

また、本明細書等において、ノーマリーオフとは、ゲートに電位を印加しない、またはゲートに接地電位を与えたときに、トランジスタに流れるチャネル幅1μmあたりの電流が、室温において1×10-20A以下、85℃において1×10-18A以下、または125℃において1×10-16A以下であることをいう。 In this specification and the like, the term “normally off” means that a current per 1 μm of channel width flowing through a transistor when no potential is applied to the gate or when a ground potential is applied to the gate is 1×10 −20 at room temperature. A or less, 1×10 −18 A or less at 85° C., or 1×10 −16 A or less at 125° C.

(実施の形態1)
本実施の形態では、半導体装置の一形態を、図1乃至図10を用いて説明する。
(Embodiment 1)
In this embodiment, one mode of a semiconductor device will be described with reference to FIGS.

<トランジスタの構造1>
以下では、本発明の一態様に係るトランジスタ200を有する半導体装置の一例について説明する。図1(A)、図1(B)、および図1(C)は、本発明の一態様に係るトランジスタ200、およびトランジスタ200周辺の上面図および断面図である。図1(A)は上面図であり、図1(B)は、図1(A)に示す一点鎖線A1-A2、図1(C)は、一点鎖線A3-A4に対応する断面図である。なお、図1(A)の上面図では、図の明瞭化のために一部の要素を省いて図示している。
<Transistor Structure 1>
An example of a semiconductor device including the transistor 200 according to one embodiment of the present invention is described below. 1A, 1B, and 1C are a top view and a cross-sectional view of a transistor 200 and its periphery according to one embodiment of the present invention. 1A is a top view, FIG. 1B is a cross-sectional view corresponding to the dashed-dotted line A1-A2 shown in FIG. 1A, and FIG. . Note that in the top view of FIG. 1A, some elements are omitted for clarity.

本発明の一態様の半導体装置は、トランジスタ200と、層間膜として機能する絶縁体212、絶縁体214、絶縁体216、絶縁体280、絶縁体282、絶縁体284、および絶縁体284と、を有する。 A semiconductor device of one embodiment of the present invention includes the transistor 200 and the insulators 212, 214, 216, 280, 282, 284, and 284 serving as interlayer films. have.

[トランジスタ200]
図1に示すように、トランジスタ200は、基板(図示せず。)の上に配置され、絶縁体216に埋め込まれるように配置された導電体205と、絶縁体216の上および導電体205の上に配置された絶縁体222と、絶縁体222の上に配置された絶縁体224と、絶縁体224の上に配置された酸化物230(酸化物230a、酸化物230b、および酸化物230c)と、酸化物230の上に配置された絶縁体250と、絶縁体250上に配置された絶縁体252と、絶縁体252上に配置された導電体260(導電体260a、および導電体260b)と、酸化物230bの上面の一部と接する導電体240aおよび導電体240bと、絶縁体224の上面の一部、酸化物230aの側面、酸化物230bの側面、導電体240aの側面、導電体240aの上面、導電体240bの側面、および導電体240bの上面に接して配置された絶縁体274と、を有する。
[Transistor 200]
As shown in FIG. 1, transistor 200 includes conductor 205 disposed over a substrate (not shown) and embedded in insulator 216 , and a conductor 205 disposed over insulator 216 and conductor 205 . Overlying insulator 222, insulator 224 overlying insulator 222, and oxide 230 overlying insulator 224 (oxide 230a, oxide 230b, and oxide 230c) , an insulator 250 overlying an oxide 230, an insulator 252 overlying the insulator 250, and a conductor 260 overlying the insulator 252 (a conductor 260a and a conductor 260b). and the conductor 240a and the conductor 240b in contact with part of the top surface of the oxide 230b, part of the top surface of the insulator 224, the side surface of the oxide 230a, the side surface of the oxide 230b, the side surface of the conductor 240a, and the conductor 240a, a side surface of the conductor 240b, and an insulator 274 disposed in contact with the top surface of the conductor 240b.

トランジスタ200において、酸化物230は、半導体として機能する金属酸化物(以下、酸化物半導体ともいう)を用いることが好ましい。チャネル形成領域に酸化物半導体を用いたトランジスタは、非導通状態において極めてリーク電流(オフ電流)が小さいため、低消費電力の半導体装置が提供できる。また、酸化物半導体は、スパッタリング法、ALD(Atomic Layer Deposition)法などを用いて成膜できるため、高集積型の半導体装置を構成するトランジスタ200に用いることができる。 In the transistor 200, the oxide 230 is preferably a metal oxide that functions as a semiconductor (hereinafter also referred to as an oxide semiconductor). A transistor including an oxide semiconductor for a channel formation region has extremely low leakage current (off-state current) in a non-conducting state; therefore, a semiconductor device with low power consumption can be provided. In addition, since an oxide semiconductor can be deposited by a sputtering method, an atomic layer deposition (ALD) method, or the like, it can be used for the transistor 200 included in a highly integrated semiconductor device.

例えば、酸化物230に用いることができる酸化物半導体として、In-M-Zn酸化物(元素Mは、アルミニウム、ガリウム、イットリウム、錫、銅、バナジウム、ベリリウム、ホウ素、チタン、鉄、ニッケル、ゲルマニウム、ジルコニウム、モリブデン、ランタン、セリウム、ネオジム、ハフニウム、タンタル、タングステン、マグネシウムなどから選ばれた一種、または複数種)等がある。特に、元素Mは、アルミニウム、ガリウム、イットリウム、または錫を用いるとよい。また、酸化物230に用いることができる酸化物半導体として、In-M酸化物、In-Zn酸化物、またはM-Zn酸化物を用いてもよい。 For example, as an oxide semiconductor that can be used for the oxide 230, an In-M-Zn oxide (element M is aluminum, gallium, yttrium, tin, copper, vanadium, beryllium, boron, titanium, iron, nickel, germanium , zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium, etc.). In particular, the element M is preferably aluminum, gallium, yttrium, or tin. As an oxide semiconductor that can be used for the oxide 230, an In--M oxide, an In--Zn oxide, or an M--Zn oxide may be used.

一方で、酸化物半導体を用いたトランジスタは、酸化物半導体中の水素、窒素、金属元素などの不純物、および酸素欠損によって、その電気特性が変動しやすく、信頼性が悪くなる場合がある。 On the other hand, in a transistor using an oxide semiconductor, electrical characteristics are likely to vary due to oxygen vacancies and impurities such as hydrogen, nitrogen, and a metal element in the oxide semiconductor, and reliability may be degraded.

例えば、酸化物半導体に含まれる水素は、金属原子と結合する酸素と反応して水になるため、酸素欠損を形成する場合がある。該酸素欠損に水素が入ることで、キャリアである電子が生成される場合がある。従って、酸素欠損が含まれている酸化物半導体を用いたトランジスタはノーマリーオン特性となりやすい。このため、酸化物半導体中の酸素欠損はできる限り低減されていることが好ましい。 For example, hydrogen contained in an oxide semiconductor reacts with oxygen that bonds to a metal atom to form water, which may cause oxygen vacancies. When hydrogen enters the oxygen vacancies, electrons, which are carriers, may be generated. Therefore, a transistor including an oxide semiconductor containing oxygen vacancies is likely to have normally-on characteristics. Therefore, oxygen vacancies in the oxide semiconductor are preferably reduced as much as possible.

また、酸化物半導体中の酸素欠損は、例えば、酸化物半導体に近接して設けられる構造体に金属を用いる場合に、該金属に酸化物半導体の酸素原子が吸収されることで、生じる場合がある。また、酸素原子を吸収した金属が、酸化し、高抵抗化する場合がある。また、酸化物半導体に近接して設けられる構造体中の水素が、酸化物半導体中に拡散することで、酸素欠損を生じる場合がある。 Further, oxygen vacancies in the oxide semiconductor may occur, for example, when a metal is used for a structure provided close to the oxide semiconductor, oxygen atoms of the oxide semiconductor are absorbed by the metal. be. Also, the metal that has absorbed the oxygen atoms may be oxidized and have a high resistance. In addition, hydrogen in a structure provided close to an oxide semiconductor may diffuse into the oxide semiconductor to cause oxygen vacancies.

酸化物半導体中の酸素欠損を低減するためには、酸化物半導体の近傍に、化学量論的組成を満たす酸素よりも多くの酸素を含む酸化物を配置するとよい。例えば、絶縁体250、および絶縁体280には、化学量論的組成よりも酸素が過剰に存在する領域(以下、過剰酸素領域ともいう)が形成されていることが好ましい。当該過剰酸素が、酸化物半導体へと拡散することで、酸素欠損を補償することができる。 In order to reduce oxygen vacancies in the oxide semiconductor, an oxide containing more oxygen than the stoichiometric composition is preferably placed near the oxide semiconductor. For example, the insulators 250 and 280 preferably have regions in which oxygen is present in excess of the stoichiometric composition (hereinafter also referred to as excess oxygen regions). The excess oxygen diffuses into the oxide semiconductor, so that oxygen vacancies can be compensated.

例えば、図1に示すトランジスタ200において、ソース電極、およびドレイン電極として機能する導電体240と、酸化物230bが接する。例えば、導電体240に用いた導電性材料が、酸化物230の酸素を吸収する性質を有する場合、または酸化物230に水素、窒素、金属元素などの不純物を供給する性質を有する場合、酸化物230の導電体240と接する領域、または当該領域の近傍において、酸素が欠乏する、または不純物が拡散することにより、部分的に低抵抗化される。従って、酸化物230と導電体240とのコンタクト抵抗を低減することができる。 For example, in the transistor 200 illustrated in FIG. 1, the oxide 230b is in contact with the conductor 240 functioning as the source and drain electrodes. For example, when the conductive material used for the conductor 240 has the property of absorbing oxygen of the oxide 230 or has the property of supplying impurities such as hydrogen, nitrogen, and metal elements to the oxide 230, the oxide A region of 230 in contact with the conductor 240 or the vicinity of the region is partially reduced in resistance due to lack of oxygen or diffusion of impurities. Therefore, the contact resistance between oxide 230 and conductor 240 can be reduced.

一方で、図1に示すトランジスタ200において、ゲート電極として機能する導電体260は、ゲート絶縁体として機能する絶縁体250、および絶縁体252を介して、酸化物230と重畳する。例えば、導電体260が、酸化物230の酸素を吸収する性質を有する場合、または酸化物230に水素、窒素、金属元素などの不純物を供給する性質を有する場合、ゲート絶縁体を介して、酸化物230の酸素を奪う、または不純物が拡散する蓋然性が高い。 On the other hand, in the transistor 200 illustrated in FIG. 1, the conductor 260 functioning as a gate electrode overlaps with the oxide 230 with the insulators 250 and 252 functioning as gate insulators interposed therebetween. For example, if the conductor 260 has the property of absorbing oxygen from the oxide 230 or has the property of supplying impurities such as hydrogen, nitrogen, or metal elements to the oxide 230, oxidation may occur through the gate insulator. There is a high probability that the substance 230 will be deprived of oxygen or impurities will be diffused.

酸化物230の導電体260と重畳する領域は、チャネルが形成される領域を有するため、低抵抗化することで、トランジスタのノーマリーオン化、リーク電流の増大、またはストレス印加によるしきい値電圧のシフト等、トランジスタの電気特性の不良が生じる場合がある。特に、トランジスタ200が微細化した場合、ソースとドレインとの間が短絡する蓋然性が高くなる。 A region of the oxide 230 that overlaps with the conductor 260 has a region where a channel is formed; This may result in poor electrical characteristics of the transistor, such as a shift in In particular, when the transistor 200 is miniaturized, the probability of short-circuiting between the source and the drain increases.

つまり、酸化物半導体を用いたトランジスタは、酸化物半導体中のチャネルが形成される領域に不純物および酸素欠損が存在すると、電気特性が変動しやすく、信頼性が悪くなる場合がある。また、酸化物半導体中のチャネルが形成される領域に酸素欠損が含まれていると、トランジスタはノーマリーオン特性となりやすい。従って、酸化物230のチャネルが形成される領域中の不純物、および酸素欠損はできる限り低減されていることが好ましい。 That is, in a transistor including an oxide semiconductor, when impurities and oxygen vacancies are present in a region where a channel is formed in the oxide semiconductor, electrical characteristics are likely to vary, and reliability may be degraded. In addition, when oxygen vacancies are included in a region where a channel is formed in the oxide semiconductor, the transistor tends to have normally-on characteristics. Therefore, it is preferable that impurities and oxygen vacancies in the region where the channel of oxide 230 is formed are reduced as much as possible.

そこで、ゲート絶縁体は、酸素、水素、または不純物に対してバリア性を有する膜を含むことが好ましい。具体的には、図1に示すトランジスタ200は、少なくともゲート絶縁体として機能する絶縁体250、および絶縁体252を有する。ここで、導電体260と接する絶縁体252は、酸素、水素、または不純物に対しバリア性を有する膜を用いるとよい。 Therefore, the gate insulator preferably contains a film having barrier properties against oxygen, hydrogen, or impurities. Specifically, the transistor 200 illustrated in FIG. 1 has insulators 250 and 252 that serve at least as gate insulators. Here, for the insulator 252 in contact with the conductor 260, a film having a barrier property against oxygen, hydrogen, or impurities is preferably used.

なお、本明細書において、不純物の拡散を抑制する機能を有する膜を、不純物が透過しにくい膜、不純物の透過性が低い膜、不純物に対してバリア性を有する膜、不純物に対するバリア膜などと呼ぶ場合がある。同様に、水素または酸素の拡散を抑制する機能を有する膜を、水素または酸素が透過しにくい膜、水素または酸素の透過性が低い膜、水素または酸素に対してバリア性を有する膜、水素または酸素に対するバリア膜などと呼ぶ場合がある。 Note that in this specification, a film having a function of suppressing impurity diffusion is referred to as a film through which impurities are difficult to permeate, a film with low impurity permeability, a film having a barrier property against impurities, a barrier film against impurities, or the like. may be called. Similarly, the film having the function of suppressing the diffusion of hydrogen or oxygen is selected from a film impermeable to hydrogen or oxygen, a film having low permeability to hydrogen or oxygen, a film having barrier properties against hydrogen or oxygen, It is sometimes called a barrier film against oxygen.

特に、酸化物230としてIn-Ga-Zn酸化物を用いる場合、絶縁体252としてガリウム酸化物、酸化物230bよりもガリウムの含有量が多い、またはIn-Ga-Zn酸化物の中で酸化物230bよりも絶縁性が高い材料を用いることが好ましい。絶縁体252を構成する元素と、酸化物230を構成する元素が共通であるため、例えば、絶縁体252を構成する元素が、酸化物230へと拡散したとしても、酸化物230の低抵抗化の要因とならない。 In particular, when an In--Ga--Zn oxide is used as the oxide 230, gallium oxide is used as the insulator 252, the gallium content is higher than that of the oxide 230b, or an oxide among the In--Ga--Zn oxides. It is preferable to use a material having a higher insulating property than 230b. Since the element forming the insulator 252 and the element forming the oxide 230 are common, for example, even if the element forming the insulator 252 diffuses into the oxide 230, the resistance of the oxide 230 is lowered. does not cause

具体的には、酸化物230bとして、In:Ga:Zn=4:2:3[原子数比]のIn-Ga-Zn酸化物を用いた場合、絶縁体252は、In:Ga:Zn=1:3:4[原子数比]、またはIn:Ga:Zn=1:3:4[原子数比]近傍のIn-Ga-Zn酸化物を用いることができる。なお、上記In:Ga:Zn=1:3:4[原子数比]近傍のIn-Ga-Zn酸化物としては、例えば、In:Ga:Zn=1:2.97:2.61[原子数比]のIn-Ga-Zn酸化物などが挙げられる。 Specifically, when an In—Ga—Zn oxide having an atomic ratio of In:Ga:Zn=4:2:3 is used as the oxide 230b, the insulator 252 is composed of In:Ga:Zn= An In--Ga--Zn oxide in the vicinity of 1:3:4 [atomic ratio] or In:Ga:Zn=1:3:4 [atomic ratio] can be used. In—Ga—Zn oxide near the above In:Ga:Zn=1:3:4 [atomic number ratio], for example, In:Ga:Zn=1:2.97:2.61 [atomic number ratio], and the like.

特に、酸化ガリウムは、窒化シリコンよりも誘電率が高い高誘電率絶縁材料であり、いわゆるhigh-k材料である。トランジスタの微細化、および高集積化が進むと、ゲート絶縁体の薄膜化により、リーク電流などの問題が生じる場合がある。ゲート絶縁体として機能する絶縁体にhigh-k材料を用いることで、物理膜厚を保持したまま、ゲート絶縁体の等価酸化膜厚(EOT)の薄膜化が可能となる。従って、トランジスタ動作時のゲート電位の低減が可能となる。 In particular, gallium oxide is a high dielectric constant insulating material having a dielectric constant higher than that of silicon nitride, and is a so-called high-k material. As transistors are miniaturized and highly integrated, thinning of gate insulators may cause problems such as leakage current. By using a high-k material for the insulator that functions as the gate insulator, it is possible to reduce the equivalent oxide thickness (EOT) of the gate insulator while maintaining the physical thickness. Therefore, it is possible to reduce the gate potential during transistor operation.

なお、絶縁体252は、酸化物230のチャネルが形成される領域上に形成される。後述するが、チャネル形成領域は、結晶性を有することが好ましい。そこで、絶縁体252の形成では、酸化物230への成膜ダメージが生じにくい成膜方法を用いることが好ましい。例えば、ALD法は、被成膜面へのダメージが生じにくい成膜方法である。よって、絶縁体252をALD法によって成膜することで、被成膜面である酸化物230への成膜ダメージを低減し、酸化物230の結晶性を保持することができる。 Note that the insulator 252 is formed over the region of the oxide 230 where the channel is formed. As will be described later, the channel formation region preferably has crystallinity. Therefore, in forming the insulator 252, it is preferable to use a film formation method in which film formation damage to the oxide 230 is unlikely to occur. For example, the ALD method is a film formation method that does not easily damage the film formation surface. Therefore, by forming the insulator 252 by the ALD method, film formation damage to the oxide 230 which is a film formation surface can be reduced, and the crystallinity of the oxide 230 can be maintained.

また、絶縁体252は、絶縁体280などに形成された開口の底部および側面に形成される。また、ゲート絶縁体として機能する絶縁体252の膜厚は、当該開口の底部で均一であることが好ましい。ALD法は、段差や凹凸を有する構造体に対して被覆性に優れた成膜方法である。よって、絶縁体252をALD法によって成膜することで、当該開口の底部で絶縁体252の膜厚を均一にすることができる。 Also, the insulator 252 is formed on the bottom and sides of the opening formed in the insulator 280 or the like. Further, the thickness of the insulator 252 functioning as a gate insulator is preferably uniform at the bottom of the opening. The ALD method is a film forming method that is excellent in covering a structure having steps and unevenness. Therefore, by forming the insulator 252 by the ALD method, the thickness of the insulator 252 can be uniform at the bottom of the opening.

なお、酸化物230と絶縁体252との間に、絶縁体250を有していてもよい。酸化物230と近接する絶縁体250は、加熱により脱離する酸素(過剰酸素ともいう。)を含むことが好ましい。または、絶縁体250は、水素濃度が低く、化学量論的組成よりも酸素が過剰に存在する領域(以下、過剰酸素領域ともいう。)を有することが好ましい。絶縁体250が有する過剰酸素は、生産工程における加熱処理、または加熱を伴う処理により、酸化物230へと拡散し、酸化物230の酸素欠損を低減し、トランジスタのノーマリーオン化を抑制することができる。 Note that an insulator 250 may be provided between the oxide 230 and the insulator 252 . The insulator 250 adjacent to the oxide 230 preferably contains oxygen released by heating (also referred to as excess oxygen). Alternatively, the insulator 250 preferably has a region where the hydrogen concentration is low and oxygen is present in excess of the stoichiometric composition (hereinafter also referred to as an excess oxygen region). Excess oxygen in the insulator 250 diffuses into the oxide 230 by heat treatment or treatment involving heating in a production process, thereby reducing oxygen vacancies in the oxide 230 and suppressing normally-on of the transistor. can be done.

例えば、水素濃度が低く、過剰酸素領域を有する絶縁体として、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコンなどを用いることができる。特に、酸化シリコン、および酸化窒化シリコンは熱に対して安定であるため好ましい。具体的に、水素濃度が低く、過剰酸素領域または過剰酸素を有する絶縁体は、SIMSにより得られる水素濃度が、5×1020atoms/cm未満、好ましくは1×1020atoms/cm未満、より好ましくは5×1019atoms/cm未満とする。また、TDS(Thermal Desorption Spectroscopy)分析にて、酸素分子に換算しての酸素の脱離量が2.0×1014molecules/cm以上、好ましくは1.0×1015molecules/cm以上である。なお、当該TDS分析時における膜の表面温度としては100℃以上700℃以下、または100℃以上500℃以下の範囲が好ましい。 For example, silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, or the like can be used as the insulator having a low hydrogen concentration and an excess oxygen region. In particular, silicon oxide and silicon oxynitride are preferable because they are stable against heat. Specifically, an insulator having a low hydrogen concentration and an excess oxygen region or excess oxygen has a hydrogen concentration of less than 5×10 20 atoms/cm 3 , preferably less than 1×10 20 atoms/cm 3 by SIMS. , more preferably less than 5×10 19 atoms/cm 3 . Further, according to TDS (Thermal Desorption Spectroscopy) analysis, the amount of oxygen desorption in terms of oxygen molecules is 2.0×10 14 molecules/cm 2 or more, preferably 1.0×10 15 molecules/cm 2 or more. is. The surface temperature of the film during the TDS analysis is preferably in the range of 100° C. to 700° C. or in the range of 100° C. to 500° C.

ゲート絶縁体として機能する絶縁体を、high-k材料と、熱的に安定している材料との積層構造とすることで、物理膜厚を保ちながら、トランジスタ動作時のゲート電位の低減が可能となる。また、熱的に安定かつ比誘電率の高い積層構造とすることができる。 The gate potential during transistor operation can be reduced while maintaining the physical film thickness by making the insulator that functions as a gate insulator into a laminated structure of a high-k material and a thermally stable material. becomes. Moreover, it is possible to obtain a laminated structure that is thermally stable and has a high dielectric constant.

上記構造と同様に、酸化物230と、ゲート電極として機能する導電体205との間に配置された、ゲート絶縁体として機能する絶縁体は、酸素、水素、または不純物に対してバリア性を有する膜を含むことが好ましい。具体的には、図1に示すトランジスタ200は、少なくともゲート絶縁体として機能する絶縁体222、および絶縁体224を有する。 Similar to the structure above, the insulator functioning as the gate insulator, placed between the oxide 230 and the conductor 205 functioning as the gate electrode, has barrier properties against oxygen, hydrogen, or impurities. It preferably includes a membrane. Specifically, the transistor 200 illustrated in FIG. 1 has insulators 222 and 224 that serve at least as gate insulators.

従って、導電体205と接する絶縁体222は、酸素、水素、または不純物に対しバリア性を有する膜を用いるとよい。一方、酸化物230と接する絶縁体224は、加熱により脱離する酸素(過剰酸素ともいう。)を含むことが好ましい。または、絶縁体250は、水素濃度が低く、化学量論的組成よりも酸素が過剰に存在する領域(以下、過剰酸素領域ともいう。)を有することが好ましい。また、熱的に安定している材料を用いるとよい。 Therefore, for the insulator 222 in contact with the conductor 205, a film having a barrier property against oxygen, hydrogen, or impurities is preferably used. On the other hand, the insulator 224 in contact with the oxide 230 preferably contains oxygen released by heating (also referred to as excess oxygen). Alternatively, the insulator 250 preferably has a region where the hydrogen concentration is low and oxygen is present in excess of the stoichiometric composition (hereinafter also referred to as an excess oxygen region). Also, it is preferable to use a thermally stable material.

ゲート絶縁体として機能する絶縁体を、high-k材料と、熱的に安定している材料との積層構造とすることで、物理膜厚を保ちながら、トランジスタ動作時のゲート電位の低減が可能となる。また、熱的に安定かつ比誘電率の高い積層構造とすることができる。 The gate potential during transistor operation can be reduced while maintaining the physical film thickness by making the insulator that functions as a gate insulator into a laminated structure of a high-k material and a thermally stable material. becomes. Moreover, it is possible to obtain a laminated structure that is thermally stable and has a high dielectric constant.

以上より、安定した電気特性を有する半導体装置を提供することができる。また、信頼性が高い半導体装置を提供することができる。また、消費電力が小さい半導体装置を提供することができる。 As described above, a semiconductor device having stable electrical characteristics can be provided. Further, a highly reliable semiconductor device can be provided. Further, a semiconductor device with low power consumption can be provided.

以下では、本発明の一態様に係るトランジスタ200を有する半導体装置の詳細な構成について説明する。 A detailed structure of the semiconductor device including the transistor 200 according to one embodiment of the present invention is described below.

まず、チャネル形成領域として機能する領域を有する酸化物230は、酸化物230a、酸化物230b、および酸化物230cを有することが好ましい。具体的には、酸化物230bと絶縁体224との間には、酸化物230aを配置する。また、酸化物230bと絶縁体250との間には、酸化物230cを配置する。 First, oxide 230 having a region functioning as a channel formation region preferably includes oxide 230a, oxide 230b, and oxide 230c. Specifically, an oxide 230 a is placed between the oxide 230 b and the insulator 224 . In addition, an oxide 230c is provided between the oxide 230b and the insulator 250. FIG.

酸化物230b下に酸化物230aを有することで、酸化物230aよりも下方に形成された構造物から、酸化物230bへの不純物の拡散を抑制することができる。また、酸化物230b上に酸化物230cを有することで、酸化物230cよりも上方に形成された構造物から、酸化物230bへの不純物の拡散を抑制することができる。 By providing the oxide 230a under the oxide 230b, diffusion of impurities from a structure formed below the oxide 230a to the oxide 230b can be suppressed. In addition, by having the oxide 230c over the oxide 230b, diffusion of impurities from a structure formed above the oxide 230c to the oxide 230b can be suppressed.

酸化物230は、酸化物半導体として機能する金属酸化物を用いることが好ましい。例えば、チャネルが形成される領域の金属酸化物としては、バンドギャップが2eV以上、好ましくは2.5eV以上のものを用いることが好ましい。このように、バンドギャップの大きい金属酸化物を用いることで、トランジスタのオフ電流を低減することができる。 A metal oxide that functions as an oxide semiconductor is preferably used for the oxide 230 . For example, it is preferable to use a metal oxide having a bandgap of 2 eV or more, preferably 2.5 eV or more, as the metal oxide in the region where the channel is formed. By using a metal oxide with a large bandgap in this manner, off-state current of a transistor can be reduced.

なお、図では酸化物230a、酸化物230b、および酸化物230cを単層で示したが、本発明はこれに限られるものではない。例えば、酸化物230a、酸化物230b、および酸化物230cを2層以上の積層構造として設ける構成にしてもよい。特に、酸化物230cは、酸化物230bと接する第1の層と、絶縁体250と接する第2の層を有することが好ましい。 Note that the oxide 230a, the oxide 230b, and the oxide 230c are shown as single layers in the drawing, but the present invention is not limited to this. For example, a structure in which the oxide 230a, the oxide 230b, and the oxide 230c are provided as a stacked structure of two or more layers may be employed. In particular, oxide 230 c preferably has a first layer in contact with oxide 230 b and a second layer in contact with insulator 250 .

例えば、酸化物230cの第1の層に、酸化物230bと同じ組成の酸化物を用いる。一方、酸化物230cの第2の層には、第1の層よりも、不純物に対してバリア性が高い組成の酸化物を用いることが好ましい。酸化物230の第1の層に、酸化物230bと同じ組成の酸化物を用いることで、生産工程により、酸化物230bの表面に生じた欠損を補償することができる。また、第2の層に不純物に対してバリア性を有する膜を用いることで、酸化物230bに不純物が拡散することを抑制することができる。 For example, an oxide having the same composition as the oxide 230b is used for the first layer of the oxide 230c. On the other hand, for the second layer of the oxide 230c, it is preferable to use an oxide having a composition having a higher barrier property against impurities than the first layer. By using an oxide having the same composition as the oxide 230b for the first layer of the oxide 230, defects generated on the surface of the oxide 230b due to the production process can be compensated. Further, by using a film having a barrier property against impurities for the second layer, diffusion of impurities into the oxide 230b can be suppressed.

さらに、酸化物230cを上記積層構造とすることで、詳細は後述するが、絶縁体280が有する過剰酸素領域の酸素が、酸化物230cの第1の層を介し、酸化物230のチャネルが形成される領域に生じた酸素欠損を低減することができる。一方で、酸化物230cの第2の層により、絶縁体280が有する過剰酸素領域の酸素が、導電体260へ拡散することを抑制することができる。 Further, when the oxide 230c has the above-described stacked structure, oxygen in the excess oxygen region of the insulator 280 forms a channel of the oxide 230 through the first layer of the oxide 230c, although the details will be described later. Oxygen vacancies generated in the region to be treated can be reduced. On the other hand, diffusion of oxygen in the excess oxygen region of the insulator 280 to the conductor 260 can be suppressed by the second layer of the oxide 230c.

なお、トランジスタ200では、チャネル形成領域およびその近傍において、酸化物230が、酸化物230a、酸化物230b、および酸化物230cの3層の積層構造を有する構成について示しているが、本発明はこれに限られるものではない。例えば、酸化物230は、酸化物230bの単層、酸化物230aと酸化物230bの2層構造、酸化物230bと酸化物230cの2層構造、または4層以上の積層構造を設ける構成としてもよい。 Note that in the transistor 200, the oxide 230 has a three-layer structure of the oxide 230a, the oxide 230b, and the oxide 230c in the channel formation region and its vicinity; is not limited to For example, the oxide 230 may have a single layer of the oxide 230b, a two-layer structure of the oxide 230a and the oxide 230b, a two-layer structure of the oxide 230b and the oxide 230c, or a stacked structure of four or more layers. good.

次に、導電体260は、第1のゲート(トップゲートともいう。)電極として機能する場合がある。また、導電体205は、第2のゲート(ボトムゲートともいう。)電極として機能する場合がある。 Conductor 260 may then function as a first gate (also referred to as top gate) electrode. In some cases, the conductor 205 functions as a second gate (also referred to as a bottom gate) electrode.

また、導電体205に印加する電位は、導電体260に印加する電位と、連動させず、独立して変化させてもよい。具体的には、導電体205に印加する電位により、トランジスタ200の閾値電圧を制御することができる。特に、導電体205に負の電位を印加することにより、トランジスタ200の閾値電圧を0Vより大きくし、オフ電流を低減することが可能となる。したがって、導電体205に負の電位を印加したほうが、印加しない場合よりも、導電体260に印加する電位が0Vのときのドレイン電流を小さくすることができる。 Further, the potential applied to the conductor 205 may be changed independently of the potential applied to the conductor 260 without being interlocked with the potential applied to the conductor 260 . Specifically, the threshold voltage of the transistor 200 can be controlled by the potential applied to the conductor 205 . In particular, by applying a negative potential to the conductor 205, the threshold voltage of the transistor 200 can be made higher than 0 V, and the off current can be reduced. Therefore, applying a negative potential to the conductor 205 can make the drain current smaller when the potential applied to the conductor 260 is 0 V than when no potential is applied.

また、例えば、図1(A)、および図1(C)に示すように、導電体205と、導電体260とを重畳して設けることで、導電体260、および導電体205に電位を印加した場合、導電体260から生じる電界と、導電体205から生じる電界と、がつながり、酸化物230に形成されるチャネル形成領域を覆うことができる。 Further, for example, as illustrated in FIGS. 1A and 1C, the conductor 205 and the conductor 260 are provided so as to overlap each other, so that a potential is applied to the conductor 260 and the conductor 205. In that case, the electric field generated from the conductor 260 and the electric field generated from the conductor 205 are connected to each other, so that the channel formation region formed in the oxide 230 can be covered.

つまり、第1のゲート電極としての機能を有する導電体260の電界と、第2のゲート電極としての機能を有する導電体205の電界によって、チャネル形成領域を電気的に取り囲むことができる。本明細書において、第1のゲート電極、および第2のゲート電極の電界によって、チャネル形成領域を電気的に取り囲むトランジスタの構造を、surrounded channel(S-channel)構造とよぶ。 That is, the electric field of the conductor 260 functioning as the first gate electrode and the electric field of the conductor 205 functioning as the second gate electrode can electrically surround the channel formation region. In this specification, a transistor structure in which a channel formation region is electrically surrounded by electric fields of a first gate electrode and a second gate electrode is referred to as a surrounded channel (S-channel) structure.

なお、図1では、導電体205の第1の導電体および導電体205の第2の導電体を積層する構成について示しているが、本発明はこれに限られるものではない。例えば、導電体205を単層、または3層以上の積層構造として設ける構成にしてもよい。構造体が積層構造を有する場合、形成順に序数を付与し、区別する場合がある。 Note that FIG. 1 shows a structure in which the first conductor of the conductor 205 and the second conductor of the conductor 205 are stacked, but the present invention is not limited to this. For example, the conductor 205 may be provided as a single layer or a laminated structure of three or more layers. When the structure has a laminated structure, an ordinal number may be assigned in order of formation for distinction.

一例として、図1では、第2のゲートとして機能する導電体205は、絶縁体214および絶縁体216の開口の内壁に接して第1の導電体が形成され、さらに内側に第2の導電体が形成されている。ここで、第1の導電体および第2の導電体の上面の高さと、絶縁体216の上面の高さは同程度にすることが好ましい。 As an example, in FIG. 1, the conductor 205 functioning as the second gate has the first conductor formed in contact with the inner walls of the openings of the insulators 214 and 216, and the second conductor further inside. is formed. Here, it is preferable that the height of the top surface of the first conductor and the second conductor and the height of the top surface of the insulator 216 be approximately the same.

また、導電体205の第1の導電体は、水、酸素、または金属元素などの不純物の拡散を抑制する機能を有する導電性材料を用いることが好ましい。または、酸素の少なくとも一の拡散を抑制する機能を有する導電性材料を用いることが好ましい。 For the first conductor of the conductor 205, a conductive material having a function of suppressing diffusion of impurities such as water, oxygen, or metal elements is preferably used. Alternatively, it is preferable to use a conductive material having a function of suppressing at least one diffusion of oxygen.

導電体205の第1の導電体が酸素の拡散を抑制する機能を持つことにより、導電体205の第2の導電体が酸化して導電率が低下することを抑制することができる。 Since the first conductor of the conductor 205 has a function of suppressing the diffusion of oxygen, the second conductor of the conductor 205 can be prevented from being oxidized to reduce its conductivity.

また、導電体205が配線の機能を兼ねる場合、導電体205の第2の導電体は、タングステン、銅、またはアルミニウムを主成分とする、導電性が高い導電性材料を用いることが好ましい。なお、導電体205の第2の導電体を単層で図示したが、積層構造としてもよく、例えば、チタン、窒化チタンと上記導電性材料との積層としてもよい。 In the case where the conductor 205 also functions as a wiring, the second conductor of the conductor 205 is preferably a highly conductive material containing tungsten, copper, or aluminum as its main component. Note that although the second conductor of the conductor 205 is shown as a single layer, it may have a laminated structure, for example, a laminated structure of titanium, titanium nitride, and any of the above conductive materials.

また、第1のゲート電極として機能する導電体260は、導電体260a、および導電体260a上の導電体260bを有する。導電体260aは、導電体205の第1の導電体と同様に、水素原子、水素分子、水分子、窒素原子、窒素分子、酸化窒素分子(NO、NO、NOなど)、銅原子などの不純物の拡散を抑制する機能を有する導電性材料を用いることが好ましい。または、酸素(例えば、酸素原子、酸素分子など)の少なくとも一の拡散を抑制する機能を有する導電性材料を用いることが好ましい。 In addition, the conductor 260 functioning as the first gate electrode has a conductor 260a and a conductor 260b over the conductor 260a. The conductor 260a, like the first conductor of the conductor 205, contains hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, nitrogen oxide molecules (N 2 O, NO, NO 2 , etc.), copper atoms. It is preferable to use a conductive material having a function of suppressing the diffusion of impurities such as . Alternatively, it is preferable to use a conductive material that has a function of suppressing at least one diffusion of oxygen (eg, oxygen atoms, oxygen molecules, etc.).

導電体260aが酸素の拡散を抑制する機能を持つことにより、酸化物230、および絶縁体250から導電体260bへの過剰酸素の拡散が抑制される。従って、絶縁体250が有する過剰酸素による導電体260bの酸化が抑制され、導電率が低下することを防止することができる。また、酸化物230へ供給する過剰酸素量の減少を抑制することができる。 Since the conductor 260a has a function of suppressing diffusion of oxygen, diffusion of excess oxygen from the oxide 230 and the insulator 250 to the conductor 260b is suppressed. Therefore, oxidation of the conductor 260b due to excess oxygen in the insulator 250 is suppressed, and a decrease in conductivity can be prevented. Moreover, reduction in the amount of excess oxygen supplied to the oxide 230 can be suppressed.

酸素の拡散を抑制する機能を有する導電性材料としては、例えば、タンタル、窒化タンタル、ルテニウムまたは酸化ルテニウムなどを用いることが好ましい。また、導電体260aとして、酸化物230として用いることができる酸化物半導体を用いることができる。その場合、導電体260bをスパッタリング法で成膜することで、導電体260aの電気抵抗値を低下させて導電体とすることができる。これをOC(Oxide Conductor)電極と呼ぶことができる。 As the conductive material having a function of suppressing diffusion of oxygen, tantalum, tantalum nitride, ruthenium, ruthenium oxide, or the like is preferably used, for example. Further, an oxide semiconductor that can be used as the oxide 230 can be used as the conductor 260a. In that case, by forming the conductor 260b by a sputtering method, the electric resistance value of the conductor 260a can be lowered and the conductor 260a can be a conductor. This can be called an OC (Oxide Conductor) electrode.

導電体260bは、タングステン、銅、またはアルミニウムを主成分とする導電性材料を用いることが好ましい。また、導電体260は、配線として機能するため、導電性が高い導電体を用いることが好ましい。例えば、タングステン、銅、またはアルミニウムを主成分とする導電性材料を用いることができる。また、導電体260bは積層構造としてもよく、例えば、チタン、窒化チタンと上記導電性材料との積層としてもよい。 A conductive material containing tungsten, copper, or aluminum as its main component is preferably used for the conductor 260b. Further, since the conductor 260 functions as a wiring, a conductor with high conductivity is preferably used. For example, a conductive material whose main component is tungsten, copper, or aluminum can be used. Also, the conductor 260b may have a layered structure, for example, a layered structure of titanium, titanium nitride, and any of the above conductive materials.

続いて、絶縁体250、および絶縁体252は、第1のゲート絶縁体として機能する。また、絶縁体222、および絶縁体224は、第2のゲート絶縁体としての機能を有する。詳細は前述の記載を参照することができる。 Insulator 250 and insulator 252 then serve as the first gate insulator. Insulators 222 and 224 function as second gate insulators. For details, reference can be made to the above description.

次に、導電体240(導電体240a、および導電体240b)は、一方がソース電極として機能し、他方がドレイン電極として機能する場合がある。 Next, one of the conductors 240 (the conductor 240a and the conductor 240b) functions as a source electrode and the other functions as a drain electrode in some cases.

導電体240aと、導電体240bとは、アルミニウム、チタン、クロム、ニッケル、銅、イットリウム、ジルコニウム、モリブデン、銀、タンタル、またはタングステンなどの金属、またはこれを主成分とする合金を用いることができる。特に、窒化タンタルなどの金属窒化物膜は、水素または酸素に対するバリア性があり、また、耐酸化性が高いため、好ましい。 The conductors 240a and 240b can be metals such as aluminum, titanium, chromium, nickel, copper, yttrium, zirconium, molybdenum, silver, tantalum, or tungsten, or alloys containing these as main components. . In particular, a metal nitride film such as tantalum nitride is preferable because it has a barrier property against hydrogen or oxygen and has high oxidation resistance.

また、図では単層構造を示したが、2層以上の積層構造としてもよい。例えば、窒化タンタルとタングステン膜を積層するとよい。また、チタン膜とアルミニウム膜を積層してもよい。また、タングステン膜上にアルミニウム膜を積層する二層構造、銅-マグネシウム-アルミニウム合金膜上に銅膜を積層する二層構造、チタン膜上に銅膜を積層する二層構造、タングステン膜上に銅膜を積層する二層構造としてもよい。 In addition, although a single-layer structure is shown in the drawing, a laminated structure of two or more layers may be used. For example, a tantalum nitride film and a tungsten film are preferably stacked. Alternatively, a titanium film and an aluminum film may be stacked. A two-layer structure in which an aluminum film is stacked over a tungsten film, a two-layer structure in which a copper film is stacked over a copper-magnesium-aluminum alloy film, a two-layer structure in which a copper film is stacked over a titanium film, a two-layer structure in which a copper film is stacked over a titanium film, A two-layer structure in which copper films are stacked may be used.

また、チタン膜または窒化チタン膜と、そのチタン膜または窒化チタン膜上に重ねてアルミニウム膜または銅膜を積層し、さらにその上にチタン膜または窒化チタン膜を形成する三層構造、モリブデン膜または窒化モリブデン膜と、そのモリブデン膜または窒化モリブデン膜上に重ねてアルミニウム膜または銅膜を積層し、さらにその上にモリブデン膜または窒化モリブデン膜を形成する三層構造等がある。なお、酸化インジウム、酸化錫または酸化亜鉛を含む透明導電材料を用いてもよい。 In addition, a three-layer structure in which a titanium film or a titanium nitride film is laminated, an aluminum film or a copper film is laminated on the titanium film or the titanium nitride film, and a titanium film or a titanium nitride film is formed thereon, a molybdenum film or a There is a three-layer structure including a molybdenum nitride film, an aluminum film or a copper film laminated on the molybdenum film or the molybdenum nitride film, and a molybdenum film or a molybdenum nitride film formed thereon. Note that a transparent conductive material containing indium oxide, tin oxide, or zinc oxide may be used.

また、導電体240上に、バリア性を有する絶縁体274を設けてもよい。絶縁体274は、酸素、または水素に対してバリア性を有する物質を用いることが好ましい。当該構成により、詳細は後述するが、絶縁体280が有する過剰酸素領域の酸素が、導電体240と反応し、酸化することを防止することができる。 Further, an insulator 274 having a barrier property may be provided over the conductor 240 . A substance having a barrier property against oxygen or hydrogen is preferably used for the insulator 274 . With this structure, although details will be described later, oxygen in the excess oxygen region of the insulator 280 can be prevented from reacting with the conductor 240 and being oxidized.

絶縁体274には、例えば、窒化シリコンや金属酸化物を用いることができる。特に、酸化アルミニウム、酸化ハフニウム、酸化ガリウムなどの、酸素や水素に対してバリア性のある絶縁膜を用いることが好ましい。また、CVD法で形成した窒化シリコンを用いてもよい。 Silicon nitride or metal oxide can be used for the insulator 274, for example. In particular, it is preferable to use an insulating film having a barrier property against oxygen and hydrogen, such as aluminum oxide, hafnium oxide, and gallium oxide. Alternatively, silicon nitride formed by a CVD method may be used.

絶縁体274を有することで、導電体240の材料選択の幅を広げることができる。例えば、導電体240に、タングステンや、アルミニウムなどの耐酸化性が低い一方で導電性が高い材料を用いることができる。また、例えば、成膜、または加工がしやすい導電体を用いることができる。 By including the insulator 274, the selection of materials for the conductor 240 can be expanded. For example, the conductor 240 can be made of a material having low oxidation resistance but high conductivity, such as tungsten or aluminum. Alternatively, for example, a conductor that can be easily formed into a film or processed can be used.

また、導電体240の酸化を抑制し、絶縁体224、および絶縁体280から、脱離した酸素を効率的に酸化物230へと供給することができる。また、導電体240に導電性が高い導電体を用いることで、消費電力が小さいトランジスタ200を提供することができる。 In addition, oxidation of the conductor 240 can be suppressed, and oxygen released from the insulators 224 and 280 can be efficiently supplied to the oxide 230 . By using a conductor with high conductivity for the conductor 240, the transistor 200 with low power consumption can be provided.

続いて、絶縁体212、絶縁体214、絶縁体216、絶縁体280、絶縁体282、絶縁体283、および絶縁体284は、層間膜として機能する。 Subsequently, the insulator 212, the insulator 214, the insulator 216, the insulator 280, the insulator 282, the insulator 283, and the insulator 284 function as interlayer films.

層間膜としては、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、酸化アルミニウム、酸化ハフニウム、酸化タンタル、酸化ジルコニウム、チタン酸ジルコン酸鉛(PZT)、チタン酸ストロンチウム(SrTiO)または(Ba,Sr)TiO(BST)などの絶縁体を単層または積層で用いることができる。またはこれらの絶縁体に、例えば、酸化アルミニウム、酸化ビスマス、酸化ゲルマニウム、酸化ニオブ、酸化シリコン、酸化チタン、酸化タングステン、酸化イットリウム、酸化ジルコニウムを添加してもよい。またはこれらの絶縁体を窒化処理してもよい。上記の絶縁体に酸化シリコン、酸化窒化シリコンまたは窒化シリコンを積層して用いてもよい。 The interlayer film may be silicon oxide, silicon oxynitride, silicon nitride oxide, aluminum oxide, hafnium oxide, tantalum oxide, zirconium oxide, lead zirconate titanate (PZT), strontium titanate (SrTiO 3 ) or (Ba, Sr). Insulators such as TiO 3 (BST) can be used in single layers or stacks. Alternatively, aluminum oxide, bismuth oxide, germanium oxide, niobium oxide, silicon oxide, titanium oxide, tungsten oxide, yttrium oxide, or zirconium oxide may be added to these insulators. Alternatively, these insulators may be nitrided. Silicon oxide, silicon oxynitride, or silicon nitride may be stacked over the above insulator.

例えば、絶縁体216は、比誘電率が低い、いわゆるLow-k材料を用いることが好ましい。誘電率が低い材料を層間膜とすることで、配線間に生じる寄生容量を低減することができる。 For example, the insulator 216 preferably uses a so-called Low-k material with a low dielectric constant. By using a material with a low dielectric constant as the interlayer film, the parasitic capacitance generated between wirings can be reduced.

また、絶縁体280、および絶縁体284は、絶縁体216と同様に、比誘電率が低い、いわゆるLow-k材料であること好ましい。誘電率が低い材料を層間膜とすることで、配線間に生じる寄生容量を低減することができる。 Further, the insulators 280 and 284 are preferably made of a so-called Low-k material with a low dielectric constant, like the insulator 216 . By using a material with a low dielectric constant as the interlayer film, the parasitic capacitance generated between wirings can be reduced.

特に、絶縁体280には、化学量論的組成を満たす酸素よりも多くの酸素を含む酸化物を用いることが好ましい。絶縁体280は、トランジスタ200の酸化物230cと接するため、酸化物230cを介して、酸化物230においてチャネルが形成される領域に生じた酸素欠損を低減することができる。つまり、トランジスタ200近傍の層間膜に、過剰酸素領域を有する絶縁体を設けることで、トランジスタ200が有する酸化物230の酸素欠損を低減することで、信頼性を向上させることができる。 In particular, for the insulator 280, an oxide containing more oxygen than the stoichiometric composition is preferably used. Since the insulator 280 is in contact with the oxide 230c of the transistor 200, oxygen vacancies generated in a region where a channel is formed in the oxide 230 can be reduced through the oxide 230c. In other words, by providing an insulator having an excess oxygen region in an interlayer film near the transistor 200, oxygen vacancies in the oxide 230 included in the transistor 200 can be reduced, whereby reliability can be improved.

絶縁体282は、酸素、水素、および水に対するバリア性を有することが好ましい。絶縁体282が、酸素に対するバリア性を有することで、過剰酸素領域の酸素は、絶縁体284側へ拡散することなく、効率よく酸化物230へ供給することができる。 The insulator 282 preferably has barrier properties against oxygen, hydrogen, and water. Since the insulator 282 has a barrier property against oxygen, oxygen in the excess oxygen region can be efficiently supplied to the oxide 230 without diffusing to the insulator 284 side.

また、絶縁体212、絶縁体214、絶縁体282、および絶縁体283は、水または水素などの不純物、および酸素の拡散を抑制する機能を有する絶縁性材料を用い、トランジスタ200と、過剰酸素領域を有する絶縁体280とを、封止する(取り囲む)構造を有する。 The insulator 212, the insulator 214, the insulator 282, and the insulator 283 are formed using an insulating material which has a function of suppressing diffusion of impurities such as water or hydrogen, and oxygen. It has a structure that seals (surrounds) the insulator 280 having

例えば、図10(A)、および図10(B)に示す。図10(A)は、本発明の一態様に係る基板10上に設けられたトランジスタ200、およびトランジスタ200周辺の上面図である。また、図10(B)は、図10(A)に示す一点鎖線A1-A2に対応する断面図である。なお、図10では、図の明瞭化のために一部の要素を省いて図示している。 For example, it is shown in FIG. 10(A) and FIG. 10(B). FIG. 10A is a top view of the transistor 200 provided over the substrate 10 and the periphery of the transistor 200 according to one embodiment of the present invention. FIG. 10B is a cross-sectional view corresponding to the dashed line A1-A2 shown in FIG. 10A. In addition, in FIG. 10, some elements are omitted for clarity of illustration.

図10(A)、および図10(B)に示す半導体装置は、基板10上に設けられたトランジスタ200、およびトランジスタ200を包む構造を有する絶縁体12、絶縁体12のさらに外側に絶縁体14を有する。 The semiconductor device shown in FIGS. 10A and 10B includes a transistor 200 provided over a substrate 10, an insulator 12 having a structure surrounding the transistor 200, and an insulator 14 outside the insulator 12. have

トランジスタ200が有する酸化物230は、水素、水、または金属酸化物などの不純物により電気特性が変動する蓋然性が高くなるため、外部から不純物の侵入を遮断することが好ましい。そこで、バリア性を有する絶縁体を用いて、絶縁体12、および絶縁体14で示す積層構造により、トランジスタ200を封止することが好ましい。 The electric characteristics of the oxide 230 included in the transistor 200 are likely to change due to impurities such as hydrogen, water, or metal oxide; therefore, it is preferable to block entry of impurities from the outside. Therefore, it is preferable to seal the transistor 200 with a stacked-layer structure represented by the insulators 12 and 14 using an insulator having a barrier property.

絶縁体12、および絶縁体14として、例えば、酸化アルミニウムまたは酸化ハフニウムなどを用いることができる。また、他にも、例えば、酸化マグネシウム、酸化ガリウム、酸化ゲルマニウム、酸化イットリウム、酸化ジルコニウム、酸化ランタン、酸化ネオジムまたは酸化タンタルなどの金属酸化物、窒化酸化シリコンまたは窒化シリコンなどを用いることができる。 As the insulator 12 and the insulator 14, for example, aluminum oxide, hafnium oxide, or the like can be used. In addition, metal oxides such as magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconium oxide, lanthanum oxide, neodymium oxide, or tantalum oxide, silicon nitride oxide, or silicon nitride can also be used.

なお、絶縁体12、および絶縁体14は、異なる膜種を用いることが好ましい。異なる膜種を積層することで、外部から侵入する不純物に対し、より多くの種類の不純物の拡散を抑制することができる。具体的には、絶縁体12には、酸化アルミニウムを用い、絶縁体14には、窒化シリコンを用いるとよい。 Note that the insulators 12 and 14 are preferably made of different film types. By laminating different types of films, it is possible to suppress the diffusion of more types of impurities with respect to impurities that enter from the outside. Specifically, aluminum oxide is preferably used for the insulator 12 and silicon nitride is preferably used for the insulator 14 .

また、絶縁体12、および絶縁体14は、同じ膜種を異なる成膜方法を用いて形成してもよい。例えば、絶縁体12をスパッタリング法で成膜し、絶縁体14をALD法により成膜してもよい。本構造とすることで、絶縁体14よりも厚く成膜した絶縁体12を、緻密な膜である絶縁体14で被膜することで、歩留まりよく封止することが可能となる。 Further, the insulator 12 and the insulator 14 may be formed using the same film type and different film formation methods. For example, the insulator 12 may be deposited by sputtering and the insulator 14 may be deposited by ALD. With this structure, the insulator 12, which is thicker than the insulator 14, is coated with the insulator 14, which is a dense film, so that sealing can be performed with a high yield.

また、図10(C)、および図10(D)に示すように、複数のトランジスタ200を一括して包囲してもよい。また、トランジスタ200の密度が異なる領域ごとに、包囲してもよい。 Alternatively, as shown in FIGS. 10C and 10D, a plurality of transistors 200 may be surrounded together. Alternatively, each region having a different density of the transistors 200 may be surrounded.

具体的には、図10(C)、および図10(D)に示す半導体装置は、基板10上にトランジスタ200の密度が高い領域20と、密度が低い領域30を有する。トランジスタ密度が高い領域20において、絶縁体22、および絶縁体24は、複数のトランジスタ200の四方を取り囲む。また、トランジスタ密度が低い領域30においては、絶縁体32、および絶縁体34が、トランジスタ200の四方を取り囲む。ここで、絶縁体22、および絶縁体24が、取り囲むトランジスタ200の個数は、絶縁体32、および絶縁体34が、取り囲むトランジスタ200の個数よりも、多い。 Specifically, the semiconductor device shown in FIGS. 10C and 10D has a region 20 with a high density of transistors 200 and a region 30 with a low density of transistors 200 over the substrate 10 . Insulator 22 and insulator 24 surround the plurality of transistors 200 on all sides in region 20 of high transistor density. Insulator 32 and insulator 34 surround transistor 200 on all four sides in region 30 where transistor density is low. Here, the number of transistors 200 surrounded by insulators 22 and 24 is greater than the number of transistors 200 surrounded by insulators 32 and 34 .

従って、基板10上のトランジスタ密度が異なる領域ごとに、バリア性を有する絶縁体により封止することで、トランジスタ200への不純物の拡散を抑制することができる。また、トランジスタ200へ拡散する過剰酸素量のばらつきを低減することができる。従って、複数のトランジスタ200間において、電気特性のバラツキを低減することができる。 Therefore, by sealing regions having different transistor densities on the substrate 10 with an insulator having a barrier property, diffusion of impurities into the transistor 200 can be suppressed. In addition, variation in the amount of excess oxygen that diffuses into the transistor 200 can be reduced. Therefore, variations in electrical characteristics can be reduced among the plurality of transistors 200 .

ここで、図10に示す絶縁体12、絶縁体14、絶縁体22、絶縁体24、絶縁体32、および絶縁体34に対応する絶縁体として、絶縁体212、絶縁体214、絶縁体282、および絶縁体283を用いることができる。 Here, as insulators corresponding to the insulators 12, 14, 22, 24, 32, and 34 shown in FIG. and insulator 283 can be used.

例えば、絶縁体12、絶縁体22、および絶縁体32として、絶縁体212、絶縁体283を配置することができる。また、絶縁体14、絶縁体24、および絶縁体34として、絶縁体214、絶縁体282を配置することができる。また、絶縁体214と絶縁体216の積層構造は、絶縁体282と絶縁体283の積層構造帯と、基板上で接する領域を有する。 For example, the insulator 212 and the insulator 283 can be arranged as the insulator 12 , the insulator 22 , and the insulator 32 . Moreover, the insulator 214 and the insulator 282 can be arranged as the insulator 14 , the insulator 24 , and the insulator 34 . In addition, the layered structure of the insulators 214 and 216 has a region in contact with the layered structure band of the insulators 282 and 283 on the substrate.

従って、絶縁体212、絶縁体214、絶縁体282、および絶縁体283は、水または水素などの不純物が、基板側からトランジスタ200に混入するのを抑制するバリア膜として機能する。具体的には、絶縁体212、絶縁体214、絶縁体282、および絶縁体283は、水素原子、水素分子、水分子、窒素原子、窒素分子、酸化窒素分子(NO、NO、NOなど)、銅原子などの不純物の拡散を抑制する機能を有する(上記不純物が透過しにくい。)絶縁性材料を用いることが好ましい。または、酸素(例えば、酸素原子、酸素分子など)の少なくとも一の拡散を抑制する機能を有する(上記酸素が透過しにくい。)絶縁性材料を用いることが好ましい。また、例えば、絶縁体212、絶縁体214、絶縁体282、および絶縁体283として酸化アルミニウムや窒化シリコンなどを用いてもよい。当該構成により、水素、水などの不純物が、基板、基板端、または絶縁体284よりも上方からトランジスタ200側に拡散するのを抑制することができる。 Therefore, the insulator 212, the insulator 214, the insulator 282, and the insulator 283 function as barrier films that prevent impurities such as water or hydrogen from entering the transistor 200 from the substrate side. Specifically, the insulator 212, the insulator 214, the insulator 282, and the insulator 283 contain hydrogen atoms, hydrogen molecules, water molecules, nitrogen atoms, nitrogen molecules, and nitrogen oxide molecules (N 2 O, NO, NO 2 etc.), it is preferable to use an insulating material that has a function of suppressing the diffusion of impurities such as copper atoms (the impurities are less likely to permeate). Alternatively, it is preferable to use an insulating material that has a function of suppressing at least one diffusion of oxygen (for example, oxygen atoms, oxygen molecules, etc.) (the above-mentioned oxygen is difficult to permeate). Alternatively, aluminum oxide, silicon nitride, or the like may be used for the insulators 212, 214, 282, and 283, for example. With this structure, impurities such as hydrogen and water can be prevented from diffusing from the substrate, the substrate edge, or the insulator 284 toward the transistor 200 side.

また、トランジスタ200を覆う絶縁体280は、その下方の凹凸形状を被覆する平坦化膜として機能してもよい。本構造とすることで、絶縁体280よりも上方に配置する膜の被膜性が向上する。従って、絶縁体282が、断膜することなく、トランジスタ200と絶縁体280とを封止することができる。 In addition, the insulator 280 covering the transistor 200 may function as a planarization film covering the uneven shape therebelow. By adopting this structure, the coating property of the film arranged above the insulator 280 is improved. Therefore, the insulator 282 can seal the transistor 200 and the insulator 280 without film breakage.

上記構造を有することで、オン電流が大きい酸化物半導体を有するトランジスタを有する半導体装置を提供することができる。または、オフ電流が小さい酸化物半導体を有するトランジスタを有する半導体装置を提供することができる。または、電気特性の変動を抑制し、安定した電気特性を有すると共に、信頼性を向上させた半導体装置を提供することができる。 With the above structure, a semiconductor device including a transistor including an oxide semiconductor with high on-state current can be provided. Alternatively, a semiconductor device including a transistor including an oxide semiconductor with low off-state current can be provided. Alternatively, it is possible to provide a semiconductor device in which variation in electrical characteristics is suppressed, stable electrical characteristics are obtained, and reliability is improved.

<トランジスタの構造2>
図2には、トランジスタ200を有する半導体装置の一例を示す。図2(A)は半導体装置の上面を示す。なお、図の明瞭化のため、図2(A)において一部の膜は省略されている。また、図2(B)は、図2(A)に示す一点鎖線A1-A2に対応する断面図であり、図2(C)はA3-A4に対応する断面図である。
<Transistor structure 2>
FIG. 2 illustrates an example of a semiconductor device including a transistor 200. FIG. FIG. 2A shows the top surface of the semiconductor device. Note that part of the film is omitted in FIG. 2A for clarity of illustration. 2B is a cross-sectional view corresponding to the dashed line A1-A2 shown in FIG. 2A, and FIG. 2C is a cross-sectional view corresponding to A3-A4.

なお、図2に示す半導体装置において、図1に示した半導体装置を構成する構造と同機能を有する構造には、同符号を付記する。 In the semiconductor device shown in FIG. 2, structures having the same functions as those constituting the semiconductor device shown in FIG. 1 are denoted by the same reference numerals.

図2に示すトランジスタ200は、導電体260と絶縁体252との間に、絶縁体254を設けた構造である。また、絶縁体250は、必ずしも設ける必要はない。同様に、導電体205と絶縁体224との間に、絶縁体220を設けた構造である。また、絶縁体250、および絶縁体222は、必ずしも設ける必要はない。 The transistor 200 illustrated in FIG. 2 has a structure in which an insulator 254 is provided between a conductor 260 and an insulator 252 . Also, the insulator 250 does not necessarily have to be provided. Similarly, it has a structure in which an insulator 220 is provided between a conductor 205 and an insulator 224 . Moreover, the insulator 250 and the insulator 222 do not necessarily have to be provided.

絶縁体254は、例えば、水素濃度が低く、熱に強い絶縁体であることが好ましい。絶縁体254として、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコンなどを用いることができる。特に、酸化シリコン、および酸化窒化シリコンは熱に対して安定であるため好ましい。具体的に、水素濃度が低く、過剰酸素領域または過剰酸素を有する絶縁体は、SIMSにより得られる水素濃度が、5×1020atoms/cm未満、好ましくは1×1020atoms/cm未満、より好ましくは5×1019atoms/cm未満とする。 The insulator 254 is preferably, for example, an insulator that has a low hydrogen concentration and is resistant to heat. As the insulator 254, silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, or the like can be used. In particular, silicon oxide and silicon oxynitride are preferable because they are stable against heat. Specifically, an insulator having a low hydrogen concentration and an excess oxygen region or excess oxygen has a hydrogen concentration of less than 5×10 20 atoms/cm 3 , preferably less than 1×10 20 atoms/cm 3 by SIMS. , more preferably less than 5×10 19 atoms/cm 3 .

ゲート絶縁体として機能する絶縁体を、high-k材料と、熱的に安定している材料との積層構造とすることで、物理膜厚を保ちながら、トランジスタ動作時のゲート電位の低減が可能となる。また、熱的に安定かつ比誘電率の高い積層構造とすることができる。 The gate potential during transistor operation can be reduced while maintaining the physical film thickness by making the insulator that functions as a gate insulator into a laminated structure of a high-k material and a thermally stable material. becomes. Moreover, it is possible to obtain a laminated structure that is thermally stable and has a high dielectric constant.

また、酸化物230としてIn-Ga-Zn酸化物を用い、絶縁体252としてガリウム酸化物など、酸化物230よりもガリウムの含有量が多い絶縁材料を用いる場合、酸化物230と絶縁体252とが接する構造とすることで、酸化物230と絶縁体252との界面における欠陥準位密度を低くすることができる。そのため、界面散乱によるキャリア伝導への影響が小さくなり、トランジスタ200は高いオン電流、および高い周波数特性を得ることができる。 In the case where an In--Ga--Zn oxide is used as the oxide 230 and an insulating material containing more gallium than the oxide 230, such as gallium oxide, is used as the insulator 252, the oxide 230 and the insulator 252 are formed. , the density of defect states at the interface between the oxide 230 and the insulator 252 can be reduced. Therefore, the influence of interface scattering on carrier conduction is reduced, and the transistor 200 can obtain high on-current and high frequency characteristics.

また、絶縁体274上に、絶縁体275を配置してもよい。絶縁体275は、バリア性を有することが好ましい。絶縁体275を設ける場合、絶縁体274は、異なる膜種を用いるとよい。異なる膜種を積層することで、外部から侵入する不純物に対し、より多くの種類の不純物の拡散を抑制することができる。 Alternatively, an insulator 275 may be placed over the insulator 274 . The insulator 275 preferably has barrier properties. When the insulator 275 is provided, the insulator 274 is preferably formed using a different film type. By laminating different types of films, it is possible to suppress the diffusion of more types of impurities with respect to impurities that enter from the outside.

また、絶縁体275は、絶縁体274と、同じ膜種を異なる成膜方法を用いて形成してもよい。例えば、絶縁体274をスパッタリング法で成膜し、絶縁体275をALD法により成膜してもよい。本構造とすることで、絶縁体275よりも厚く成膜した絶縁体274を、緻密な膜である絶縁体275で被膜することで、歩留まりよく封止することが可能となる。 Alternatively, the insulator 275 and the insulator 274 may be formed using the same film type and a different film formation method. For example, the insulator 274 may be deposited by a sputtering method, and the insulator 275 may be deposited by an ALD method. With this structure, the insulator 274, which is thicker than the insulator 275, is coated with the insulator 275, which is a dense film, so that sealing can be performed with high yield.

上記構造を有することで、オン電流が大きい酸化物半導体を有するトランジスタを有する半導体装置を提供することができる。または、オフ電流が小さい酸化物半導体を有するトランジスタを有する半導体装置を提供することができる。または、電気特性の変動を抑制し、安定した電気特性を有すると共に、信頼性を向上させた半導体装置を提供することができる。 With the above structure, a semiconductor device including a transistor including an oxide semiconductor with high on-state current can be provided. Alternatively, a semiconductor device including a transistor including an oxide semiconductor with low off-state current can be provided. Alternatively, it is possible to provide a semiconductor device in which variation in electrical characteristics is suppressed, stable electrical characteristics are obtained, and reliability is improved.

<トランジスタの構造3>
図3には、トランジスタ200を有する半導体装置の一例を示す。図3(A)は半導体装置の上面を示す。なお、図の明瞭化のため、図3(A)において一部の膜は省略されている。また、図3(B)は、図3(A)に示す一点鎖線A1-A2に対応する断面図であり、図3(C)はA3-A4に対応する断面図である。
<Transistor Structure 3>
FIG. 3 illustrates an example of a semiconductor device including a transistor 200. FIG. FIG. 3A shows the top surface of the semiconductor device. Note that part of the film is omitted in FIG. 3A for clarity of illustration. 3B is a cross-sectional view corresponding to the dashed line A1-A2 shown in FIG. 3A, and FIG. 3C is a cross-sectional view corresponding to A3-A4.

なお、図3に示す半導体装置において、図1、または図2に示した半導体装置を構成する構造と同機能を有する構造には、同符号を付記する。 In the semiconductor device shown in FIG. 3, structures having the same functions as those constituting the semiconductor device shown in FIG. 1 or 2 are denoted by the same reference numerals.

図3に示すトランジスタ200は、第1のゲート絶縁体と、第2のゲート絶縁体の積層構造が異なる。特に、第1のゲート電極として機能する導電体260と、第2のゲート電極として機能する導電体205に異なる電位が印加される場合、第1のゲート絶縁体の構造、および第2のゲート絶縁体の構造は、各電位に対し適宜選択すればよい。 The transistor 200 shown in FIG. 3 differs in the stacked structure of the first gate insulator and the second gate insulator. In particular, when different potentials are applied to the conductor 260 functioning as the first gate electrode and the conductor 205 functioning as the second gate electrode, the structure of the first gate insulator and the second gate insulator The structure of the body may be appropriately selected for each potential.

例えば、図3に示すように、第1のゲート絶縁体は、絶縁体250、絶縁体252、および絶縁体254の積層構造を用いることができる。一方、第2のゲート絶縁体は、絶縁体221、絶縁体223、絶縁体225の積層構造を用いることができる。 For example, as shown in FIG. 3, the first gate insulator can use a laminate structure of insulator 250, insulator 252, and insulator 254. FIG. On the other hand, a stacked-layer structure of insulators 221, 223, and 225 can be used for the second gate insulator.

例えば、絶縁体221、および絶縁体225は、酸素、水素、または不純物に対してバリア性を有する膜を用いることが好ましい。 For example, the insulators 221 and 225 preferably use films having barrier properties against oxygen, hydrogen, or impurities.

特に、酸化物230としてIn-Ga-Zn酸化物を用いる場合、絶縁体221、および絶縁体225としてガリウム酸化物など、酸化物230よりもガリウムの含有量が多い絶縁材料を用いることが好ましい。絶縁体221、および絶縁体225を構成する元素と、酸化物230を構成する元素が共通であるため、例えば、絶縁体221、および絶縁体225を構成する元素が、酸化物230へと拡散したとしても、酸化物230の低抵抗化の要因とならない。 In particular, when In—Ga—Zn oxide is used as the oxide 230 , it is preferable to use an insulating material containing more gallium than the oxide 230 , such as gallium oxide, as the insulators 221 and 225 . Since an element forming the insulators 221 and 225 and an element forming the oxide 230 are common, for example, the elements forming the insulators 221 and 225 are diffused into the oxide 230. Even so, it does not cause the oxide 230 to have a low resistance.

また、酸化ガリウムは、窒化シリコンよりも誘電率が高い高誘電率絶縁材料であり、いわゆるhigh-k材料である。トランジスタの微細化、および高集積化が進むと、ゲート絶縁体の薄膜化により、リーク電流などの問題が生じる場合がある。ゲート絶縁体として機能する絶縁体にhigh-k材料を用いることで、物理膜厚を保持したまま、ゲート絶縁体の等価酸化膜厚(EOT)の薄膜化が可能となる。従って、トランジスタ動作時のゲート電位の低減が可能となる。 Gallium oxide is a high dielectric constant insulating material having a higher dielectric constant than silicon nitride, and is a so-called high-k material. As transistors are miniaturized and highly integrated, thinning of gate insulators may cause problems such as leakage current. By using a high-k material for the insulator that functions as the gate insulator, it is possible to reduce the equivalent oxide thickness (EOT) of the gate insulator while maintaining the physical thickness. Therefore, it is possible to reduce the gate potential during transistor operation.

一方で、酸化ガリウムなどいわゆるhigh-k材料は、結晶化しやすい傾向がある。また、ゲート絶縁体の結晶性が高いと、リーク電流が生じる蓋然性が高くなる。そこで、絶縁体221と絶縁体225との間に、非晶質である絶縁体223を配置することが好ましい。絶縁体223を有することで、絶縁体221と絶縁体225の結晶率が高い場合でも、リーク電流の発生を抑制することができる。 On the other hand, so-called high-k materials such as gallium oxide tend to crystallize easily. Also, the higher the crystallinity of the gate insulator, the higher the probability of leakage current. Therefore, it is preferable to arrange an amorphous insulator 223 between the insulator 221 and the insulator 225 . By including the insulator 223, generation of leakage current can be suppressed even when the insulators 221 and 225 have high crystallinity.

上記構造を有することで、オン電流が大きい酸化物半導体を有するトランジスタを有する半導体装置を提供することができる。または、オフ電流が小さい酸化物半導体を有するトランジスタを有する半導体装置を提供することができる。または、電気特性の変動を抑制し、安定した電気特性を有すると共に、信頼性を向上させた半導体装置を提供することができる。 With the above structure, a semiconductor device including a transistor including an oxide semiconductor with high on-state current can be provided. Alternatively, a semiconductor device including a transistor including an oxide semiconductor with low off-state current can be provided. Alternatively, it is possible to provide a semiconductor device in which variation in electrical characteristics is suppressed, stable electrical characteristics are obtained, and reliability is improved.

<半導体装置の構成材料>
以下では、半導体装置に用いることができる構成材料について説明する。
<Semiconductor Device Constituent Materials>
Constituent materials that can be used for the semiconductor device are described below.

<<基板>>
トランジスタ200を形成する基板としては、例えば、絶縁体基板、半導体基板、または導電体基板を用いればよい。絶縁体基板としては、例えば、ガラス基板、石英基板、サファイア基板、安定化ジルコニア基板(イットリア安定化ジルコニア基板など)、樹脂基板などがある。また、半導体基板としては、例えば、シリコン、ゲルマニウムなどの半導体基板、または炭化シリコン、シリコンゲルマニウム、ヒ化ガリウム、リン化インジウム、酸化亜鉛、酸化ガリウムからなる化合物半導体基板などがある。さらには、前述の半導体基板内部に絶縁体領域を有する半導体基板、例えば、SOI(Silicon On Insulator)基板などがある。導電体基板としては、黒鉛基板、金属基板、合金基板、導電性樹脂基板などがある。または、金属の窒化物を有する基板、金属の酸化物を有する基板などがある。さらには、絶縁体基板に導電体または半導体が設けられた基板、半導体基板に導電体または絶縁体が設けられた基板、導電体基板に半導体または絶縁体が設けられた基板などがある。または、これらの基板に素子が設けられたものを用いてもよい。基板に設けられる素子としては、容量素子、抵抗素子、スイッチ素子、発光素子、記憶素子などがある。
<<Substrate>>
As a substrate for forming the transistor 200, an insulator substrate, a semiconductor substrate, or a conductor substrate may be used, for example. Examples of insulator substrates include glass substrates, quartz substrates, sapphire substrates, stabilized zirconia substrates (yttria stabilized zirconia substrates, etc.), and resin substrates. Examples of semiconductor substrates include semiconductor substrates such as silicon and germanium, and compound semiconductor substrates made of silicon carbide, silicon germanium, gallium arsenide, indium phosphide, zinc oxide, and gallium oxide. Further, there is a semiconductor substrate having an insulator region inside the semiconductor substrate, such as an SOI (Silicon On Insulator) substrate. Examples of conductive substrates include graphite substrates, metal substrates, alloy substrates, and conductive resin substrates. Alternatively, there are a substrate having a metal nitride, a substrate having a metal oxide, and the like. Furthermore, there are substrates in which an insulator substrate is provided with a conductor or a semiconductor, a substrate in which a semiconductor substrate is provided with a conductor or an insulator, a substrate in which a conductor substrate is provided with a semiconductor or an insulator, and the like. Alternatively, these substrates provided with elements may be used. Elements provided on the substrate include a capacitor element, a resistance element, a switch element, a light emitting element, a memory element, and the like.

<<絶縁体>>
絶縁体としては、絶縁性を有する酸化物、窒化物、酸化窒化物、窒化酸化物、金属酸化物、金属酸化窒化物、金属窒化酸化物などがある。
<<insulator>>
As insulators, there are insulating oxides, nitrides, oxynitrides, nitride oxides, metal oxides, metal oxynitrides, metal nitride oxides, and the like.

例えば、トランジスタの微細化、および高集積化が進むと、ゲート絶縁体の薄膜化により、リーク電流などの問題が生じる場合がある。ゲート絶縁体として機能する絶縁体に、high-k材料を用いることで物理膜厚を保ちながら、トランジスタ動作時の低電圧化が可能となる。一方、層間膜として機能する絶縁体には、比誘電率が低い材料を用いることで、配線間に生じる寄生容量を低減することができる。したがって、絶縁体の機能に応じて、材料を選択するとよい。 For example, as transistors are miniaturized and highly integrated, thinning of gate insulators may cause problems such as leakage current. By using a high-k material for the insulator that functions as the gate insulator, it is possible to reduce the voltage during transistor operation while maintaining the physical film thickness. On the other hand, by using a material with a low dielectric constant for the insulator functioning as an interlayer film, parasitic capacitance generated between wirings can be reduced. Therefore, the material should be selected according to the function of the insulator.

また、比誘電率の高い絶縁体としては、酸化ガリウム、酸化ハフニウム、酸化ジルコニウム、アルミニウムおよびハフニウムを有する酸化物、アルミニウムおよびハフニウムを有する酸化窒化物、シリコンおよびハフニウムを有する酸化物、シリコンおよびハフニウムを有する酸化窒化物、またはシリコンおよびハフニウムを有する窒化物などがある。 Insulators with a high relative dielectric constant include gallium oxide, hafnium oxide, zirconium oxide, oxides containing aluminum and hafnium, oxynitrides containing aluminum and hafnium, oxides containing silicon and hafnium, and silicon and hafnium. oxynitrides with silicon, or nitrides with silicon and hafnium.

また、比誘電率が低い絶縁体としては、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコン、フッ素を添加した酸化シリコン、炭素を添加した酸化シリコン、炭素および窒素を添加した酸化シリコン、空孔を有する酸化シリコン、または樹脂などがある。 Insulators with a low relative dielectric constant include silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide to which fluorine is added, silicon oxide to which carbon is added, silicon oxide to which carbon and nitrogen are added, and an empty silicon oxide. There are silicon oxide with pores, resin, and the like.

また、酸化物半導体を用いたトランジスタは、水素などの不純物および酸素の透過を抑制する機能を有する絶縁体(絶縁体214、絶縁体222、絶縁体82、および絶縁体283など)で囲うことによって、トランジスタの電気特性を安定にすることができる。水素などの不純物および酸素の透過を抑制する機能を有する絶縁体としては、例えば、ホウ素、炭素、窒素、酸素、フッ素、マグネシウム、アルミニウム、シリコン、リン、塩素、アルゴン、ガリウム、ゲルマニウム、イットリウム、ジルコニウム、ランタン、ネオジム、ハフニウム、またはタンタルを含む絶縁体を、単層で、または積層で用いればよい。具体的には、水素などの不純物および酸素の透過を抑制する機能を有する絶縁体として、酸化アルミニウム、酸化マグネシウム、酸化ガリウム、酸化ゲルマニウム、酸化イットリウム、酸化ジルコニウム、酸化ランタン、酸化ネオジム、酸化ハフニウム、酸化タンタルなどの金属酸化物、窒化アルミニウム、窒化アルミニウムチタン、窒化チタン、窒化酸化シリコン、窒化シリコンなどの金属窒化物を用いることができる。 A transistor including an oxide semiconductor is surrounded by an insulator (such as the insulator 214, the insulator 222, the insulator 82, and the insulator 283) which has a function of suppressing permeation of impurities such as hydrogen and oxygen. , the electrical characteristics of the transistor can be stabilized. Examples of insulators having a function of suppressing permeation of impurities such as hydrogen and oxygen include boron, carbon, nitrogen, oxygen, fluorine, magnesium, aluminum, silicon, phosphorus, chlorine, argon, gallium, germanium, yttrium, and zirconium. Insulators including lanthanum, neodymium, hafnium, or tantalum may be used in single layers or in stacks. Specifically, as insulators having a function of suppressing permeation of impurities such as hydrogen and oxygen, aluminum oxide, magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconium oxide, lanthanum oxide, neodymium oxide, hafnium oxide, Metal oxides such as tantalum oxide, and metal nitrides such as aluminum nitride, titanium aluminum nitride, titanium nitride, silicon nitride oxide, and silicon nitride can be used.

また、ゲート絶縁体として機能する絶縁体は、加熱により脱離する酸素を含む領域を有する絶縁体であることが好ましい。例えば、加熱により脱離する酸素を含む領域を有する酸化シリコンまたは酸化窒化シリコンを酸化物230と接する構造とすることで、酸化物230が有する酸素欠損を補償することができる。 An insulator that functions as a gate insulator preferably has a region containing oxygen that is released by heating. For example, by forming a structure in which silicon oxide or silicon oxynitride having a region containing oxygen released by heating is in contact with the oxide 230, oxygen vacancies in the oxide 230 can be compensated.

<<導電体>>
導電体としては、アルミニウム、クロム、銅、銀、金、白金、タンタル、ニッケル、チタン、モリブデン、タングステン、ハフニウム、バナジウム、ニオブ、マンガン、マグネシウム、ジルコニウム、ベリリウム、インジウム、ルテニウム、イリジウム、ストロンチウム、ランタンなどから選ばれた金属元素、または上述した金属元素を成分とする合金か、上述した金属元素を組み合わせた合金等を用いることが好ましい。例えば、窒化タンタル、窒化チタン、タングステン、チタンとアルミニウムを含む窒化物、タンタルとアルミニウムを含む窒化物、酸化ルテニウム、窒化ルテニウム、ストロンチウムとルテニウムを含む酸化物、ランタンとニッケルを含む酸化物などを用いることが好ましい。また、窒化タンタル、窒化チタン、チタンとアルミニウムを含む窒化物、タンタルとアルミニウムを含む窒化物、酸化ルテニウム、窒化ルテニウム、ストロンチウムとルテニウムを含む酸化物、ランタンとニッケルを含む酸化物は、酸化しにくい導電性材料、または、酸素を吸収しても導電性を維持する材料であるため、好ましい。また、リン等の不純物元素を含有させた多結晶シリコンに代表される、電気伝導度が高い半導体、ニッケルシリサイドなどのシリサイドを用いてもよい。
<<Conductor>>
Conductors include aluminum, chromium, copper, silver, gold, platinum, tantalum, nickel, titanium, molybdenum, tungsten, hafnium, vanadium, niobium, manganese, magnesium, zirconium, beryllium, indium, ruthenium, iridium, strontium, and lanthanum. It is preferable to use a metal element selected from among the above, an alloy containing the above-described metal elements as a component, or an alloy or the like in which the above-described metal elements are combined. For example, tantalum nitride, titanium nitride, tungsten, nitride containing titanium and aluminum, nitride containing tantalum and aluminum, ruthenium oxide, ruthenium nitride, oxide containing strontium and ruthenium, oxide containing lanthanum and nickel, and the like are used. is preferred. Also, tantalum nitride, titanium nitride, nitrides containing titanium and aluminum, nitrides containing tantalum and aluminum, ruthenium oxide, ruthenium nitride, oxides containing strontium and ruthenium, and oxides containing lanthanum and nickel are difficult to oxidize. It is preferable because it is a conductive material or a material that maintains conductivity even after absorbing oxygen. Alternatively, a semiconductor with high electrical conductivity, typified by polycrystalline silicon containing an impurity element such as phosphorus, or a silicide such as nickel silicide may be used.

また、上記の材料で形成される導電層を複数積層して用いてもよい。例えば、前述した金属元素を含む材料と、酸素を含む導電性材料と、を組み合わせた積層構造としてもよい。また、前述した金属元素を含む材料と、窒素を含む導電性材料と、を組み合わせた積層構造としてもよい。また、前述した金属元素を含む材料と、酸素を含む導電性材料と、窒素を含む導電性材料と、を組み合わせた積層構造としてもよい。 Alternatively, a plurality of conductive layers formed using any of the above materials may be stacked and used. For example, a laminated structure in which the material containing the metal element described above and the conductive material containing oxygen are combined may be used. Alternatively, a laminated structure may be employed in which the material containing the metal element described above and the conductive material containing nitrogen are combined. Alternatively, a laminated structure may be employed in which the material containing the metal element described above, the conductive material containing oxygen, and the conductive material containing nitrogen are combined.

なお、トランジスタのチャネル形成領域に酸化物を用いる場合において、ゲート電極として機能する導電体には、前述した金属元素を含む材料と、酸素を含む導電性材料と、を組み合わせた積層構造を用いることが好ましい。この場合は、酸素を含む導電性材料をチャネル形成領域側に設けるとよい。酸素を含む導電性材料をチャネル形成領域側に設けることで、当該導電性材料から離脱した酸素がチャネル形成領域に供給されやすくなる。 Note that in the case where an oxide is used for a channel formation region of a transistor, a stacked-layer structure in which the above-described material containing the metal element and a conductive material containing oxygen are combined is used for a conductor functioning as a gate electrode. is preferred. In this case, a conductive material containing oxygen is preferably provided on the channel formation region side. By providing the conductive material containing oxygen on the channel formation region side, oxygen released from the conductive material is easily supplied to the channel formation region.

特に、ゲート電極として機能する導電体として、チャネルが形成される金属酸化物に含まれる金属元素および酸素を含む導電性材料を用いることが好ましい。また、前述した金属元素および窒素を含む導電性材料を用いてもよい。例えば、窒化チタン、窒化タンタルなどの窒素を含む導電性材料を用いてもよい。また、インジウム錫酸化物、酸化タングステンを含むインジウム酸化物、酸化タングステンを含むインジウム亜鉛酸化物、酸化チタンを含むインジウム酸化物、酸化チタンを含むインジウム錫酸化物、インジウム亜鉛酸化物、シリコンを添加したインジウム錫酸化物を用いてもよい。また、窒素を含むインジウムガリウム亜鉛酸化物を用いてもよい。このような材料を用いることで、チャネルが形成される金属酸化物に含まれる水素を捕獲することができる場合がある。または、外方の絶縁体などから混入する水素を捕獲することができる場合がある。 In particular, a conductive material containing oxygen and a metal element contained in a metal oxide in which a channel is formed is preferably used as a conductor functioning as a gate electrode. Alternatively, a conductive material containing the metal element and nitrogen described above may be used. For example, a conductive material containing nitrogen such as titanium nitride or tantalum nitride may be used. Further, indium tin oxide, indium oxide containing tungsten oxide, indium zinc oxide containing tungsten oxide, indium oxide containing titanium oxide, indium tin oxide containing titanium oxide, indium zinc oxide, and silicon were added. Indium tin oxide may also be used. Alternatively, indium gallium zinc oxide containing nitrogen may be used. By using such a material, hydrogen contained in the metal oxide in which the channel is formed can be captured in some cases. Alternatively, it may be possible to capture hydrogen mixed from an outer insulator or the like.

<半導体装置の作製方法>
次に、図1に示す、本発明の一態様に係るトランジスタ200を有する半導体装置の作製方法を、図4乃至図9を用いて説明する。
<Method for manufacturing a semiconductor device>
Next, a method for manufacturing a semiconductor device including the transistor 200 according to one embodiment of the present invention, which is illustrated in FIG. 1, will be described with reference to FIGS.

図4乃至図9において、各図の(A)は上面図を示す。また、各図の(B)は、(A)に示すA1-A2の一点鎖線で示す部位に対応する断面図であり、トランジスタ200のチャネル長方向の断面図でもある。また、各図の(C)は、(A)にA3-A4の一点鎖線で示す部位に対応する断面図であり、トランジスタ200のチャネル幅方向の断面図でもある。なお、各図の(A)の上面図では、図の明瞭化のために一部の要素を省いて図示している。 4 to 9, (A) in each figure shows a top view. (B) of each figure is a cross-sectional view corresponding to the portion indicated by the dashed-dotted line A1-A2 shown in (A), and is also a cross-sectional view of the transistor 200 in the channel length direction. (C) of each figure is a cross-sectional view corresponding to the portion indicated by the dashed-dotted line A3-A4 in (A), and is also a cross-sectional view of the transistor 200 in the channel width direction. In addition, in the top view of (A) of each figure, some elements are omitted for clarity of illustration.

まず、基板(図示しない。)を準備し、当該基板上に絶縁体212、および絶縁体214を成膜する。絶縁体212、および絶縁体214の成膜は、スパッタリング法、化学気相成長(CVD:Chemical Vapor Deposition)法、分子線エピタキシー(MBE:Molecular Beam Epitaxy)法、パルスレーザ堆積(PLD:Pulsed Laser Deposition)法、ALD法などを用いて行うことができる。 First, a substrate (not shown) is prepared, and insulators 212 and 214 are formed over the substrate. The insulators 212 and 214 are deposited by a sputtering method, a chemical vapor deposition (CVD) method, a molecular beam epitaxy (MBE) method, a pulsed laser deposition (PLD) method. ) method, ALD method, or the like.

なお、CVD法は、プラズマを利用するプラズマCVD(PECVD:Plasma Enhanced CVD)法、熱を利用する熱CVD(TCVD:Thermal CVD)法、光を利用する光CVD(Photo CVD)法などに分類できる。さらに用いる原料ガスによって金属CVD(MCVD:Metal CVD)法、有機金属CVD(MOCVD:Metal Organic CVD)法に分けることができる。 The CVD method can be classified into a plasma enhanced CVD (PECVD) method using plasma, a thermal CVD (TCVD) method using heat, a photo CVD (Photo CVD) method using light, and the like. . Further, the method can be classified into a metal CVD (MCVD: Metal CVD) method and an organic metal CVD (MOCVD: Metal Organic CVD) method depending on the raw material gas used.

プラズマCVD法は、比較的低温で高品質の膜が得られる。また、熱CVD法は、プラズマを用いないため、被処理物へのプラズマダメージを小さくすることが可能な成膜方法である。例えば、半導体装置に含まれる配線、電極、素子(トランジスタ、容量素子など)などは、プラズマから電荷を受け取ることでチャージアップする場合がある。このとき、蓄積した電荷によって、半導体装置に含まれる配線、電極、素子などが破壊される場合がある。一方、プラズマを用いない熱CVD法の場合、こういったプラズマダメージが生じないため、半導体装置の歩留まりを高くすることができる。また、熱CVD法では、成膜中のプラズマダメージが生じないため、欠陥の少ない膜が得られる。 The plasma CVD method can obtain high quality films at relatively low temperatures. Moreover, since the thermal CVD method does not use plasma, it is a film formation method capable of reducing plasma damage to the object to be processed. For example, wiring, electrodes, elements (transistors, capacitive elements, etc.) included in a semiconductor device may be charged up by receiving charges from plasma. At this time, the accumulated charges may destroy wiring, electrodes, elements, and the like included in the semiconductor device. On the other hand, a thermal CVD method that does not use plasma does not cause such plasma damage, so that the yield of semiconductor devices can be increased. Moreover, since the thermal CVD method does not cause plasma damage during film formation, a film with few defects can be obtained.

CVD法は、ターゲットなどから放出される粒子が堆積する成膜方法とは異なり、被処理物の表面における反応により膜が形成される成膜方法である。したがって、被処理物の形状の影響を受けにくく、良好な段差被覆性を有する成膜方法である。 The CVD method is a film forming method in which a film is formed by a reaction on the surface of the object to be processed, unlike a film forming method in which particles emitted from a target or the like are deposited. Therefore, it is a film forming method which is not easily affected by the shape of the object to be processed and which has good step coverage.

CVD法は、原料ガスの流量比によって、得られる膜の組成を制御することができる。例えば、CVD法では、原料ガスの流量比によって、任意の組成の膜を成膜することができる。また、例えば、CVD法では、成膜しながら原料ガスの流量比を変化させることによって、組成が連続的に変化した膜を成膜することができる。原料ガスの流量比を変化させながら成膜する場合、複数の成膜室を用いて成膜する場合と比べて、搬送や圧力調整に掛かる時間を要さない分、成膜に掛かる時間を短くすることができる。したがって、半導体装置の生産性を高めることができる場合がある。 In the CVD method, the composition of the obtained film can be controlled by the flow rate ratio of the raw material gases. For example, in the CVD method, a film of any composition can be formed by changing the flow rate ratio of source gases. Further, for example, in the CVD method, by changing the flow rate ratio of the source gases while forming the film, it is possible to form a film whose composition is continuously changed. When forming a film while changing the flow rate ratio of the raw material gases, the time required for film formation is reduced compared to film formation using multiple film formation chambers, as the time required for transportation and pressure adjustment is not required. can do. Therefore, productivity of semiconductor devices can be improved in some cases.

本実施の形態では、絶縁体212として、スパッタリング法、またはCVD法を用いて、窒化シリコンを成膜する。また、絶縁体214は、スパッタリング法、またはCVD法を用いて、酸化アルミニウムを成膜する。また、例えば、絶縁体212として、スパッタリング法によって酸化アルミニウムを成膜し、絶縁体214として、ALD法によって酸化アルミニウムを成膜する構造としてもよい。または、絶縁体212として、ALD法によって酸化アルミニウムを成膜し、絶縁体214として、スパッタリング法によって酸化アルミニウムを成膜する構造としてもよい。 In this embodiment, the insulator 212 is formed using silicon nitride by a sputtering method or a CVD method. For the insulator 214, aluminum oxide is deposited by a sputtering method or a CVD method. Alternatively, for example, the insulator 212 may be formed of aluminum oxide by a sputtering method, and the insulator 214 may be formed of aluminum oxide by an ALD method. Alternatively, a structure in which aluminum oxide is deposited as the insulator 212 by an ALD method and aluminum oxide is deposited as the insulator 214 by a sputtering method may be employed.

次に、絶縁体214上に絶縁体216を成膜する。絶縁体216の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。本実施の形態では、絶縁体216となる絶縁膜として、CVD法によって酸化シリコンを成膜する。 Next, an insulator 216 is formed over the insulator 214 . The insulator 216 can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment mode, the insulating film to be the insulator 216 is formed using silicon oxide by a CVD method.

次に、絶縁体216に絶縁体214に達する開口を形成する。開口とは、例えば、溝やスリットなども含まれる。また、開口が形成された領域を指して開口部とする場合がある。開口の形成はウェットエッチングを用いてもよいが、ドライエッチングを用いるほうが微細加工には好ましい。また、絶縁体214は、絶縁体216をエッチングして溝を形成する際のエッチングストッパ膜として機能する絶縁体を選択することが好ましい。例えば、溝を形成する絶縁体216に酸化シリコン膜を用いた場合は、絶縁体214は窒化シリコン膜、酸化アルミニウム膜、酸化ハフニウム膜を用いるとよい。 Next, an opening is formed in insulator 216 to reach insulator 214 . The opening includes, for example, grooves and slits. Also, an area in which an opening is formed may be referred to as an opening. Wet etching may be used to form the openings, but dry etching is preferable for fine processing. For the insulator 214, it is preferable to select an insulator that functions as an etching stopper film when the insulator 216 is etched to form a groove. For example, when a silicon oxide film is used as the insulator 216 forming the trench, a silicon nitride film, an aluminum oxide film, or a hafnium oxide film is preferably used as the insulator 214 .

ドライエッチング装置としては、平行平板型電極を有する容量結合型プラズマ(CCP:Capacitively Coupled Plasma)エッチング装置を用いることができる。平行平板型電極を有する容量結合型プラズマエッチング装置は、平行平板型電極の一方の電極に高周波電圧を印加する構成でもよい。または平行平板型電極の一方の電極に複数の異なった高周波電圧を印加する構成でもよい。または平行平板型電極それぞれに同じ周波数の高周波電圧を印加する構成でもよい。または平行平板型電極それぞれに周波数の異なる高周波電圧を印加する構成でもよい。または高密度プラズマ源を有するドライエッチング装置を用いることができる。高密度プラズマ源を有するドライエッチング装置は、例えば、誘導結合型プラズマ(ICP:Inductively Coupled Plasma)エッチング装置などを用いることができる。 As a dry etching device, a capacitively coupled plasma (CCP) etching device having parallel plate electrodes can be used. A capacitively coupled plasma etching apparatus having parallel plate electrodes may be configured to apply a high frequency voltage to one electrode of the parallel plate electrodes. Alternatively, a plurality of different high-frequency voltages may be applied to one of the parallel plate electrodes. Alternatively, a high-frequency voltage having the same frequency may be applied to each of the parallel plate electrodes. Alternatively, high-frequency voltages having different frequencies may be applied to parallel plate electrodes. Alternatively, a dry etching apparatus having a high density plasma source can be used. For example, an inductively coupled plasma (ICP) etching apparatus can be used as a dry etching apparatus having a high-density plasma source.

開口の形成後に、導電体205の第1の導電体となる導電膜を成膜する。該導電膜は、酸素の透過を抑制する機能を有する導電体を含むことが望ましい。たとえば、窒化タンタル、窒化タングステン、窒化チタンなどを用いることができる。または、酸素の透過を抑制する機能を有する導電体と、タンタル、タングステン、チタン、モリブデン、アルミニウム、銅、モリブデンタングステン合金との積層膜とすることができる。導電体205の第1の導電体となる導電膜の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。 After the opening is formed, a conductive film to be the first conductor of the conductor 205 is formed. The conductive film preferably contains a conductor having a function of suppressing permeation of oxygen. For example, tantalum nitride, tungsten nitride, titanium nitride, etc. can be used. Alternatively, a stacked film of a conductor having a function of suppressing permeation of oxygen and tantalum, tungsten, titanium, molybdenum, aluminum, copper, or a molybdenum-tungsten alloy can be used. A conductive film to be the first conductor of the conductor 205 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.

本実施の形態では、導電体205の第1の導電体となる導電膜として、スパッタリング法によって窒化タンタル膜、または、窒化タンタルの上に窒化チタンを積層した膜を成膜する。導電体205の第1の導電体として金属窒化物を用いることにより、後述する導電体205の第2の導電体として銅などの拡散しやすい金属を用いても、当該金属が導電体205の第1の導電体から外に拡散するのを防ぐことができる。 In this embodiment mode, as the conductive film to be the first conductor of the conductor 205, a tantalum nitride film or a film in which titanium nitride is stacked over tantalum nitride is formed by a sputtering method. By using a metal nitride as the first conductor of the conductor 205, even if a metal such as copper which is easily diffused is used as a second conductor of the conductor 205, which will be described later, the metal can be used as the second conductor of the conductor 205. Diffusion to the outside from one conductor can be prevented.

次に、導電体205の第1の導電体となる導電膜上に、導電体205の第2の導電体となる導電膜を成膜する。該導電膜の成膜は、メッキ法、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。本実施の形態では、導電体205の第2の導電体となる導電膜として、タングステンを成膜する。 Next, a conductive film to be the second conductor of the conductor 205 is formed over the conductive film to be the first conductor of the conductor 205 . The conductive film can be formed by a plating method, a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment mode, tungsten is deposited as the conductive film that serves as the second conductor of the conductor 205 .

次に、CMP(Chemical Mechanical Polishing)処理を行うことで、導電体205の第1の導電体となる導電膜、および導電体205の第2の導電体となる導電膜の一部を除去し、絶縁体216を露出する。その結果、開口部のみに、導電体205の第1の導電体となる導電膜、および導電体205の第2の導電体となる導電膜が残存する。これにより、上面が平坦な、導電体205の第1の導電体および導電体205の第2の導電体を含む導電体205を形成することができる(図4参照。)。なお、当該CMP処理により、絶縁体216の一部が除去される場合がある。 Next, CMP (Chemical Mechanical Polishing) treatment is performed to remove part of the conductive film that serves as the first conductor of the conductor 205 and part of the conductive film that serves as the second conductor of the conductor 205. Insulator 216 is exposed. As a result, the conductive film to be the first conductor of the conductor 205 and the conductive film to be the second conductor of the conductor 205 remain only in the opening. Thus, the conductor 205 including the first conductor of the conductor 205 and the second conductor of the conductor 205 having a flat top surface can be formed (see FIG. 4). Note that part of the insulator 216 is removed by the CMP treatment in some cases.

なお、導電体205を形成した後に、導電体205の第2の導電体の一部を除去し、導電体205および絶縁体216上に導電膜を成膜し、CMP処理を行う工程を行ってもよい。当該CMP処理により、当該導電膜の一部を除去し、絶縁体216を露出する。なお、導電体205の第2の導電体の一部は、ドライエッチング法などを用いて除去するとよい。また、当該導電膜には、導電体205の第1の導電体または導電体205の第2の導電体と同様の材料を用いるとよい。 Note that after the conductor 205 is formed, part of the second conductor of the conductor 205 is removed, a conductive film is formed over the conductor 205 and the insulator 216, and CMP treatment is performed. good too. By the CMP treatment, part of the conductive film is removed and the insulator 216 is exposed. Note that part of the second conductor of the conductor 205 is preferably removed by a dry etching method or the like. Further, a material similar to that of the first conductor of the conductor 205 or the second conductor of the conductor 205 is preferably used for the conductive film.

上記工程により、上面が平坦な、上記導電膜を含む導電体205を形成することができる。絶縁体216と導電体205の上面の平坦性を向上させることにより、酸化物230b、酸化物230cを形成するCAAC-OSの結晶性を向上させることができる。 Through the above steps, the conductor 205 having a flat top surface and including the above conductive film can be formed. By improving the planarity of the top surfaces of the insulator 216 and the conductor 205, crystallinity of the CAAC-OS forming the oxides 230b and 230c can be improved.

ここからは、上記と異なる導電体205の形成方法について以下に説明する。 From here, a method of forming the conductor 205 different from the above will be described below.

絶縁体214上に、導電体205となる導電膜を成膜する。該導電膜の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。また、該導電膜は、多層膜とすることができる。本実施の形態では、該導電膜としてタングステンを成膜する。 A conductive film to be the conductor 205 is formed over the insulator 214 . The conductive film can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. Also, the conductive film can be a multilayer film. In this embodiment mode, tungsten is deposited as the conductive film.

次に、リソグラフィー法を用いて、上記導電膜を加工し、導電体205を形成する。 Next, the conductive film is processed by lithography to form a conductor 205 .

なお、リソグラフィー法では、まず、マスクを介してレジストを露光する。次に、露光された領域を、現像液を用いて除去または残存させてレジストマスクを形成する。次に、当該レジストマスクを介してエッチング処理することで導電体、半導体、絶縁体などを所望の形状に加工することができる。例えば、KrFエキシマレーザ光、ArFエキシマレーザ光、EUV(Extreme Ultraviolet)光などを用いて、レジストを露光することでレジストマスクを形成すればよい。また、基板と投影レンズとの間に液体(例えば水)を満たして露光する、液浸技術を用いてもよい。また、前述した光に代えて、電子ビームやイオンビームを用いてもよい。なお、電子ビームやイオンビームを用いる場合には、マスクは不要となる。なお、レジストマスクは、アッシングなどのドライエッチング処理を行う、ウェットエッチング処理を行う、ドライエッチング処理後にウェットエッチング処理を行う、またはウェットエッチング処理後にドライエッチング処理を行うことで、除去することができる。 In the lithography method, first, the resist is exposed through a mask. The exposed regions are then removed or left behind using a developer to form a resist mask. Next, a conductor, a semiconductor, an insulator, or the like can be processed into a desired shape by etching treatment through the resist mask. For example, a resist mask may be formed by exposing a resist using KrF excimer laser light, ArF excimer laser light, EUV (Extreme Ultraviolet) light, or the like. Alternatively, a liquid immersion technique may be used in which a liquid (for example, water) is filled between the substrate and the projection lens for exposure. Also, an electron beam or an ion beam may be used instead of the light described above. A mask is not necessary when using an electron beam or an ion beam. Note that the resist mask can be removed by dry etching treatment such as ashing, wet etching treatment, dry etching treatment followed by wet etching treatment, or wet etching treatment followed by dry etching treatment.

また、レジストマスクの代わりに絶縁体や導電体からなるハードマスクを用いてもよい。ハードマスクを用いる場合、導電体205となる導電膜上にハードマスク材料となる絶縁膜や導電膜を形成し、その上にレジストマスクを形成し、ハードマスク材料をエッチングすることで所望の形状のハードマスクを形成することができる。導電体205となる導電膜のエッチングは、レジストマスクを除去してから行っても良いし、レジストマスクを残したまま行っても良い。後者の場合、エッチング中にレジストマスクが消失することがある。導電体205となる導電膜のエッチング後にハードマスクをエッチングにより除去しても良い。一方、ハードマスクの材料が後工程に影響が無い、あるいは後工程で利用できる場合、必ずしもハードマスクを除去する必要は無い。 A hard mask made of an insulator or a conductor may be used instead of the resist mask. In the case of using a hard mask, an insulating film or a conductive film serving as a hard mask material is formed over the conductive film serving as the conductor 205, a resist mask is formed thereover, and the hard mask material is etched to obtain a desired shape. A hard mask can be formed. The etching of the conductive film to be the conductor 205 may be performed after removing the resist mask or may be performed with the resist mask left. In the latter case, the resist mask may disappear during etching. The hard mask may be removed by etching after the conductive film to be the conductor 205 is etched. On the other hand, if the hard mask material does not affect the post-process, or if it can be used in the post-process, it is not always necessary to remove the hard mask.

次に、絶縁体214、および導電体205上に絶縁体216となる絶縁膜を成膜する。当該絶縁膜は、導電体205の上面、および側面と接するように形成する。当該絶縁膜の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。 Next, an insulating film to be the insulator 216 is formed over the insulator 214 and the conductor 205 . The insulating film is formed so as to be in contact with the top surface and side surfaces of the conductor 205 . The insulating film can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.

ここで、絶縁体216となる絶縁膜の膜厚は、導電体205の膜厚以上とすることが好ましい。例えば、導電体205の膜厚を1とすると、絶縁体216となる絶縁膜の膜厚は、1以上3以下とする。 Here, the thickness of the insulating film to be the insulator 216 is preferably greater than or equal to the thickness of the conductor 205 . For example, if the thickness of the conductor 205 is 1, the thickness of the insulating film to be the insulator 216 is 1 or more and 3 or less.

次に、絶縁体216となる絶縁膜にCMP処理を行うことで、絶縁体216となる絶縁膜の一部を除去し、導電体205の表面を露出させる。これにより、上面が平坦な、導電体205と、導電体205の側面と接する絶縁体216と、を形成することができる。以上が、導電体205の異なる形成方法である。 Next, the insulating film to be the insulator 216 is subjected to CMP treatment to remove part of the insulating film to be the insulator 216 and expose the surface of the conductor 205 . Accordingly, the conductor 205 with a flat top surface and the insulator 216 in contact with the side surface of the conductor 205 can be formed. The above is a different formation method of the conductor 205 .

次に、絶縁体216、および導電体205上に絶縁体222を成膜する。絶縁体222は、水素および水に対してバリア性を有する。絶縁体222が、水素および水に対してバリア性を有することで、トランジスタ200の周辺に設けられた構造体に含まれる水素および水が、絶縁体222を通じてトランジスタ200の内側へ拡散することが抑制され、酸化物230中の酸素欠損の生成を抑制することができる。 Next, an insulator 222 is formed over the insulator 216 and the conductor 205 . The insulator 222 has barrier properties against hydrogen and water. Since the insulator 222 has a barrier property against hydrogen and water, diffusion of hydrogen and water contained in structures provided around the transistor 200 into the transistor 200 through the insulator 222 is suppressed. Thus, generation of oxygen vacancies in the oxide 230 can be suppressed.

また、絶縁体222として、酸化物230に含まれる元素と共通の金属元素を有する酸化物を含む絶縁体を成膜するとよい。 Further, as the insulator 222, an insulator containing an oxide having a common metal element with the element contained in the oxide 230 is preferably deposited.

特に、酸化物230としてIn-Ga-Zn酸化物を用いる場合、絶縁体222としてガリウム酸化物など、酸化物230よりもガリウムの含有量が多い絶縁材料を用いることが好ましい。絶縁体222を構成する元素と、酸化物230を構成する元素が共通であるため、例えば、絶縁体222を構成する元素が、酸化物230へと拡散したとしても、酸化物230の低抵抗化の要因とならない。 In particular, when an In—Ga—Zn oxide is used as the oxide 230 , an insulating material containing more gallium than the oxide 230 , such as gallium oxide, is preferably used as the insulator 222 . Since the element forming the insulator 222 and the element forming the oxide 230 are common, for example, even if the element forming the insulator 222 diffuses into the oxide 230, the resistance of the oxide 230 is lowered. does not cause

絶縁体222の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。 The insulator 222 can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like.

なお、絶縁体222は、後述するALD法によって成膜することが好ましい。 Note that the insulator 222 is preferably formed by an ALD method, which will be described later.

例えば、絶縁体222として、ALD法によって、酸化ガリウム膜を成膜する場合、ガリウムのプリカーサとして、トリメチルガリウム、トリエチルガリウム、三塩化ガリウム、トリス(ジメチルアミド)ガリウム、ガリウム(III)アセチルアセトナート、トリス(2、2、6、6-テトラメチル-3、5-ヘプタンジオン酸)ガリウム、ジメチルクロロガリウム、ジエチルクロロガリウムなどを用いることができる。 For example, when a gallium oxide film is formed as the insulator 222 by the ALD method, trimethylgallium, triethylgallium, gallium trichloride, tris(dimethylamide)gallium, gallium (III) acetylacetonate, Gallium tris(2,2,6,6-tetramethyl-3,5-heptanedionate), dimethylchlorogallium, diethylchlorogallium, and the like can be used.

なお、上記プリカーサには、金属元素の他に、炭素および塩素の一方または両方を含むものがある。炭素を含むプリカーサを用いて形成された酸化膜には炭素が含まれる場合がある。また、塩素を含むプリカーサを用いて形成された酸化膜には塩素が含まれる場合がある。 In addition to the metal element, some precursors contain one or both of carbon and chlorine. An oxide film formed using a carbon-containing precursor may contain carbon. Also, an oxide film formed using a chlorine-containing precursor may contain chlorine.

続いて、加熱処理を行ってもよい。加熱処理は、250℃以上650℃以下、好ましくは300℃以上500℃以下、さらに好ましくは320℃以上450℃以下で行えばよい。なお、加熱処理は、窒素ガスもしくは不活性ガスの雰囲気、または酸化性ガスを10ppm以上、1%以上、もしくは10%以上含む雰囲気で行う。また、加熱処理は減圧状態で行ってもよい。または、加熱処理は、窒素ガスもしくは不活性ガスの雰囲気で加熱処理した後に、脱離した酸素を補うために酸化性ガスを10ppm以上、1%以上、または10%以上含む雰囲気で加熱処理を行ってもよい。 Subsequently, heat treatment may be performed. The heat treatment may be performed at 250° C. or higher and 650° C. or lower, preferably 300° C. or higher and 500° C. or lower, more preferably 320° C. or higher and 450° C. or lower. Note that the heat treatment is performed in a nitrogen gas atmosphere, an inert gas atmosphere, or an atmosphere containing 10 ppm or more, 1% or more, or 10% or more of an oxidizing gas. Moreover, you may perform heat processing in a pressure-reduced state. Alternatively, heat treatment is performed in an atmosphere of nitrogen gas or an inert gas, and then heat treatment is performed in an atmosphere containing 10 ppm or more, 1% or more, or 10% or more of an oxidizing gas in order to compensate for desorbed oxygen. may

本実施の形態では、加熱処理として、絶縁体222の成膜後に窒素雰囲気にて400℃の温度で1時間の処理を行った後に、連続して酸素雰囲気にて400℃の温度で1時間の処理を行う。当該加熱処理によって、絶縁体222に含まれる水、水素などの不純物を除去することなどができる。また、加熱処理は、絶縁体224の成膜後などのタイミングで行うこともできる。 In this embodiment, heat treatment is performed in a nitrogen atmosphere at 400° C. for 1 hour after the insulator 222 is formed, and then in an oxygen atmosphere at 400° C. for 1 hour. process. Impurities such as water and hydrogen contained in the insulator 222 can be removed by the heat treatment. Further, the heat treatment can be performed at a timing such as after the insulator 224 is formed.

次に、絶縁体222上に絶縁体224を成膜する。絶縁体224の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。本実施の形態では、絶縁体224として、CVD法によって酸化シリコンを成膜する。 Next, an insulator 224 is formed over the insulator 222 . The insulator 224 can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment mode, silicon oxide is deposited as the insulator 224 by a CVD method.

ここで、絶縁体224に過剰酸素領域を形成するために、減圧状態で酸素を含むプラズマ処理を行ってもよい。酸素を含むプラズマ処理は、例えばマイクロ波を用いた高密度プラズマを発生させる電源を有する装置を用いることが好ましい。または、基板側にRF(Radio Frequency)を印加する電源を有してもよい。高密度プラズマを用いることより、高密度の酸素ラジカルを生成することができ、基板側にRFを印加することで、高密度プラズマによって生成された酸素ラジカルを効率よく絶縁体224内に導くことができる。または、この装置を用いて不活性ガスを含むプラズマ処理を行った後に、脱離した酸素を補うために酸素を含むプラズマ処理を行ってもよい。なお、当該プラズマ処理の条件を適宜選択することにより、絶縁体224に含まれる水、水素などの不純物を除去することができる。その場合、加熱処理は行わなくてもよい。 Here, in order to form an excess oxygen region in the insulator 224, plasma treatment containing oxygen may be performed under reduced pressure. For plasma treatment containing oxygen, it is preferable to use an apparatus having a power supply that generates high-density plasma using microwaves, for example. Alternatively, the board may have a power supply for applying RF (Radio Frequency). By using high-density plasma, high-density oxygen radicals can be generated, and by applying RF to the substrate side, the oxygen radicals generated by the high-density plasma can be efficiently guided into the insulator 224. can. Alternatively, plasma treatment containing an inert gas may be performed using this apparatus, and then plasma treatment containing oxygen may be performed to compensate for desorbed oxygen. Note that impurities such as water and hydrogen contained in the insulator 224 can be removed by appropriately selecting conditions for the plasma treatment. In that case, heat treatment may not be performed.

ここで、絶縁体224上に、例えば、スパッタリング法によって、酸化アルミニウムを成膜し、該酸化アルミニウムを絶縁体224に達するまで、CMP処理を行ってもよい。当該CMP処理を行うことで絶縁体224表面の平坦化および平滑化を行うことができる。当該酸化アルミニウムを絶縁体224上に配置してCMP処理を行うことで、CMP処理の終点検出が容易となる。また、CMP処理によって、絶縁体224の一部が研磨されて、絶縁体224の膜厚が薄くなることがあるが、絶縁体224の成膜時に膜厚を調整すればよい。絶縁体224表面の平坦化および平滑化を行うことで、後に成膜する酸化物の被覆率の悪化を防止し、半導体装置の歩留りの低下を防ぐことができる場合がある。また、絶縁体224上に、スパッタリング法によって、酸化アルミニウムを成膜することにより、絶縁体224に酸素を添加することができるので好ましい。 Here, an aluminum oxide film may be formed over the insulator 224 by a sputtering method, for example, and CMP treatment may be performed until the aluminum oxide reaches the insulator 224 . By performing the CMP treatment, the surface of the insulator 224 can be planarized and smoothed. By performing the CMP treatment with the aluminum oxide over the insulator 224, the end point of the CMP treatment can be easily detected. Further, part of the insulator 224 is polished by the CMP treatment and the thickness of the insulator 224 is reduced in some cases. By planarizing and smoothing the surface of the insulator 224, it is possible to prevent the deterioration of the coverage of an oxide to be formed later and the decrease in the yield of the semiconductor device in some cases. Further, by forming an aluminum oxide film over the insulator 224 by a sputtering method, oxygen can be added to the insulator 224, which is preferable.

次に、絶縁体224上に、酸化膜230A、酸化膜230Bを順に成膜する(図4参照。)。なお、酸化膜230Aおよび酸化膜230Bは、大気環境にさらさずに連続して成膜することが好ましい。大気開放せずに成膜することで、酸化膜230A、および酸化膜230B上に大気環境からの不純物または水分が付着することを防ぐことができ、酸化膜230Aと酸化膜230Bとの界面近傍を清浄に保つことができる。 Next, an oxide film 230A and an oxide film 230B are formed in order on the insulator 224 (see FIG. 4). The oxide films 230A and 230B are preferably formed continuously without being exposed to the atmospheric environment. By forming the films without exposure to the atmosphere, it is possible to prevent impurities or moisture from the atmospheric environment from adhering to the oxide films 230A and 230B. can be kept clean.

酸化膜230A、および酸化膜230Bの成膜はスパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。なお、酸化膜230A、および酸化膜230BをALD法によって成膜する場合、先の実施の形態で説明した内容を参酌することができる。 The oxide film 230A and the oxide film 230B can be formed using a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. Note that when the oxide film 230A and the oxide film 230B are formed by the ALD method, the contents described in the previous embodiments can be taken into consideration.

例えば、酸化膜230A、および酸化膜230Bとして、ALD法によって、In-Ga-Zn酸化膜を成膜する場合、インジウムのプリカーサとして、トリメチルインジウム、トリス(2、2、6、6-テトラメチル-3、5-ヘプタンジオン酸)インジウム、シクロペンタジエニルインジウムなどを用いる。また、ガリウムのプリカーサとして、トリメチルガリウム、トリエチルガリウム、三塩化ガリウム、トリス(ジメチルアミド)ガリウム、ガリウム(III)アセチルアセトナート、トリス(2、2、6、6-テトラメチル-3、5-ヘプタンジオン酸)ガリウム、ジメチルクロロガリウム、ジエチルクロロガリウムなどを用いる。また、亜鉛のプリカーサとして、ジメチル亜鉛、ジエチル亜鉛、ビス(2、2、6、6-テトラメチル-3、5-ヘプタンジオン酸)亜鉛などを用いる。酸化物230aおよび酸化物230bに求める特性に合わせて、In-Ga-Zn酸化膜の成膜に用いるプリカーサの種類、導入量などを適宜組み合わせるとよい。 For example, when In—Ga—Zn oxide films are formed by ALD as the oxide films 230A and 230B, trimethylindium, tris(2,2,6,6-tetramethyl- 3,5-heptanedioic acid) indium, cyclopentadienyl indium, and the like are used. Further, gallium precursors include trimethylgallium, triethylgallium, gallium trichloride, tris(dimethylamido)gallium, gallium(III) acetylacetonate, tris(2,2,6,6-tetramethyl-3,5-heptane dioic acid) Gallium, dimethylchlorogallium, diethylchlorogallium, etc. are used. Dimethylzinc, diethylzinc, bis(2,2,6,6-tetramethyl-3,5-heptanedionate)zinc and the like are used as zinc precursors. Depending on the properties required for the oxides 230a and 230b, the types and amounts of precursors used for forming the In--Ga--Zn oxide film may be appropriately combined.

なお、上記プリカーサには、金属元素の他に、炭素および塩素の一方または両方を含むものがある。炭素を含むプリカーサを用いて形成された酸化膜には炭素が含まれる場合がある。また、塩素を含むプリカーサを用いて形成された酸化膜には塩素が含まれる場合がある。 In addition to the metal element, some precursors contain one or both of carbon and chlorine. An oxide film formed using a carbon-containing precursor may contain carbon. Also, an oxide film formed using a chlorine-containing precursor may contain chlorine.

また、例えば、酸化膜230A、および酸化膜230Bをスパッタリング法によって成膜する場合は、スパッタリングガスとして酸素、または、酸素と希ガスの混合ガスを用いる。スパッタリングガスに含まれる酸素の割合を高めることで、成膜される酸化膜中の過剰酸素を増やすことができる。また、上記の酸化膜をスパッタリング法によって成膜する場合は、上記のIn-M-Zn酸化物ターゲットなどを用いることができる。また、ターゲットには、直流(DC)電源または、高周波(RF)電源などの交流(AC)電源が接続され、ターゲットの電気伝導度に応じて、必要な電力を印加することができる。 Further, for example, when the oxide film 230A and the oxide film 230B are formed by a sputtering method, oxygen or a mixed gas of oxygen and rare gas is used as the sputtering gas. By increasing the proportion of oxygen contained in the sputtering gas, excess oxygen in the formed oxide film can be increased. Further, when the above oxide film is formed by a sputtering method, the above In--M--Zn oxide target or the like can be used. An alternating current (AC) power supply such as a direct current (DC) power supply or a radio frequency (RF) power supply is connected to the target, and required power can be applied according to the electrical conductivity of the target.

特に、酸化膜230Aの成膜時に、スパッタリングガスに含まれる酸素の一部が絶縁体224に供給される場合がある。したがって、当該スパッタリングガスに含まれる酸素の割合は70%以上、好ましくは80%以上、より好ましくは100%とすればよい。 In particular, part of oxygen contained in the sputtering gas may be supplied to the insulator 224 when forming the oxide film 230A. Therefore, the percentage of oxygen contained in the sputtering gas should be 70% or more, preferably 80% or more, and more preferably 100%.

また、酸化膜230Bをスパッタリング法で形成する場合、スパッタリングガスに含まれる酸素の割合を、30%を超えて100%以下、好ましくは70%以上100%以下として成膜すると、酸素過剰型の酸化物半導体が形成される。酸素過剰型の酸化物半導体をチャネル形成領域に用いたトランジスタは、比較的高い信頼性が得られる。ただし、本発明の一態様はこれに限定されない。酸化膜230Bをスパッタリング法で形成する場合、スパッタリングガスに含まれる酸素の割合を1%以上30%以下、好ましくは5%以上20%以下として成膜すると、酸素欠乏型の酸化物半導体が形成される。酸素欠乏型の酸化物半導体をチャネル形成領域に用いたトランジスタは、比較的高い電界効果移動度が得られる。また、基板を加熱しながら成膜を行うことによって、当該酸化膜の結晶性を向上させることができる。 Further, when the oxide film 230B is formed by a sputtering method, if the percentage of oxygen contained in the sputtering gas is more than 30% and 100% or less, preferably 70% or more and 100% or less, oxygen-excess oxidation occurs. A material semiconductor is formed. A transistor in which an oxygen-excess oxide semiconductor is used for a channel formation region has relatively high reliability. However, one embodiment of the present invention is not limited to this. When the oxide film 230B is formed by a sputtering method, an oxygen-deficient oxide semiconductor is formed by setting the oxygen content in the sputtering gas to 1% to 30%, preferably 5% to 20%. be. A transistor in which an oxygen-deficient oxide semiconductor is used for a channel formation region has relatively high field-effect mobility. In addition, the crystallinity of the oxide film can be improved by forming the film while heating the substrate.

本実施の形態では、酸化膜230Aとして、スパッタリング法によって、In:Ga:Zn=1:3:4[原子数比]のIn-Ga-Zn酸化物ターゲットを用いて成膜する。また、酸化膜230Bとして、スパッタリング法によって、In:Ga:Zn=4:2:4.1[原子数比]のIn-Ga-Zn酸化物ターゲットを用いて成膜する。なお、各酸化膜は、成膜条件、および原子数比を適宜選択することで、酸化物230に求める特性に合わせて形成するとよい。 In this embodiment, the oxide film 230A is formed by a sputtering method using an In--Ga--Zn oxide target of In:Ga:Zn=1:3:4 [atomic ratio]. Further, the oxide film 230B is formed by a sputtering method using an In--Ga--Zn oxide target of In:Ga:Zn=4:2:4.1 [atomic ratio]. It should be noted that each oxide film may be formed in accordance with the characteristics required for the oxide 230 by appropriately selecting the film formation conditions and the atomic ratio.

ここで、絶縁体222、絶縁体224、酸化膜230A、および酸化膜230Bを、大気に暴露することなく成膜することが好ましい。例えば、マルチチャンバー方式の成膜装置を用いればよい。 Here, the insulator 222, the insulator 224, the oxide film 230A, and the oxide film 230B are preferably formed without exposure to the air. For example, a multi-chamber film deposition apparatus may be used.

次に、加熱処理を行ってもよい。当該加熱処理は、上述した加熱処理条件を用いることができる。当該加熱処理によって、酸化膜230A、および酸化膜230B中の水、水素などの不純物を除去することなどができる。本実施の形態では、窒素雰囲気にて400℃の温度で1時間の処理を行った後に、連続して酸素雰囲気にて400℃の温度で1時間の処理を行う。 Next, heat treatment may be performed. The heat treatment conditions described above can be used for the heat treatment. Impurities such as water and hydrogen in the oxide films 230A and 230B can be removed by the heat treatment. In this embodiment mode, treatment is performed at a temperature of 400° C. for 1 hour in a nitrogen atmosphere, and then treatment is continuously performed at a temperature of 400° C. in an oxygen atmosphere for 1 hour.

次に、酸化膜230B上に導電膜240Aを成膜する。導電膜240Aの成膜はスパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる(図4参照。)。なお、導電膜240Aの成膜前に、加熱処理を行ってもよい。加熱処理は、減圧下で行い、大気に暴露することなく、連続して導電膜240Aを成膜してもよい。このような処理を行うことによって、酸化膜230Bの表面などに表面に吸着している水分および水素を除去し、さらに酸化膜230Aおよび酸化膜230B中の水分濃度および水素濃度を低減させることができる。加熱処理の温度は、100℃以上400℃以下が好ましい。本実施の形態では、加熱処理の温度を200℃とする。 Next, a conductive film 240A is formed on the oxide film 230B. The conductive film 240A can be formed using a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like (see FIG. 4). Note that heat treatment may be performed before the conductive film 240A is formed. The heat treatment may be performed under reduced pressure to continuously form the conductive film 240A without exposure to the air. By performing such a treatment, moisture and hydrogen adsorbed to the surface of oxide film 230B can be removed, and the moisture concentration and hydrogen concentration in oxide film 230A and oxide film 230B can be reduced. . The temperature of the heat treatment is preferably 100° C. or higher and 400° C. or lower. In this embodiment mode, the temperature of the heat treatment is set to 200.degree.

次に、酸化膜230A、酸化膜230B、および導電膜240Aを島状に加工して、酸化物230a、酸化物230b、および導電層240Bを形成する(図5参照。)。なお、当該工程において、絶縁体224の酸化物230aと重ならない領域の膜厚が薄くなることがある。 Next, the oxide film 230A, the oxide film 230B, and the conductive film 240A are processed into an island shape to form the oxide 230a, the oxide 230b, and the conductive layer 240B (see FIG. 5). Note that in this step, the thickness of a region of the insulator 224 which does not overlap with the oxide 230a may be thin.

ここで、酸化物230a、酸化物230b、および導電層240Bは、少なくとも一部が導電体205と重なるように形成する。また、酸化物230a、酸化物230b、および導電層240Bの側面は、絶縁体224の上面に対し、概略垂直であることが好ましい。酸化物230a、酸化物230b、および導電層240Bの側面が、絶縁体224の上面に対し、概略垂直であることで、複数のトランジスタ200を設ける際に、小面積化、高密度化が可能となる。または、酸化物230a、酸化物230b、および導電層240Bの側面と、絶縁体224の上面とのなす角が低い角度になる構成にしてもよい。その場合、酸化物230a、酸化物230b、および導電層240Bの側面と、絶縁体224の上面とのなす角は60°以上70°未満が好ましい。この様な形状とすることで、これより後の工程において、絶縁体274などの被覆性が向上し、鬆などの欠陥を低減することができる。 Here, the oxides 230 a , 230 b , and the conductive layer 240 B are formed so that at least part of them overlaps with the conductor 205 . Also, the side surfaces of the oxide 230a, the oxide 230b, and the conductive layer 240B are preferably substantially perpendicular to the top surface of the insulator 224. FIG. The side surfaces of the oxides 230a, 230b, and the conductive layer 240B are substantially perpendicular to the top surface of the insulator 224; Become. Alternatively, the angle between the side surfaces of the oxides 230a, 230b, and the conductive layer 240B and the top surface of the insulator 224 may be small. In that case, the angle between the side surfaces of the oxides 230a, 230b, and the conductive layer 240B and the top surface of the insulator 224 is preferably 60° or more and less than 70°. With such a shape, the coverage with the insulator 274 or the like is improved in subsequent steps, and defects such as voids can be reduced.

なお、導電層240Bの側面と導電層240Bの上面との間に、湾曲面を有することが好ましい。つまり、当該側面の端部と当該上面の端部は、湾曲していることが好ましい。湾曲面は、例えば、導電層240Bの端部において、曲率半径が、3nm以上10nm以下、好ましくは、5nm以上6nm以下とする。端部に角を有さないことで、以降の成膜工程における膜の被覆性が向上する。 A curved surface is preferably provided between the side surface of the conductive layer 240B and the upper surface of the conductive layer 240B. That is, it is preferable that the end of the side surface and the end of the upper surface are curved. For example, the curved surface has a radius of curvature of 3 nm or more and 10 nm or less, preferably 5 nm or more and 6 nm or less, at the end of the conductive layer 240B. Since the edges do not have corners, the coverage of the film in the subsequent film forming process is improved.

なお、酸化膜230A、酸化膜230B、および導電膜240Aの加工はリソグラフィー法を用いて行えばよい。また、当該加工はドライエッチング法やウェットエッチング法を用いることができる。ドライエッチング法による加工は微細加工に適している。また、酸化膜230A、酸化膜230B、および導電膜240Aの加工は、それぞれ異なる条件で加工してもよい。 Note that the oxide film 230A, the oxide film 230B, and the conductive film 240A may be processed using a lithography method. A dry etching method or a wet etching method can be used for the processing. Processing by the dry etching method is suitable for fine processing. Also, the oxide film 230A, the oxide film 230B, and the conductive film 240A may be processed under different conditions.

次に、絶縁体224、酸化物230a、酸化物230b、および導電層240Bの上に、絶縁体274となる絶縁膜274Aを成膜する(図6参照)。 Next, an insulating film 274A to be the insulator 274 is formed over the insulator 224, the oxides 230a and 230b, and the conductive layer 240B (see FIG. 6).

絶縁膜274Aの成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。絶縁膜274Aは、酸素の透過を抑制する機能を有する絶縁膜を用いることが好ましい。例えば、スパッタリング法によって、窒化シリコン、酸化シリコン、または酸化アルミニウムを成膜する。また、絶縁体274として、酸化物230a、および酸化物230cに用いることができる材料を用いることができる。例えば、絶縁体274として、In:Ga:Zn=1:3:4[原子数比]の金属酸化物を用いてもよい。 The insulating film 274A can be formed using a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. The insulating film 274A is preferably an insulating film having a function of suppressing permeation of oxygen. For example, a film of silicon nitride, silicon oxide, or aluminum oxide is formed by a sputtering method. As the insulator 274, a material that can be used for the oxides 230a and 230c can be used. For example, the insulator 274 may be a metal oxide of In:Ga:Zn=1:3:4 [atomic ratio].

また、絶縁膜274Aは、2層の積層構造としてもよい。絶縁膜274Aの下層、および絶縁膜274Aの上層の成膜には、上記方法を用いて行うことができ、絶縁膜274Aの下層、および絶縁膜274Aの上層の成膜は、同じ方法を用いてもよいし、それぞれ異なる方法を用いてもよい。また、絶縁膜274Aの下層、および絶縁膜274Aの上層には上記材料を用いることができ、絶縁膜274Aの下層、および絶縁膜274Aの上層は同じ材料としてもよいし、それぞれ異なる材料としてもよい。例えば、絶縁膜274Aの下層として、スパッタリング法によって、酸化アルミニウム膜を成膜し、絶縁膜274Aの上層として、ALD法によって、酸化アルミニウム膜を成膜してもよい。または、絶縁膜274Aの下層として、スパッタリング法によって、酸化アルミニウム膜を成膜し、絶縁膜274Aの上層として、ALD法によって、窒化シリコン膜を成膜してもよい。 Also, the insulating film 274A may have a two-layer laminated structure. The lower layer of the insulating film 274A and the upper layer of the insulating film 274A can be formed using the above method, and the lower layer of the insulating film 274A and the upper layer of the insulating film 274A can be formed using the same method. Alternatively, different methods may be used. In addition, the above materials can be used for the lower layer of the insulating film 274A and the upper layer of the insulating film 274A. The lower layer of the insulating film 274A and the upper layer of the insulating film 274A may be made of the same material, or may be made of different materials. . For example, an aluminum oxide film may be formed by sputtering as a lower layer of the insulating film 274A, and an aluminum oxide film may be formed by an ALD method as an upper layer of the insulating film 274A. Alternatively, an aluminum oxide film may be formed by a sputtering method as a lower layer of the insulating film 274A, and a silicon nitride film may be formed by an ALD method as an upper layer of the insulating film 274A.

次に、絶縁膜274A上に、絶縁膜280Aを成膜する。絶縁膜280Aは同種の層を異なる成膜方法により、設けてもよい。具体的には、まず絶縁膜280Aの第1の膜として、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。本実施の形態では、絶縁膜280Aの第1の膜として、スパッタリング法によって酸化シリコン膜を成膜し、絶縁膜280Aの第2の膜として、CVD法によって酸化シリコン膜を成膜する。なお、絶縁膜280Aの成膜前に、加熱処理を行ってもよい。加熱処理は、減圧下で行い、大気に暴露することなく、連続して当該絶縁膜を成膜してもよい。このような処理を行うことによって、絶縁膜274Aの表面などに吸着している水分および水素を除去し、さらに酸化物230a、酸化物230b、および絶縁膜274A中の水分濃度および水素濃度を低減させることができる。上述した加熱処理条件を用いることができる。 Next, an insulating film 280A is formed on the insulating film 274A. The insulating film 280A may be provided with the same type of layer by a different film formation method. Specifically, the first film of the insulating film 280A can be formed by sputtering, CVD, MBE, PLD, ALD, or the like. In this embodiment mode, a silicon oxide film is formed by a sputtering method as the first film of the insulating film 280A, and a silicon oxide film is formed by a CVD method as the second film of the insulating film 280A. Note that heat treatment may be performed before the insulating film 280A is formed. The heat treatment may be performed under reduced pressure, and the insulating film may be formed continuously without exposure to the air. By performing such treatment, moisture and hydrogen adsorbed to the surface of the insulating film 274A and the like are removed, and the moisture concentration and hydrogen concentration in the oxides 230a and 230b and the insulating film 274A are reduced. be able to. The heat treatment conditions described above can be used.

次に、絶縁膜280AにCMP処理を行い、絶縁膜280Aの上面を平坦化する(図6参照。)。 Next, the insulating film 280A is subjected to CMP processing to planarize the upper surface of the insulating film 280A (see FIG. 6).

次に、絶縁膜280Aの一部、絶縁膜274Aの一部、および導電層240Bの一部を加工して、酸化物230bに達する開口を形成する。当該開口は、導電体205と重なるように形成することが好ましい。当該開口によって、導電体240a、導電体240b、絶縁体274、絶縁体280を形成する(図7参照。)。 A portion of the insulating film 280A, a portion of the insulating film 274A, and a portion of the conductive layer 240B are then processed to form an opening that reaches the oxide 230b. The opening is preferably formed so as to overlap with the conductor 205 . A conductor 240a, a conductor 240b, an insulator 274, and an insulator 280 are formed through the opening (see FIG. 7).

また、絶縁膜280Aの一部、絶縁膜274Aの一部、および導電層240Bの一部の加工は、それぞれ異なる条件で加工してもよい。例えば、絶縁体280の一部をドライエッチング法で加工し、絶縁膜274Aの一部をウェットエッチング法で加工し、導電層240Bの一部をドライエッチング法で加工してもよい。 In addition, processing of a portion of the insulating film 280A, a portion of the insulating film 274A, and a portion of the conductive layer 240B may be performed under different conditions. For example, part of the insulator 280 may be processed by a dry etching method, part of the insulating film 274A may be processed by a wet etching method, and part of the conductive layer 240B may be processed by a dry etching method.

ここで、酸化物230a、酸化物230bなどの表面に付着または内部に拡散した不純物を除去することが好ましい。当該不純物としては、絶縁体280、絶縁膜274A、および導電層240Bに含まれる成分、上記開口を形成する際に用いられる装置に使われている部材に含まれる成分、エッチングに使用するガスまたは液体に含まれる成分などに起因したものが挙げられる。当該不純物としては、例えば、アルミニウム、シリコン、タンタル、フッ素、塩素などがある。 Here, it is preferable to remove impurities adhering to the surfaces of the oxides 230a, 230b, and the like or diffused inside. The impurities include components contained in the insulator 280, the insulating film 274A, and the conductive layer 240B, components contained in members used in an apparatus used for forming the opening, and gas or liquid used for etching. caused by the ingredients contained in Such impurities include, for example, aluminum, silicon, tantalum, fluorine, and chlorine.

上記の不純物などを除去するために、洗浄処理を行う。洗浄方法としては、洗浄液など用いたウェット洗浄、プラズマを用いたプラズマ処理、熱処理による洗浄などがあり、上記洗浄を適宜組み合わせて行ってもよい。 A cleaning process is performed to remove the above impurities. As a cleaning method, there are wet cleaning using a cleaning liquid, plasma treatment using plasma, cleaning by heat treatment, and the like, and the above cleaning may be performed in combination as appropriate.

ウェット洗浄としては、アンモニア水、シュウ酸、リン酸、フッ化水素酸などを炭酸水または純水で希釈した水溶液、純水、炭酸水などを用いて洗浄処理を行ってもよい。または、これらの水溶液、純水、または炭酸水を用いた超音波洗浄を行ってもよい。または、これらの洗浄を適宜組み合わせて行ってもよい。なお、超音波洗浄には、200kHz以上、好ましくは900kHz以上の周波数を用いることが好ましい。当該周波数を用いることで、酸化物230bなどへのダメージを低減することができる。 As wet cleaning, cleaning treatment may be performed using an aqueous solution obtained by diluting ammonia water, oxalic acid, phosphoric acid, hydrofluoric acid, or the like with carbonated water or pure water, pure water, carbonated water, or the like. Alternatively, ultrasonic cleaning may be performed using these aqueous solutions, pure water, or carbonated water. Alternatively, these washings may be appropriately combined. For ultrasonic cleaning, it is preferable to use a frequency of 200 kHz or higher, preferably 900 kHz or higher. By using the frequency, damage to the oxide 230b and the like can be reduced.

上記洗浄処理として、本実施の形態では、希釈フッ化水素酸または希釈アンモニア水を用いてウェット洗浄を行い、続いて純水、または炭酸水を用いてウェット洗浄を行う。当該洗浄処理を行うことで、酸化物230a、酸化物230bなどの表面に付着または内部に拡散した不純物を除去することができる。または、酸化物230b上の酸化物230cの結晶性を高めることができる。 As the cleaning treatment, in the present embodiment, wet cleaning is performed using diluted hydrofluoric acid or diluted ammonia water, followed by wet cleaning using pure water or carbonated water. By performing the cleaning treatment, impurities attached to the surfaces of the oxides 230a and 230b or diffused inside can be removed. Alternatively, the crystallinity of the oxide 230c over the oxide 230b can be improved.

次に加熱処理を行ってもよい。加熱処理は、減圧下で行い、大気に暴露することなく、連続して酸化膜230Cの第1の膜、および酸化膜230Cの第2の膜を成膜してもよい。本工程により、酸化物230bの表面などに吸着している水分および水素を除去し、さらに酸化物230aおよび酸化物230b中の水分濃度および水素濃度を低減させることができる。加熱処理の温度は、100℃以上400℃以下が好ましい。本実施の形態では、加熱処理の温度を200℃とする。 Heat treatment may then be performed. The heat treatment may be performed under reduced pressure, and the first oxide film 230C and the second oxide film 230C may be successively formed without exposure to the atmosphere. By this step, moisture and hydrogen adsorbed on the surface of the oxide 230b can be removed, and the moisture concentration and hydrogen concentration in the oxide 230a and the oxide 230b can be reduced. The temperature of the heat treatment is preferably 100° C. or higher and 400° C. or lower. In this embodiment mode, the temperature of the heat treatment is set to 200.degree.

酸化膜230Cの第1の膜および酸化膜230Cの第2の膜の成膜はスパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。酸化膜230Cの第1の膜および酸化膜230Cの第2の膜の成膜は、酸化膜230A、または酸化膜230Bと同様の成膜方法を用いて行ってもよいし、異なる成膜方法を用いて行ってもよい。なお、酸化膜230Cの第1の膜、および酸化膜230Cの第1の膜をALD法によって成膜する場合、先の実施の形態で説明した内容を参酌することができる。本実施の形態では、酸化膜230Cの第1の膜として、ALD法によって、In:Ga:Zn=4:2:3[原子数比]のIn-Ga-Zn酸化膜を成膜し、酸化膜230Cの第2の膜として、ALD法によって、In:Ga:Zn=1:3:4[原子数比]のIn-Ga-Zn酸化膜を成膜する。 The first film of the oxide film 230C and the second film of the oxide film 230C can be formed using a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. The first film of the oxide film 230C and the second film of the oxide film 230C may be formed by using the same film forming method as that for the oxide film 230A or the oxide film 230B, or by using a different film forming method. may be used. Note that when the first film of the oxide film 230C and the first film of the oxide film 230C are formed by the ALD method, the contents described in the previous embodiment can be taken into consideration. In this embodiment, as the first film of the oxide film 230C, an In—Ga—Zn oxide film having an atomic ratio of In:Ga:Zn=4:2:3 is formed by the ALD method, and then oxidized. As a second film of the film 230C, an In--Ga--Zn oxide film of In:Ga:Zn=1:3:4 [atomic ratio] is formed by ALD.

酸化膜230Cの第1の膜および酸化膜230Cの第2の膜を、ALD法を用いて形成することで、開口の底面、および側面で膜厚がほぼ等しい酸化膜を形成することができる。例えば、当該開口の底部における酸化膜230Cの第1の膜の膜厚に対する、当該開口の側面における酸化膜230Cの第1の膜の膜厚の比を0.5以上1以下、好ましくは0.7以上1以下、より好ましくは、0.9以上1以下とすることができる。また、当該開口の底部における酸化膜230Cの第2の膜の膜厚に対する、当該開口の側面における酸化膜230Cの第2の膜の膜厚の比を0.5以上1以下、好ましくは0.7以上1以下、より好ましくは、0.9以上1以下とすることができる。また、酸化物230bの上面における酸化膜230Cの第1の膜の膜厚に対する、酸化物230bの側面における酸化膜230Cの第1の膜の膜厚の比を0.5以上1以下、好ましくは0.7以上1以下、より好ましくは、0.9以上1以下とすることができる。また、酸化物230bの上面における酸化膜230Cの第2の膜の膜厚に対する、酸化物230bの側面における酸化膜230Cの第2の膜の膜厚の比を0.5以上1以下、好ましくは0.7以上1以下、より好ましくは、0.9以上1以下とすることができる。また、ALD法を用いて形成された酸化膜が結晶構造を有する場合、そのc軸は、被成膜面の法線方向と概略平行とすることができる。 By forming the first film of the oxide film 230C and the second film of the oxide film 230C using the ALD method, it is possible to form oxide films having substantially the same film thickness on the bottom and side surfaces of the opening. For example, the ratio of the thickness of the oxide film 230C on the side surface of the opening to the thickness of the oxide film 230C on the bottom of the opening is 0.5 or more and 1 or less, preferably 0.5. It can be 7 or more and 1 or less, more preferably 0.9 or more and 1 or less. Further, the ratio of the second film thickness of the oxide film 230C on the side surface of the opening to the second film thickness of the oxide film 230C on the bottom of the opening is 0.5 or more and 1 or less, preferably 0.5. It can be 7 or more and 1 or less, more preferably 0.9 or more and 1 or less. Further, the ratio of the first film thickness of the oxide film 230C on the side surface of the oxide 230b to the first film thickness of the oxide film 230C on the upper surface of the oxide 230b is 0.5 or more and 1 or less, preferably It can be 0.7 or more and 1 or less, more preferably 0.9 or more and 1 or less. Further, the ratio of the thickness of the second film of the oxide film 230C on the side surface of the oxide 230b to the thickness of the second film of the oxide film 230C on the top surface of the oxide 230b is 0.5 or more and 1 or less, preferably It can be 0.7 or more and 1 or less, more preferably 0.9 or more and 1 or less. Further, when the oxide film formed by the ALD method has a crystal structure, its c-axis can be substantially parallel to the normal direction of the film formation surface.

酸化膜230Cの第1の膜および酸化膜230Cの第2の膜をスパッタリング法によって成膜する場合、酸化膜230Cの第1の膜および酸化膜230Cの第2の膜の成膜時に、スパッタリングガスに含まれる酸素の一部が酸化物230aおよび酸化物230bに供給される場合がある。したがって、酸化膜230Cの第1の膜および酸化膜230Cの第2の膜のスパッタリングガスに含まれる酸素の割合は70%以上、好ましくは80%以上、より好ましくは100%とすればよい。 When the first film of the oxide film 230C and the second film of the oxide film 230C are formed by a sputtering method, the sputtering gas is In some cases, part of the oxygen contained in is supplied to the oxides 230a and 230b. Therefore, the ratio of oxygen contained in the sputtering gas for the first oxide film 230C and the second oxide film 230C should be 70% or more, preferably 80% or more, and more preferably 100%.

次に加熱処理を行ってもよい。加熱処理は、減圧下で行い、大気に暴露することなく、連続して絶縁膜250Aを成膜してもよい。このような処理を行うことによって、酸化膜230Cの表面などに表面に吸着している水分および水素を除去し、さらに酸化物230a、酸化物230b、および酸化膜230C中の水分濃度および水素濃度を低減させることができる。加熱処理の温度は、100℃以上400℃以下が好ましい。 Heat treatment may then be performed. The heat treatment may be performed under reduced pressure, and the insulating film 250A may be formed continuously without exposure to the atmosphere. By performing such treatment, moisture and hydrogen adsorbed on the surface of the oxide film 230C are removed, and the moisture concentration and hydrogen concentration in the oxide film 230a, the oxide 230b, and the oxide film 230C are reduced. can be reduced. The temperature of the heat treatment is preferably 100° C. or higher and 400° C. or lower.

絶縁膜250Aは、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて成膜することができる。本実施の形態では、絶縁膜250Aとして、CVD法により、酸化窒化シリコンを成膜する。なお、絶縁膜250Aを成膜する際の成膜温度は、350℃以上450℃未満、特に400℃前後とすることが好ましい。絶縁膜250Aを、400℃で成膜することで、不純物が少ない絶縁膜を成膜することができる。 The insulating film 250A can be formed using a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. In this embodiment, silicon oxynitride is deposited by a CVD method as the insulating film 250A. The film formation temperature for forming the insulating film 250A is preferably 350.degree. C. or more and less than 450.degree. By forming the insulating film 250A at 400° C., an insulating film containing few impurities can be formed.

次に、絶縁膜250A上に絶縁膜252Aを成膜する。絶縁膜252Aは、水素および水に対してバリア性を有する。絶縁膜252Aが、水素および水に対してバリア性を有することで、トランジスタ200の周辺に設けられた構造体に含まれる水素および水が、絶縁膜252Aを通じてトランジスタ200の内側へ拡散することが抑制され、酸化物230中の酸素欠損の生成を抑制することができる。 Next, an insulating film 252A is formed over the insulating film 250A. The insulating film 252A has barrier properties against hydrogen and water. Since the insulating film 252A has barrier properties against hydrogen and water, diffusion of hydrogen and water contained in structures provided around the transistor 200 into the transistor 200 through the insulating film 252A is suppressed. Thus, generation of oxygen vacancies in the oxide 230 can be suppressed.

また、絶縁膜252Aとして、酸化物230に含まれる元素と共通の金属元素を有する酸化物を含む絶縁体を成膜するとよい。特に、酸化物230としてIn-Ga-Zn酸化物を用いる場合、絶縁膜252Aとしてガリウム酸化物など、酸化物230よりもガリウムの含有量が多い絶縁材料を用いることが好ましい。絶縁膜252Aを構成する元素と、酸化物230を構成する元素が共通であるため、例えば、絶縁膜252Aを構成する元素が、酸化物230へと拡散したとしても、酸化物230の低抵抗化の要因とならない。 As the insulating film 252A, an insulator containing an oxide having a common metal element with the element contained in the oxide 230 is preferably formed. In particular, when an In--Ga--Zn oxide is used as the oxide 230, an insulating material containing more gallium than the oxide 230, such as gallium oxide, is preferably used as the insulating film 252A. Since the element forming the insulating film 252A and the element forming the oxide 230 are common, for example, even if the element forming the insulating film 252A diffuses into the oxide 230, the resistance of the oxide 230 is reduced. does not cause

絶縁膜252Aの成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。なお、絶縁膜252Aは、絶縁体222と同様、ALD法によって成膜することが好ましい。 The insulating film 252A can be formed using a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. Note that the insulating film 252A is preferably formed by the ALD method similarly to the insulator 222 .

例えば、絶縁膜252Aとして、ALD法によって、酸化ガリウム膜を成膜する場合、ガリウムのプリカーサとして、トリメチルガリウム、トリエチルガリウム、三塩化ガリウム、トリス(ジメチルアミド)ガリウム、ガリウム(III)アセチルアセトナート、トリス(2、2、6、6-テトラメチル-3、5-ヘプタンジオン酸)ガリウム、ジメチルクロロガリウム、ジエチルクロロガリウムなどを用いることができる。 For example, when a gallium oxide film is formed as the insulating film 252A by the ALD method, trimethylgallium, triethylgallium, gallium trichloride, tris(dimethylamide)gallium, gallium(III) acetylacetonate, Gallium tris(2,2,6,6-tetramethyl-3,5-heptanedionate), dimethylchlorogallium, diethylchlorogallium, and the like can be used.

なお、上記プリカーサには、金属元素の他に、炭素および塩素の一方または両方を含むものがある。炭素を含むプリカーサを用いて形成された酸化膜には炭素が含まれる場合がある。また、塩素を含むプリカーサを用いて形成された酸化膜には塩素が含まれる場合がある。 In addition to the metal element, some precursors contain one or both of carbon and chlorine. An oxide film formed using a carbon-containing precursor may contain carbon. Also, an oxide film formed using a chlorine-containing precursor may contain chlorine.

次に、導電膜260A、導電膜260Bを順に成膜する。導電膜260Aおよび導電膜260Bの成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。例えば、CVD法を用いることが好ましい。本実施の形態では、ALD法を用いて、導電膜260Aを成膜し、CVD法を用いて導電膜260Bを成膜する(図8参照。)。 Next, a conductive film 260A and a conductive film 260B are formed in order. The conductive films 260A and 260B can be formed using a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like. For example, it is preferable to use the CVD method. In this embodiment mode, the conductive film 260A is formed using an ALD method, and the conductive film 260B is formed using a CVD method (see FIG. 8).

次に、CMP処理によって、酸化膜230Cの第1の膜および酸化膜230Cの第2の膜、絶縁膜250A、絶縁膜252A、導電膜260A、および導電膜260Bを絶縁体280が露出するまで研磨することによって、酸化物230c、絶縁体250、絶縁体252、および導電体260(導電体260a、および導電体260b)を形成する(図9参照。)。これにより、酸化物230cは、酸化物230bに達する開口の内壁(側壁、および底面)を覆うように配置される。また、絶縁体250、および絶縁体252は、酸化物230cを介して、上記開口の内壁を覆うように配置される。また、導電体260は、酸化物230c、絶縁体250、および絶縁体252を介して、上記開口を埋め込むように配置される。 Next, by CMP processing, the first film of the oxide film 230C, the second film of the oxide film 230C, the insulating film 250A, the insulating film 252A, the conductive film 260A, and the conductive film 260B are polished until the insulator 280 is exposed. By doing so, an oxide 230c, an insulator 250, an insulator 252, and conductors 260 (conductors 260a and 260b) are formed (see FIG. 9). Thereby, the oxide 230c is arranged to cover the inner walls (side walls and bottom) of the opening reaching the oxide 230b. Insulators 250 and 252 are arranged to cover the inner wall of the opening with oxide 230c interposed therebetween. In addition, the conductor 260 is arranged to fill the opening with the oxide 230c, the insulator 250, and the insulator 252 interposed therebetween.

次に、加熱処理を行ってもよい。本実施の形態では、窒素雰囲気にて400℃の温度で1時間の処理を行う。該加熱処理によって、絶縁体250、絶縁体252、および絶縁体280中の水分濃度および水素濃度を低減させることができる。 Next, heat treatment may be performed. In this embodiment mode, the treatment is performed at a temperature of 400° C. for one hour in a nitrogen atmosphere. By the heat treatment, the concentrations of moisture and hydrogen in the insulators 250, 252, and 280 can be reduced.

次に、酸化物230c、絶縁体250、絶縁体252、導電体260、および絶縁体280上に、絶縁体282、および絶縁体283を成膜する。絶縁体282、および絶縁体283の成膜は、絶縁体212、および絶縁体214と同様に、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる。絶縁体282、および絶縁体283としては、例えば、スパッタリング法によって、酸化アルミニウム膜、または窒化シリコン膜を成膜することが好ましい。スパッタリング法によって、酸化アルミニウム膜、または窒化シリコン膜を成膜することによって、絶縁体282、および絶縁体283が有する水素を酸化物230へ拡散することを抑制することができる。また、導電体260と接するように絶縁体282を形成することで、導電体260の酸化を抑制することができ、好ましい。 Next, insulators 282 and 283 are formed over the oxide 230 c , the insulator 250 , the insulator 252 , the conductor 260 , and the insulator 280 . The insulators 282 and 283 can be formed by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like, similarly to the insulators 212 and 214 . As the insulators 282 and 283, for example, an aluminum oxide film or a silicon nitride film is preferably formed by a sputtering method. By forming an aluminum oxide film or a silicon nitride film by a sputtering method, hydrogen contained in the insulators 282 and 283 can be suppressed from diffusing into the oxide 230 . Forming the insulator 282 so as to be in contact with the conductor 260 is preferable because oxidation of the conductor 260 can be suppressed.

また、絶縁体282として、スパッタリング法によって、酸化アルミニウム膜を形成することで、絶縁体280に酸素を供給することができる。絶縁体280に供給された酸素は、酸化物230cを介して、酸化物230bが有するチャネル形成領域に供給される場合がある。また、絶縁体280に酸素が供給されることで、絶縁体282の形成前に絶縁体280に含まれていた酸素が、酸化物230cを介して、酸化物230bが有するチャネル形成領域に供給される場合がある。 In addition, oxygen can be supplied to the insulator 280 by forming an aluminum oxide film as the insulator 282 by a sputtering method. Oxygen supplied to the insulator 280 may be supplied to a channel formation region of the oxide 230b through the oxide 230c. Further, by supplying oxygen to the insulator 280, oxygen contained in the insulator 280 before the insulator 282 is formed is supplied to the channel formation region of the oxide 230b through the oxide 230c. may occur.

また、絶縁体282として、スパッタリング法によって酸化アルミニウム膜を成膜し、絶縁体283として、当該酸化アルミニウム膜上に、スパッタリング法によって窒化シリコンを成膜する構造としてもよい。 Alternatively, as the insulator 282, an aluminum oxide film may be formed by a sputtering method, and as the insulator 283, a silicon nitride film may be formed over the aluminum oxide film by a sputtering method.

次に、加熱処理を行ってもよい。加熱処理は、前述の加熱処理条件を用いることができる。当該加熱処理によって、絶縁体280の水分濃度および水素濃度を低減させることができる。また、絶縁体282が有する酸素を絶縁体280に注入することができる。 Next, heat treatment may be performed. For the heat treatment, the heat treatment conditions described above can be used. By the heat treatment, the moisture concentration and the hydrogen concentration of the insulator 280 can be reduced. Further, oxygen contained in the insulator 282 can be injected into the insulator 280 .

なお、絶縁体282、および絶縁体283を成膜する方法として、はじめに、酸化物230c、絶縁体250、絶縁体252、導電体260、および絶縁体280上に、スパッタリング法によって酸化アルミニウム膜を成膜し、次に、上述した加熱処理条件を用いて加熱処理を行い、次に、CMP処理によって、当該酸化アルミニウム膜を除去し、次に、絶縁体282、および絶縁体283を成膜してもよい。当該方法により、絶縁体280に過剰酸素領域をより多く形成することができる。なお、当該酸化アルミニウム膜を除去する工程において、絶縁体280の一部、導電体260の一部、絶縁体250の一部、絶縁体252の一部、および酸化物230cの一部が除去される場合がある。 Note that as a method for forming the insulator 282 and the insulator 283, first, an aluminum oxide film is formed over the oxide 230c, the insulator 250, the insulator 252, the conductor 260, and the insulator 280 by a sputtering method. Next, heat treatment is performed using the above heat treatment conditions, the aluminum oxide film is removed by CMP treatment, and then an insulator 282 and an insulator 283 are formed. good too. By this method, more excess oxygen regions can be formed in the insulator 280 . Note that part of the insulator 280, part of the conductor 260, part of the insulator 250, part of the insulator 252, and part of the oxide 230c are removed in the step of removing the aluminum oxide film. may occur.

また、絶縁体280と絶縁体282との間に、絶縁体を設けてもよい。当該絶縁体として、例えば、スパッタリング法を用いて成膜した酸化シリコンを用いればよい。当該絶縁体を設けることで、絶縁体280に過剰酸素領域を形成することができる。 Further, an insulator may be provided between the insulator 280 and the insulator 282 . As the insulator, silicon oxide deposited by a sputtering method may be used, for example. By providing the insulator, an excess oxygen region can be formed in the insulator 280 .

次に絶縁体282上に、絶縁体284を成膜してもよい。絶縁体284の成膜は、スパッタリング法、CVD法、MBE法、PLD法、ALD法などを用いて行うことができる(図1参照。)。 Next, an insulator 284 may be formed over the insulator 282 . The insulator 284 can be deposited by a sputtering method, a CVD method, an MBE method, a PLD method, an ALD method, or the like (see FIG. 1).

本発明の一態様により、信頼性が良好な半導体装置を提供することができる。または、本発明の一態様により、良好な電気特性を有する半導体装置を提供することができる。または、本発明の一態様により、オン電流の大きい半導体装置を提供することができる。または、本発明の一態様により、高い周波数特性を有する半導体装置を提供することができる。または、本発明の一態様により、微細化または高集積化が可能な半導体装置を提供することができる。または、本発明の一態様により、オフ電流の小さい半導体装置を提供することができる。または、本発明の一態様により、消費電力が低減された半導体装置を提供することができる。または、本発明の一態様により、生産性の高い半導体装置を提供することができる。 According to one embodiment of the present invention, a highly reliable semiconductor device can be provided. Alternatively, according to one embodiment of the present invention, a semiconductor device with favorable electrical characteristics can be provided. Alternatively, according to one embodiment of the present invention, a semiconductor device with high on-state current can be provided. Alternatively, according to one embodiment of the present invention, a semiconductor device with high frequency characteristics can be provided. Alternatively, according to one embodiment of the present invention, a semiconductor device that can be miniaturized or highly integrated can be provided. Alternatively, according to one embodiment of the present invention, a semiconductor device with low off-state current can be provided. Alternatively, according to one embodiment of the present invention, a semiconductor device with low power consumption can be provided. Alternatively, according to one embodiment of the present invention, a semiconductor device with high productivity can be provided.

以上、本実施の形態に示す構成、方法などは、他の実施の形態に示す構成、方法などと適宜組み合わせて用いることができる。 The structures, methods, and the like described in this embodiment can be combined as appropriate with the structures, methods, and the like described in other embodiments.

(実施の形態2)
本発明の一態様は、先の実施の形態で説明した半導体装置の作製方法に用いることができる作成方法、およびその作製装置に関する。
(Embodiment 2)
One embodiment of the present invention relates to a manufacturing method and a manufacturing apparatus that can be used for the manufacturing method of the semiconductor device described in the above embodiment.

<酸化物半導体、およびゲート絶縁体に適用可能な金属酸化物>
以下に、本発明に係る金属酸化物について説明する。
<Metal Oxide Applicable to Oxide Semiconductors and Gate Insulators>
The metal oxide according to the present invention will be described below.

酸化物半導体は、少なくともインジウムまたは亜鉛を含むことが好ましい。特にインジウムおよび亜鉛を含むことが好ましい。また、それらに加えて、アルミニウム、ガリウム、イットリウムまたはスズなどが含まれていることが好ましい。また、ホウ素、シリコン、チタン、鉄、ニッケル、ゲルマニウム、ジルコニウム、モリブデン、ランタン、セリウム、ネオジム、ハフニウム、タンタル、タングステン、またはマグネシウムなどから選ばれた一種、または複数種が含まれていてもよい。 The oxide semiconductor preferably contains at least indium or zinc. Indium and zinc are particularly preferred. In addition to these, aluminum, gallium, yttrium, tin, or the like is preferably contained. Further, one or more selected from boron, silicon, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, magnesium, etc. may be contained.

ここでは、酸化物半導体が、インジウム、元素Mおよび亜鉛を有するInMZnOである場合を考える。なお、元素Mは、アルミニウム、ガリウム、イットリウムまたはスズなどとする。そのほかの元素Mに適用可能な元素としては、ホウ素、シリコン、チタン、鉄、ニッケル、ゲルマニウム、ジルコニウム、モリブデン、ランタン、セリウム、ネオジム、ハフニウム、タンタル、タングステン、マグネシウムなどがある。ただし、元素Mとして、前述の元素を複数組み合わせても構わない場合がある。 Here, consider the case where the oxide semiconductor is InMZnO having indium, the element M, and zinc. Note that the element M is aluminum, gallium, yttrium, tin, or the like. Other elements applicable to element M include boron, silicon, titanium, iron, nickel, germanium, zirconium, molybdenum, lanthanum, cerium, neodymium, hafnium, tantalum, tungsten, and magnesium. However, as the element M, there are cases where a plurality of the above elements may be combined.

一方、ゲート絶縁体は、少なくとも元素Iを含む酸化物であることが好ましい。元素Iとしては、ガリウム、アルミニウム、ハフニウム、ホウ素、チタン、シリコン、ゲルマニウム、ジルコニウム、イットリウム、セリウム、またはマグネシウムなどから選ばれた一種、または複数種が含まれていてもよい。なお、酸化物半導体がInMZnOである場合、元素Iは、元素Mと同種であることが好ましい。 On the other hand, the gate insulator is preferably an oxide containing at least the element I. Element I may include one or more selected from gallium, aluminum, hafnium, boron, titanium, silicon, germanium, zirconium, yttrium, cerium, magnesium, and the like. Note that when the oxide semiconductor is InMZnO, the element I is preferably of the same kind as the element M.

なお、本明細書等において、窒素を有する金属酸化物も金属酸化物(metal oxide)と総称する場合がある。また、窒素を有する金属酸化物を、金属酸窒化物(metal oxynitride)と呼称してもよい。 In this specification and the like, metal oxides containing nitrogen may also be collectively referred to as metal oxides. Metal oxides containing nitrogen may also be referred to as metal oxynitrides.

ここで、金属酸化物が、インジウム、元素M及び亜鉛を有する場合を考える。なお、金属酸化物が有するインジウム、元素M、及び亜鉛の原子数比のそれぞれの項を[In]、[M]、および[Zn]とする。 Now consider the case where the metal oxide comprises indium, the element M and zinc. The terms of the atomic ratios of indium, the element M, and zinc contained in the metal oxide are represented by [In], [M], and [Zn], respectively.

以下に、図17(A)、図17(B)、および図17(C)を用いて、本発明の一態様に示す酸化物に用いることができる金属酸化物が有するインジウム、元素Mおよび亜鉛の原子数比の好ましい範囲について説明する。なお、図17(A)、図17(B)、および図17(C)には、酸素の原子数比については記載しない。また、金属酸化物が有するインジウム、元素M、および亜鉛の原子数比のそれぞれの項を[In]、[M]、および[Zn]とする。 17A, 17B, and 17C, indium, element M, and zinc included in metal oxides that can be used for the oxides of one embodiment of the present invention are described below. A preferable range of the atomic number ratio of is explained. Note that the atomic number ratio of oxygen is not shown in FIGS. 17A, 17B, and 17C. Further, the terms of the atomic ratios of indium, the element M, and zinc contained in the metal oxide are represented by [In], [M], and [Zn], respectively.

図17(A)、図17(B)、および図17(C)において、破線は、[In]:[M]:[Zn]=(1+α):(1-α):1の原子数比(-1≦α≦1)となるライン、[In]:[M]:[Zn]=(1+α):(1-α):2の原子数比となるライン、[In]:[M]:[Zn]=(1+α):(1-α):3の原子数比となるライン、[In]:[M]:[Zn]=(1+α):(1-α):4の原子数比となるライン、および[In]:[M]:[Zn]=(1+α):(1-α):5の原子数比となるラインを表す。 In FIGS. 17(A), 17(B), and 17(C), the dashed lines indicate the atomic ratio of [In]:[M]:[Zn]=(1+α):(1−α):1. (-1 ≤ α ≤ 1), a line [In]: [M]: [Zn] = (1 + α): (1-α): a line with an atomic ratio of 2, [In]: [M] : [Zn] = (1 + α): (1-α): A line with an atomic number ratio of 3, [In]: [M]: [Zn] = (1 + α): (1-α): 4 atomic number A line that gives a ratio and a line that gives an atomic number ratio of [In]:[M]:[Zn]=(1+α):(1−α):5.

また、一点鎖線は、[In]:[M]:[Zn]=5:1:βの原子数比(β≧0)となるライン、[In]:[M]:[Zn]=2:1:βの原子数比となるライン、[In]:[M]:[Zn]=1:1:βの原子数比となるライン、[In]:[M]:[Zn]=1:2:βの原子数比となるライン、[In]:[M]:[Zn]=1:3:βの原子数比となるライン、および[In]:[M]:[Zn]=1:4:βの原子数比となるラインを表す。 In addition, the dashed-dotted line is a line with an atomic ratio of [In]:[M]:[Zn]=5:1:β (β≧0), [In]:[M]:[Zn]=2: A line with an atomic ratio of 1:β, [In]:[M]:[Zn]=1:1: A line with an atomic ratio of β, [In]:[M]:[Zn]=1: A line with an atomic ratio of 2:β, a line with an atomic ratio of [In]:[M]:[Zn]=1:3:β, and [In]:[M]:[Zn]=1 : represents a line with an atomic number ratio of 4:β.

また、図17(A)、図17(B)、および図17(C)に示す、[In]:[M]:[Zn]=0:2:1の原子数比、およびその近傍値の金属酸化物は、スピネル型の結晶構造をとりやすい。 17(A), 17(B), and 17(C), the atomic number ratio of [In]:[M]:[Zn]=0:2:1 and its neighboring values Metal oxides tend to have a spinel crystal structure.

また、金属酸化物中に複数の相が共存する場合がある(二相共存、三相共存など)。例えば、原子数比が[In]:[M]:[Zn]=0:2:1の近傍値である場合、スピネル型の結晶構造と層状の結晶構造との二相が共存しやすい。また、原子数比が[In]:[M]:[Zn]=1:0:0の近傍値である場合、ビックスバイト型の結晶構造と層状の結晶構造との二相が共存しやすい。金属酸化物中に複数の相が共存する場合、異なる結晶構造の間において、結晶粒界が形成される場合がある。 In addition, multiple phases may coexist in the metal oxide (two-phase coexistence, three-phase coexistence, etc.). For example, when the atomic number ratio is close to [In]:[M]:[Zn]=0:2:1, two phases, a spinel crystal structure and a layered crystal structure, tend to coexist. Moreover, when the atomic number ratio is close to [In]:[M]:[Zn]=1:0:0, two phases of a bixbyite crystal structure and a layered crystal structure tend to coexist. When multiple phases coexist in a metal oxide, grain boundaries may be formed between different crystal structures.

図17(A)に示す領域Aは、金属酸化物が有する、インジウム、元素M、および亜鉛の原子数比の好ましい範囲の一例について示している。 A region A shown in FIG. 17A shows an example of a preferred range of the atomic ratio of indium, element M, and zinc in the metal oxide.

金属酸化物は、インジウムの含有率を高くすることで、金属酸化物のキャリア移動度(電子移動度)を高くすることができる。従って、インジウムの含有率が高い金属酸化物はインジウムの含有率が低い金属酸化物と比較してキャリア移動度が高くなる。 By increasing the indium content of the metal oxide, the carrier mobility (electron mobility) of the metal oxide can be increased. Therefore, a metal oxide with a high indium content has higher carrier mobility than a metal oxide with a low indium content.

一方、金属酸化物中のインジウムおよび亜鉛の含有率が低くなると、キャリア移動度が低くなる。従って、原子数比が[In]:[M]:[Zn]=0:1:0、およびその近傍値である場合(例えば図17(C)に示す領域C)は、絶縁性が高くなる。 On the other hand, lower contents of indium and zinc in the metal oxide result in lower carrier mobility. Therefore, when the atomic number ratio is [In]:[M]:[Zn]=0:1:0 and its neighboring values (for example, region C shown in FIG. 17C), the insulating property is high. .

例えば、チャネル形成領域や、低抵抗領域に用いる金属酸化物は、キャリア移動度が高い、図17(A)の領域Aで示される原子数比を有することが好ましい。チャネル形成領域や、低抵抗領域に用いる金属酸化物は、例えばIn:Ga:Zn=4:2:3から4.1、およびその近傍値程度になるようにすればよい。一方、チャネル形成領域や、低抵抗領域を取り囲むように金属酸化物を設ける場合、絶縁性が比較的高い、図17(C)の領域Cで示される原子数比を有することが好ましい。チャネル形成領域や、低抵抗領域を取り囲むように設けられる金属酸化物は、例えばIn:Ga:Zn=1:3:4程度、あるいはIn:Ga:Zn=1:3:2程度になるようにすればよい。または、チャネル形成領域や、低抵抗領域を取り囲むように設けられる金属酸化物は、チャネル形成領域や、低抵抗領域に用いる金属酸化物と同等の金属酸化物を用いてもよい。 For example, the metal oxide used for the channel formation region and the low-resistance region preferably has an atomic ratio shown in region A in FIG. 17A, which has high carrier mobility. The metal oxide used for the channel forming region and the low-resistance region may have a ratio of, for example, In:Ga:Zn=4:2:3 to 4.1 or a value in the vicinity thereof. On the other hand, when a metal oxide is provided so as to surround the channel formation region and the low-resistance region, it preferably has an atomic ratio shown in region C in FIG. 17C, which has relatively high insulating properties. The metal oxide surrounding the channel forming region and the low resistance region is, for example, about In:Ga:Zn=1:3:4 or about In:Ga:Zn=1:3:2. do it. Alternatively, the metal oxide provided to surround the channel formation region and the low-resistance region may be a metal oxide that is equivalent to the metal oxide used for the channel formation region and the low-resistance region.

特に、図17(B)に示す領域Bでは、領域Aの中でも、キャリア移動度が高く、信頼性が高い優れた金属酸化物が得られる。 In particular, in the region B shown in FIG. 17B, even in the region A, an excellent metal oxide with high carrier mobility and high reliability can be obtained.

なお、領域Bは、[In]:[M]:[Zn]=4:2:3から4.1、およびその近傍値を含む。近傍値には、例えば、[In]:[M]:[Zn]=5:3:4が含まれる。また、領域Bは、[In]:[M]:[Zn]=5:1:6、およびその近傍値、および[In]:[M]:[Zn]=5:1:7、およびその近傍値を含む。 Note that region B includes [In]:[M]:[Zn]=4:2:3 to 4.1 and their neighboring values. Neighborhood values include, for example, [In]:[M]:[Zn]=5:3:4. Also, region B has [In]:[M]:[Zn]=5:1:6 and its neighboring values, and [In]:[M]:[Zn]=5:1:7 and its Contains neighborhood values.

また、金属酸化物の原子数比は、金属酸化物中の酸素の拡散のしやすさ、あるいは透過のしやすさにも影響を与える。 In addition, the atomic ratio of the metal oxide also affects the easiness of diffusion or permeation of oxygen in the metal oxide.

インジウム含有率の高い領域A、特に領域Bの金属酸化物(第1の金属酸化物とする)において、酸素は拡散しやすく、第1の金属酸化物に隣接する材料に含まれる酸素の吸収や、第1の金属酸化物に隣接する材料への酸素の放出が容易に行われる。すなわち、酸素を含む第1の材料と、第1の材料よりも酸素の含有量が少ない第2の材料の間に、第1の金属酸化物を設けた場合、第1の材料に含まれる酸素が、第1の金属酸化物を透過して、第2の材料に供給される場合がある。一方、領域Cの金属酸化物(第2の金属酸化物とする)では、酸素の拡散は起こりにくいため、第2の金属酸化物は、酸素の透過を抑制し、酸素に対するブロック層として機能する場合がある。すなわち、酸素を含む第3の材料と、第3の材料よりも酸素の含有量が少ない第4の材料の間に、第2の金属酸化物を設けることで、第3の材料に含まれる酸素は、第2の金属酸化物により拡散が抑制され、第4の材料への供給が抑制される場合がある。 In the region A with a high indium content, particularly in the metal oxide of the region B (referred to as the first metal oxide), oxygen easily diffuses, and the oxygen contained in the material adjacent to the first metal oxide is absorbed and , the release of oxygen to the material adjacent to the first metal oxide is facilitated. That is, when a first metal oxide is provided between a first material containing oxygen and a second material containing less oxygen than the first material, oxygen contained in the first material may pass through the first metal oxide and be supplied to the second material. On the other hand, in the metal oxide of region C (referred to as a second metal oxide), diffusion of oxygen is difficult to occur, so the second metal oxide suppresses permeation of oxygen and functions as a blocking layer against oxygen. Sometimes. That is, by providing the second metal oxide between the third material containing oxygen and the fourth material containing less oxygen than the third material, the oxygen contained in the third material is may be suppressed in diffusion by the second metal oxide and may be suppressed in supply to the fourth material.

以上のように、金属酸化物における原子数比は、電気伝導特性の観点、および酸素拡散特性の観点から重要であり、金属酸化物に求められる特性に応じて制御されるべきである。 As described above, the atomic ratio in the metal oxide is important from the viewpoint of electrical conductivity and oxygen diffusion properties, and should be controlled according to the properties required of the metal oxide.

金属酸化物をスパッタリング法により形成する場合、スパッタリングターゲットの原子数比が膜の原子数比に依存する。金属酸化物として、In-M-Zn酸化物を用いる場合、スパッタリングターゲットとしては、多結晶のIn-M-Zn酸化物を含むターゲットを用いると好ましい。なお、成膜される金属酸化物の原子数比は、上記のスパッタリングターゲットに含まれる金属元素の原子数比のプラスマイナス40%の変動を含む。例えば、金属酸化物に用いるスパッタリングターゲットの組成がIn:Ga:Zn=4:2:4.1[原子数比]の場合、成膜される金属酸化物の組成は、In:Ga:Zn=4:2:3[原子数比]の近傍となる場合がある。また、金属酸化物に用いるスパッタリングターゲットの組成がIn:Ga:Zn=5:1:7[原子数比]の場合、成膜される金属酸化物の組成は、In:Ga:Zn=5:1:6[原子数比]の近傍となる場合がある。 When the metal oxide is formed by sputtering, the atomic ratio of the sputtering target depends on the atomic ratio of the film. When In--M--Zn oxide is used as the metal oxide, it is preferable to use a target containing polycrystalline In--M--Zn oxide as the sputtering target. The atomic ratio of the metal oxide to be deposited includes a variation of plus or minus 40% of the atomic ratio of the metal elements contained in the sputtering target. For example, when the composition of the sputtering target used for the metal oxide is In:Ga:Zn=4:2:4.1 [atomic ratio], the composition of the metal oxide to be deposited is In:Ga:Zn= It may be close to 4:2:3 [atomic ratio]. Further, when the composition of the sputtering target used for the metal oxide is In:Ga:Zn=5:1:7 [atomic ratio], the composition of the metal oxide to be deposited is In:Ga:Zn=5: It may be close to 1:6 [atomic number ratio].

なお、金属酸化物が有する性質は、原子数比によって一義的に定まらない。同じ原子数比であっても、形成条件により、金属酸化物の性質が異なる場合がある。例えば、金属酸化物をスパッタリング装置にて成膜する場合、ターゲットの原子数比からずれた原子数比の膜が形成される。また、成膜時の基板温度によっては、ターゲットの[Zn]よりも、膜の[Zn]が小さくなる場合がある。従って、図示する領域は、金属酸化物が特定の特性を有する傾向がある原子数比を示す領域であり、領域A乃至領域Cの境界は厳密ではない。 The properties of metal oxides are not uniquely determined by the atomic number ratio. Even if the atomic ratio is the same, the properties of the metal oxide may differ depending on the formation conditions. For example, when a metal oxide is deposited using a sputtering apparatus, a film having an atomic ratio deviating from the atomic ratio of the target is formed. Also, depending on the substrate temperature during film formation, [Zn] of the film may be smaller than [Zn] of the target. Thus, the illustrated regions are regions exhibiting atomic ratios where metal oxides tend to have particular properties, and the boundaries of regions A through C are not strict.

ここで、原子数比が異なる金属酸化物を複数積層する場合、それぞれの原子数比に対応する複数のスパッタリングターゲット、およびこれらを設置する複数のチャンバーが必要となる。 Here, when stacking a plurality of metal oxides having different atomic ratios, a plurality of sputtering targets corresponding to the respective atomic ratios and a plurality of chambers in which these targets are installed are required.

また、スパッタリング法を用いた成膜では、成膜中の粒子が、被成膜面に入射するため、被成膜面に別途膜が形成されている場合、該膜に成膜ダメージを与える恐れがある。ここで、成膜ダメージとは、成膜中の粒子の該膜内への入射による、混合層の形成や、該膜が結晶を有する場合、該膜の結晶化率の低下などを含む。 In addition, in film formation using a sputtering method, particles during the film formation are incident on the film formation surface, so if a separate film is formed on the film formation surface, the film may be damaged. There is Here, film formation damage includes the formation of a mixed layer due to the incidence of particles into the film during film formation, and the decrease in the crystallinity of the film when the film has crystals.

スパッタリング法を用いた成膜における上記課題を鑑みると、金属酸化物の原子数比は、金属酸化物の成膜条件で調整できることが好ましい。また、金属酸化物の形成には、成膜ダメージが低減された成膜方法を用いることが好ましい。 In view of the above problems in film formation using the sputtering method, it is preferable that the atomic ratio of the metal oxide can be adjusted by the film formation conditions of the metal oxide. In addition, it is preferable to use a film formation method with reduced film formation damage for forming the metal oxide.

上記課題に対し、金属酸化物の形成方法として、ALD法を用いることができる。 ALD can be used as a method for forming a metal oxide to solve the above problem.

ALD法は、プリカーサ分子、あるいはプリカーサに含まれる原子の自己制御性を利用し、一層ずつ原子を堆積することができるので、極薄の成膜が可能、アスペクト比の高い構造への成膜が可能、ピンホールなどの欠陥の少ない成膜が可能、被覆性に優れた成膜が可能、および低温での成膜が可能、などの効果がある。また、ALD法には、プラズマを利用した成膜方法プラズマALD(PEALD:Plasma Enhanced ALD)法も含まれる。プラズマを利用することで、より低温での成膜が可能となり好ましい場合がある。なお、ALD法で用いるプリカーサには炭素や塩素などの元素を含むものがある。このため、ALD法により設けられた膜は、他の成膜法により設けられた膜と比較して、炭素や塩素などの元素を多く含む場合がある。なお、これらの元素の定量は、X線光電子分光法(XPS:X-ray Photoelectron Spectroscopy)を用いて行うことができる。 The ALD method utilizes the self-regulating properties of precursor molecules or atoms contained in precursors, and can deposit atoms layer by layer, making it possible to form ultra-thin films and to form structures with high aspect ratios. film formation with few defects such as pinholes; film formation with excellent coverage; and film formation at low temperatures. The ALD method also includes a plasma enhanced ALD (PEALD) method, which is a film forming method using plasma. By using plasma, film formation can be performed at a lower temperature, which is preferable in some cases. Some precursors used in the ALD method contain elements such as carbon and chlorine. Therefore, a film formed by the ALD method may contain more elements such as carbon and chlorine than films formed by other film formation methods. Quantification of these elements can be performed using X-ray photoelectron spectroscopy (XPS).

ALD法は、ターゲットなどから放出される粒子が堆積する成膜方法とは異なり、被処理物の表面における反応により膜が形成される成膜方法である。したがって、被処理物の形状の影響を受けにくく、良好な段差被覆性を有する成膜方法である。特に、ALD法は、優れた段差被覆性と、優れた厚さの均一性を有するため、アスペクト比の高い開口部の表面を被覆する場合などに好適である。ただし、ALD法は、比較的成膜速度が遅いため、成膜速度の速いCVD法などの他の成膜方法と組み合わせて用いることが好ましい場合もある。 The ALD method is a film formation method in which a film is formed by a reaction on the surface of the object to be processed, unlike a film formation method in which particles emitted from a target or the like are deposited. Therefore, it is a film forming method which is not easily affected by the shape of the object to be processed and which has good step coverage. In particular, the ALD method has excellent step coverage and excellent thickness uniformity, and is therefore suitable for coating the surface of an opening with a high aspect ratio. However, since the ALD method has a relatively slow film formation rate, it may be preferable to use it in combination with another film formation method, such as the CVD method, which has a high film formation rate.

ALD法は、原料ガスの導入量によって、得られる膜の組成を制御することができる。例えば、ALD法では、原料ガスの導入量や導入回数(パルス回数ともいう)によって、任意の組成の膜を成膜することができる。また、例えば、ALD法では、成膜しながら原料ガスを変化させることによって、組成が連続的に変化した膜を成膜することができる。原料ガスを変化させながら成膜する場合、複数の成膜室を用いて成膜する場合と比べて、搬送や圧力調整に掛かる時間を要さない分、成膜に掛かる時間を短くすることができる。したがって、半導体装置の生産性を高めることができる場合がある。 In the ALD method, the composition of the resulting film can be controlled by the amount of source gas introduced. For example, in the ALD method, a film having an arbitrary composition can be formed by adjusting the introduction amount and the number of introductions (also referred to as the number of pulses) of the source gas. Further, for example, in the ALD method, a film whose composition is continuously changed can be formed by changing the material gas while forming the film. When film formation is performed while changing the source gas, the time required for film formation can be shortened as much as the time required for transportation and pressure adjustment is not required compared to the case where film formation is performed using a plurality of film formation chambers. can. Therefore, productivity of semiconductor devices can be improved in some cases.

<ALD装置およびALD法を用いた成膜方法>
ここで、本発明の一態様の金属酸化物の形成に用いることができるALD装置、およびALD法を用いた成膜方法について説明する。
<Deposition method using ALD apparatus and ALD method>
Here, an ALD apparatus that can be used for forming the metal oxide of one embodiment of the present invention and a film formation method using the ALD method are described.

ALD法を利用した成膜装置は、反応のための第1の原料ガス(前駆体、プリカーサ、金属プリカーサとも呼ぶ)と第2の原料ガス(反応剤、リアクタント、非金属プリカーサとも呼ぶ)を交互にチャンバーに導入し、これらの原料ガスの導入を繰り返すことで成膜を行う。なお、原料ガスの導入の切り替えは、例えば、それぞれのスイッチングバルブ(高速バルブとも呼ぶ)を切り替えて行うことができる。また、原料ガス導入の際、窒素(N)やアルゴン(Ar)などの不活性ガスをキャリアガスとして原料ガスと一緒にチャンバーに導入してもよい。キャリアガスを用いることで、原料ガスの揮発性が低い、あるいは蒸気圧が低い場合でも、原料ガスが配管内部やバルブ内部に吸着することを抑制し、原料ガスをチャンバーに導入することが可能になる。また、形成される膜の均一性も向上し、好ましい。 A film forming apparatus using the ALD method alternately supplies a first source gas (precursor, precursor, or metal precursor) and a second source gas (reactant, reactant, or non-metal precursor) for reaction. A film is formed by repeating the introduction of these source gases into the chamber. Note that the introduction of the source gas can be switched, for example, by switching each switching valve (also referred to as a high-speed valve). Further, when introducing the raw material gas, an inert gas such as nitrogen (N 2 ) or argon (Ar) may be introduced into the chamber together with the raw material gas as a carrier gas. By using a carrier gas, even if the volatility of the raw material gas is low or the vapor pressure is low, the raw material gas can be introduced into the chamber by suppressing the adsorption of the raw material gas inside the pipes and valves. Become. Moreover, the uniformity of the formed film is also improved, which is preferable.

ALD法を用いた成膜方法の一例を、図11を用いて説明する。まず、第1の原料ガスをチャンバーに導入し(図11(A)参照)、基板表面にプリカーサ601を吸着させる(第1ステップ)。ここで、プリカーサ601が基板表面に吸着することにより、表面化学反応の自己停止機構が作用し、基板上のプリカーサの層の上にさらにプリカーサが吸着することはない(図11(B)参照)。なお、表面化学反応の自己停止機構が作用する基板温度の適正範囲をALD Windowとも呼ぶ。ALD Windowは、プリカーサの温度特性、蒸気圧、分解温度などによって決まるが、100℃以上500℃以下、好ましくは、200℃以上400℃以下とする。次に、真空排気によって、余剰なプリカーサや反応生成物などをチャンバーから排出する(第2ステップ)。また、真空排気を行う代わりに不活性ガス(アルゴン、或いは窒素など)などをチャンバーに導入し、余剰なプリカーサや反応生成物などをチャンバーから排出してもよい。このステップは、パージとも呼ばれる。次に、第2の原料ガスとして、リアクタント602(例えば、酸化剤(オゾン(O)、酸素(O)、水(HO)、およびこれらのプラズマ、ラジカル、イオンなど))をチャンバーに導入し(図11(C)参照)、基板表面に吸着したプリカーサ601と反応させて、膜の構成分子を基板に吸着させたままプリカーサ601に含まれる成分の一部を離脱させる(第3ステップ)(図11(D)参照)。次に、真空排気または不活性ガスの導入によって、余剰なリアクタント602や反応生成物などをチャンバーから排出する(第4ステップ)。 An example of a film formation method using the ALD method will be described with reference to FIG. First, a first source gas is introduced into the chamber (see FIG. 11A), and the precursor 601 is adsorbed on the substrate surface (first step). Here, when the precursor 601 is adsorbed on the substrate surface, a self-terminating mechanism of the surface chemical reaction acts, and the precursor is not further adsorbed on the precursor layer on the substrate (see FIG. 11B). . The proper range of substrate temperature in which the self-stopping mechanism of the surface chemical reaction acts is also called the ALD window. The ALD window is determined by the temperature characteristics, vapor pressure, decomposition temperature, etc. of the precursor, and should be 100° C. or higher and 500° C. or lower, preferably 200° C. or higher and 400° C. or lower. Next, the chamber is evacuated to exhaust excess precursors, reaction products, and the like (second step). Alternatively, instead of evacuating, an inert gas (argon, nitrogen, or the like) may be introduced into the chamber to discharge excess precursors, reaction products, and the like from the chamber. This step is also called a purge. Next, a reactant 602 (eg, an oxidizing agent (ozone (O 3 ), oxygen (O 2 ), water (H 2 O), and plasma, radicals, ions thereof, etc.) is introduced into the chamber as a second source gas. (see FIG. 11(C)), reacting with the precursor 601 adsorbed on the substrate surface, and part of the component contained in the precursor 601 is released while the constituent molecules of the film are adsorbed on the substrate (third step) (see FIG. 11(D)). Next, excess reactant 602 and reaction products are discharged from the chamber by evacuation or introduction of inert gas (fourth step).

なお、以降の本明細書の記載において、特段の記載がない限り、リアクタント、または酸化剤としてオゾン、酸素、水を用いる場合、これらは、ガスや分子の状態に限らず、プラズマ状態、ラジカル状態、およびイオン状態のものも含むものとする。プラズマ状態、ラジカル状態、あるいはイオン状態の酸化剤を用いて成膜する場合、後述するラジカルALD装置や、プラズマALD装置を用いれば良い。 In the following description of this specification, unless otherwise specified, when ozone, oxygen, or water is used as a reactant or an oxidizing agent, these are not limited to gas or molecular states, but plasma states and radical states. , and ionic states. When forming a film using an oxidizing agent in a plasma state, a radical state, or an ion state, a radical ALD apparatus or a plasma ALD apparatus, which will be described later, may be used.

酸化剤として、プリカーサに含まれる炭素を除去するには水を用いることが好ましい。水に含まれる水素が、プリカーサに含まれる炭素と反応して、炭素を効率よくプリカーサから離脱させることができる。一方、形成される膜中に含まれる水素を極力減らしたい場合は、酸化剤として、水素を含まないオゾンや酸素を用いることが好ましい。また、第1の酸化剤として、水をチャンバーに導入することで、プリカーサに含まれる炭素を除去した後、真空排気を行い、第2の酸化剤として水素を含まないオゾンや酸素をチャンバーに導入して水素を除去し、真空排気を行ってもよい。その後、所望の膜厚が得られるまで第1ステップから第4ステップを繰り返し行う。 As the oxidizing agent, water is preferably used to remove the carbon contained in the precursor. Hydrogen contained in water can react with carbon contained in the precursor to efficiently desorb the carbon from the precursor. On the other hand, when it is desired to reduce hydrogen contained in the formed film as much as possible, it is preferable to use ozone or oxygen that does not contain hydrogen as the oxidizing agent. In addition, water is introduced into the chamber as the first oxidant to remove carbon contained in the precursor, and then the chamber is evacuated, and ozone or oxygen containing no hydrogen is introduced into the chamber as the second oxidant. may be used to remove hydrogen and evacuate. After that, the first to fourth steps are repeated until a desired film thickness is obtained.

なお、上記の説明では、第1の原料ガスをチャンバーに導入してから、第2の原料ガスをチャンバーに導入する例を示したが、本発明はこれに限らない。第2の原料ガスをチャンバーに導入してから、第1の原料ガスをチャンバーに導入してもよい。つまり、初めに上記第3ステップ、および第4ステップの後に、第1ステップ、第2ステップ、第3ステップ、および第4ステップを行い、以降第1ステップ乃至第4ステップを繰り返し行うことで成膜を行ってもよい。さらに、上記第3ステップ、および第4ステップを複数回繰り返してから、第1ステップ乃至第4ステップを繰り返し行うことで成膜を行ってもよい。 In addition, although the above description shows an example in which the first source gas is introduced into the chamber and then the second source gas is introduced into the chamber, the present invention is not limited to this. The second source gas may be introduced into the chamber before the first source gas is introduced into the chamber. That is, first, after the third step and the fourth step, the first step, the second step, the third step, and the fourth step are performed, and thereafter the first step to the fourth step are repeatedly performed to form a film. may be performed. Further, after repeating the third step and the fourth step a plurality of times, the film formation may be performed by repeating the first step to the fourth step.

このように、第1のステップの前に、第3のステップ、および第4のステップを1回ずつ、あるいは複数回行うことは、チャンバー内の成膜雰囲気を制御できるため好ましい。例えば、第3のステップとして、酸化剤を導入することで、チャンバー内は酸素雰囲気とすることができる。酸素雰囲気で成膜を開始すると、形成される膜中の酸素濃度を高くでき、好ましい。さらに、当該膜の下地となる絶縁体や酸化物にも酸素を供給できる。このような方法を用いて形成された半導体装置は、良好な特性を有し、高い信頼性を得ることができる。 Performing the third step and the fourth step once or a plurality of times before the first step in this way is preferable because the film formation atmosphere in the chamber can be controlled. For example, as a third step, an oxygen atmosphere can be created in the chamber by introducing an oxidizing agent. Starting the film formation in an oxygen atmosphere is preferable because the oxygen concentration in the formed film can be increased. Furthermore, oxygen can be supplied to an insulator or an oxide that serves as a base of the film. A semiconductor device formed using such a method has good characteristics and can obtain high reliability.

また、第1ステップ、および第2ステップの後に、第3ステップにおける第2の原料ガスの導入と、第4ステップにおける真空排気または不活性ガスの導入を複数回繰り返し行ってもよい。つまり、第1ステップ、第2ステップ、第3ステップ、第4ステップ、第3ステップ、第4ステップ、と第3ステップと第4ステップを繰り返し行った後に、第1ステップ、および第2ステップを行ってもよい。 Further, after the first step and the second step, the introduction of the second raw material gas in the third step and the evacuation or the introduction of the inert gas in the fourth step may be repeated multiple times. That is, after repeating the first step, the second step, the third step, the fourth step, the third step, the fourth step, and the third step and the fourth step, the first step and the second step are performed. may

例えば、第3ステップで酸化剤としてO、およびOを導入し、第4ステップで真空排気を行い、この工程を複数回繰り返してもよい。 For example, O 3 and O 2 may be introduced as oxidizing agents in the third step, evacuation may be performed in the fourth step, and this process may be repeated multiple times.

また、第3ステップと第4ステップを繰り返す場合、必ずしも同じ種類の原料ガスの導入を繰り返す必要はない。例えば、1回目の第3ステップで酸化剤としてHOを用い、2回目以降の第3ステップで酸化剤としてOを用いてもよい。 Further, when repeating the third step and the fourth step, it is not always necessary to repeat introduction of the same kind of raw material gas. For example, H 2 O may be used as the oxidizing agent in the first third step, and O 3 may be used as the oxidizing agent in the second and subsequent third steps.

このようにして、チャンバー内で酸化剤の導入と真空排気(または不活性ガスの導入)を短時間で複数回繰り返すことで、基板表面に吸着したプリカーサから、余分な水素原子、炭素原子、塩素原子などをより確実に取り除き、チャンバーの外に排除することができる。また、酸化剤の種類を2種類に増やすことにより、基板表面に吸着したプリカーサから、余分な水素原子などをより多く取り除くことができる。このように、成膜中に水素原子が膜中に取り込まれないようにすることにより形成した膜に含まれる水、水素などを低減することができる。 In this way, the introduction of the oxidizing agent and the evacuation (or the introduction of the inert gas) are repeated several times in a short period of time, so that excess hydrogen atoms, carbon atoms, and chlorine atoms are removed from the precursors adsorbed on the substrate surface. Atoms and the like can be more reliably removed and eliminated from the chamber. Moreover, by increasing the number of types of oxidizing agents to two, it is possible to remove more excess hydrogen atoms and the like from the precursor adsorbed on the substrate surface. Thus, by preventing hydrogen atoms from being taken into the film during film formation, water, hydrogen, and the like contained in the formed film can be reduced.

このような方法を用いることにより、TDS分析にて100℃以上700℃以下または100℃以上500℃以下の表面温度の範囲で、水分子の脱離量が1.0×1013molecule/cm以上1.0×1016molecule/cm以下、さらに好ましくは1.0×1013molecule/cm以上3.0×1015molecule/cm以下となる膜を形成することができる。 By using such a method, the amount of desorption of water molecules is 1.0×10 13 molecules/cm 2 in the surface temperature range of 100° C. to 700° C. or 100° C. to 500° C. in TDS analysis. A film having a concentration of 1.0×10 16 molecule/cm 2 or more, more preferably 1.0×10 13 molecule/cm 2 or more and 3.0×10 15 molecule/cm 2 or less can be formed.

このようにして、基板表面に第1の単一層を成膜することができ、第1ステップ乃至第4ステップを再び行うことで、第1の単一層の上に第2の単一層を積層することができる。第1ステップ乃至第4ステップを、ガス導入を制御しつつ、膜が所望の厚さになるまで複数回繰り返すことで、段差被覆性に優れた薄膜を形成することができる。薄膜の厚さは、繰り返す回数によって調節することができるため、精密な膜厚調節が可能であり、微細なトランジスタを作製する場合に適している。 In this manner, a first monolayer can be deposited on the substrate surface, and steps 1 to 4 are repeated to deposit a second monolayer on top of the first monolayer. be able to. By repeating the first to fourth steps a plurality of times while controlling gas introduction until the film reaches a desired thickness, a thin film with excellent step coverage can be formed. Since the thickness of the thin film can be adjusted by the number of repetitions, the film thickness can be precisely adjusted, which is suitable for manufacturing fine transistors.

また、上記方法で形成された膜は層状の構造を有する場合がある。さらに、上記方法で形成された膜が結晶構造を有する場合、該膜のc軸は、被成膜面の法線方向と概略平行な方向に配向する。すなわち、該膜のc軸は、被成膜面に対して垂直に配向する。詳細は後述するが、本明細書では、このような結晶構造をCAAC構造と称し、CAAC構造を有する酸化物半導体(金属酸化物)を、CAAC-OSと称する場合がある。ALD法を用いることで、CAAC構造を有する金属酸化物を形成することが可能である。 Also, the film formed by the above method may have a layered structure. Furthermore, when the film formed by the above method has a crystalline structure, the c-axis of the film is oriented in a direction substantially parallel to the normal direction of the film formation surface. That is, the c-axis of the film is oriented perpendicularly to the film formation surface. Although the details will be described later, in this specification, such a crystal structure is sometimes referred to as a CAAC structure, and an oxide semiconductor (metal oxide) having the CAAC structure is sometimes referred to as a CAAC-OS. By using the ALD method, it is possible to form a metal oxide having a CAAC structure.

ALD法は、熱エネルギーを用いてプリカーサ、およびリアクタントを反応させて行う成膜方法である。プリカーサ、およびリアクタントの反応に必要な温度は、それらの温度特性、蒸気圧、分解温度などによって決まるが、100℃以上500℃以下、好ましくは、200℃以上400℃以下とする。さらに、上記のプリカーサ、およびリアクタントの反応に加え、第3の原料ガスとして、プラズマ励起されたリアクタントもチャンバーに導入することで処理を行うALD法をプラズマALD法と呼ぶことがある。この場合、第3の原料ガスの導入部には、プラズマ生成装置が設けられる。プラズマの生成には、誘導結合プラズマ(Inductively Coupled Plasma: ICP)を用いることができる。またこれに対して、プリカーサ及びリアクタントの反応を熱エネルギーで行うALD法を熱ALD法と呼ぶことがある。 The ALD method is a film forming method in which thermal energy is used to react a precursor and a reactant. The temperature required for the reaction of the precursor and reactant is determined by their temperature characteristics, vapor pressure, decomposition temperature, etc., and is 100° C. or higher and 500° C. or lower, preferably 200° C. or higher and 400° C. or lower. Furthermore, an ALD method in which processing is performed by introducing a plasma-excited reactant as a third source gas into the chamber in addition to the reaction of the precursor and the reactant is sometimes called a plasma ALD method. In this case, a plasma generator is provided at the inlet for the third source gas. Inductively Coupled Plasma (ICP) can be used to generate plasma. On the other hand, the ALD method in which the precursor and the reactant react with thermal energy is sometimes called the thermal ALD method.

プラズマALD法では、第3ステップにおいてプラズマ励起されたリアクタントを導入して成膜を行う。あるいは、上記第1ステップ乃至第4ステップを繰り返し行うと同時に、プラズマ励起されたリアクタント(第2のリアクタント)を導入することで、成膜が行われる。この場合、第3ステップで導入されるリアクタントを第1のリアクタントと呼ぶ。プラズマALD法において、第3の原料ガスに用いる第2のリアクタントは、上記酸化剤と同様の材料を用いることができる。すなわち、第2のリアクタントとして、プラズマ励起されたオゾン、酸素、および水を用いることができる。また、第2のリアクタントとして、酸化剤の他に、窒化剤を用いてもよい。窒化剤としては、窒素(N)やアンモニア(NH)を用いることができる。また、窒素(N)と水素(H)の混合ガスを窒化剤として用いることができる。例えば、窒素(N)5%、水素(H)95%の混合ガスを窒化剤として用いることができる。プラズマ励起された窒素やアンモニアを導入しながら成膜を行うことで、金属窒化膜などの窒化膜を形成することができる。 In the plasma ALD method, a film is formed by introducing a plasma-excited reactant in the third step. Alternatively, film formation is performed by introducing a plasma-excited reactant (second reactant) while repeating the first to fourth steps. In this case, the reactant introduced in the third step is called the first reactant. In the plasma ALD method, the same material as the oxidizing agent can be used for the second reactant used for the third source gas. That is, plasma-excited ozone, oxygen, and water can be used as the second reactant. Also, as the second reactant, a nitriding agent may be used in addition to the oxidizing agent. Nitrogen (N 2 ) or ammonia (NH 3 ) can be used as the nitriding agent. Also, a mixed gas of nitrogen (N 2 ) and hydrogen (H 2 ) can be used as a nitriding agent. For example, a mixed gas of 5% nitrogen (N 2 ) and 95% hydrogen (H 2 ) can be used as the nitriding agent. A nitride film such as a metal nitride film can be formed by performing film formation while introducing plasma-excited nitrogen or ammonia.

また、第2のリアクタントのキャリアガスとして、アルゴン(Ar)や窒素(N)を用いてもよい。アルゴンや窒素などのキャリアガスを用いることで、プラズマの放電が容易になり、プラズマ励起された第2のリアクタントが容易に生成されるため、好ましい。なお、プラズマALD法を用いて金属酸化膜などの酸化膜を形成する場合、キャリアガスに窒素を用いると、膜中に窒素が混入し、所望の膜質が得られない場合がある。この場合キャリアガスとして、アルゴンを用いることが好ましい。 Also, argon (Ar) or nitrogen (N 2 ) may be used as the carrier gas for the second reactant. The use of a carrier gas such as argon or nitrogen facilitates plasma discharge and facilitates generation of the plasma-excited second reactant, which is preferable. Note that when an oxide film such as a metal oxide film is formed by plasma ALD, if nitrogen is used as a carrier gas, nitrogen may enter the film and desired film quality may not be obtained. In this case, argon is preferably used as the carrier gas.

ALD法は、極めて薄い膜を均一な膜厚で成膜することができる。また、凹凸を有する面に対しても、表面被覆率が高い。 The ALD method can form an extremely thin film with a uniform film thickness. Moreover, the surface coverage is high even for a surface having unevenness.

また、プラズマALD法により成膜することで、熱ALD法に比べてさらに低温での成膜が可能となる。プラズマALD法は、例えば、100℃以下でも成膜速度を低下させずに成膜することができる。また、プラズマALD法では、酸化剤だけでなく、窒化剤など多くのリアクタントを用いることができるので、酸化物だけでなく、窒化物、フッ化物、金属など多くの種類の膜を成膜することができる。 In addition, film formation by the plasma ALD method enables film formation at a lower temperature than the thermal ALD method. The plasma ALD method can form a film at a temperature of, for example, 100° C. or less without lowering the film forming speed. In plasma ALD, not only oxidizing agents but also many reactants such as nitriding agents can be used. Therefore, it is possible to form not only oxides but also many kinds of films such as nitrides, fluorides and metals. can be done.

また、プラズマALD法を行う場合には、ICP(Inductively Coupled Plasma)などのように基板から離れた状態でプラズマを発生させることもできる。このようにプラズマを発生させることにより、プラズマダメージを抑えることができる。 Also, when plasma ALD is performed, plasma can be generated away from the substrate, such as ICP (Inductively Coupled Plasma). Plasma damage can be suppressed by generating plasma in this manner.

以上の方法により、第1の原料ガスに含まれる原子を一成分とする膜、酸化膜、または窒化膜を形成することができる。 By the above method, a film, an oxide film, or a nitride film containing atoms contained in the first source gas as one component can be formed.

一方、金属酸化物として、複数の金属を含む膜を形成する場合、金属毎に複数のプリカーサを用意し、チャンバーに順次導入すればよい。 On the other hand, when forming a film containing a plurality of metals as a metal oxide, a plurality of precursors may be prepared for each metal and sequentially introduced into the chamber.

金属酸化物として、In-M-Zn酸化物を形成する場合、インジウムを含む第1のプリカーサを含む原料ガスをチャンバーに導入し、余分な原料ガスを排気(パージ)する。次に、リアクタントとして、酸化剤をチャンバーに導入し、余分なリアクタントを排気する。次に、元素Mを含む第2のプリカーサを含む原料ガスをチャンバーに導入し、余分な原料ガスを排気(パージ)する。次に、リアクタントとして、酸化剤をチャンバーに導入し、余分なリアクタントを排気する。次に、亜鉛を含む第3のプリカーサを含む原料ガスをチャンバーに導入し、余分な原料ガスを排気(パージ)する。次に、リアクタントとして、酸化剤をチャンバーに導入し、余分なリアクタントを排気する。以上の工程を繰り返すことで、インジウムを含む単一層と、元素Mを含む単一層と、亜鉛を含む単一層を含む金属酸化物を形成することができる。なお、原料ガスの導入順序は、上記に限定されない。第1のプリカーサを含む原料ガスの導入後に、第3のプリカーサを含む原料ガスを導入し、その後第2のプリカーサを含む原料ガスを導入してもよく、求められる膜の性質に応じて実施者が適宜決めることができる。また、各原料ガスの導入後に、余分な原料ガスの排気、リアクタントの導入、および排気を適宜行うことができる。なお、金属酸化物は、In-M-Zn酸化物に限らない。上述した通り、金属酸化物は、少なくともインジウムまたは亜鉛を含むことが好ましく、特にインジウムおよび亜鉛を含むことが好ましい。また、金属酸化物に含まれる金属の種類は2種類でもよいし、4種類以上でもよい。 When forming an In--M--Zn oxide as the metal oxide, a raw material gas containing a first precursor containing indium is introduced into the chamber, and excess raw material gas is exhausted (purged). Next, as a reactant, an oxidizing agent is introduced into the chamber and excess reactant is exhausted. Next, a raw material gas containing a second precursor containing the element M is introduced into the chamber, and excess raw material gas is exhausted (purged). Next, as a reactant, an oxidizing agent is introduced into the chamber and excess reactant is exhausted. Next, a raw material gas containing a third precursor containing zinc is introduced into the chamber, and excess raw material gas is exhausted (purged). Next, as a reactant, an oxidizing agent is introduced into the chamber and excess reactant is exhausted. By repeating the above steps, a metal oxide including a single layer containing indium, a single layer containing the element M, and a single layer containing zinc can be formed. Note that the order of introduction of the raw material gases is not limited to the above. After introducing the raw material gas containing the first precursor, the raw material gas containing the third precursor may be introduced, and then the raw material gas containing the second precursor may be introduced. can be determined as appropriate. Further, after each raw material gas is introduced, the excess raw material gas can be exhausted, the reactant can be introduced, and the exhaust can be appropriately performed. Note that metal oxides are not limited to In--M--Zn oxides. As mentioned above, the metal oxide preferably contains at least indium or zinc, particularly preferably indium and zinc. Also, the number of metals contained in the metal oxide may be two, or four or more.

また、金属酸化物に含まれる金属の原子数比は、所望の金属を含むプリカーサを含む原料ガスのチャンバーへの導入回数や、成膜温度の調整により制御できる。例えば、インジウムや亜鉛に対して、元素Mの原子数比を大きくしたい場合は、元素Mを含む第2のプリカーサを含む原料ガスをチャンバーに導入し、余分な原料ガスを排気し、リアクタントとして、酸化剤をチャンバーに導入し、余分なリアクタントを排気した後、再度元素Mを含む第2のプリカーサを含む原料ガスをチャンバーに導入し、余分な原料ガスを排気し、リアクタントとして、酸化剤をチャンバーに導入し、余分なリアクタントを排気すればよい。 Also, the atomic ratio of the metal contained in the metal oxide can be controlled by adjusting the number of introduction times of the raw material gas containing the precursor containing the desired metal into the chamber and the film formation temperature. For example, when it is desired to increase the atomic ratio of the element M with respect to indium or zinc, a raw material gas containing a second precursor containing the element M is introduced into the chamber, excess raw material gas is exhausted, and the reactant is After introducing an oxidizing agent into the chamber and evacuating excess reactant, a source gas containing a second precursor containing the element M is again introduced into the chamber, excess source gas is evacuated, and an oxidizing agent is introduced into the chamber as a reactant. and exhaust the excess reactant.

また、複数のプリカーサをチャンバーに導入してもよく、例えば、第1のプリカーサを含む原料ガスをチャンバーに導入し、余分な原料ガスを排気し、リアクタントをチャンバーに導入し、余分なリアクタントを排気し、第2のプリカーサ、および第3のプリカーサを含む原料ガスをチャンバーに導入し、余分な原料ガスを排気し、リアクタントをチャンバーに導入し、余分なリアクタントを排気することで、In-M-Zn酸化物を含む金属酸化物を形成してもよい。なお、チャンバーに導入するプリカーサの組み合わせは上記に限定されない。第1のプリカーサ、および第2のプリカーサを含む原料ガスをチャンバーに導入してもよいし、第1のプリカーサ、および第3のプリカーサを含む原料ガスをチャンバーに導入してもよいし、第1のプリカーサ、第2のプリカーサ、および第3のプリカーサを含む原料ガスをチャンバーに導入してもよい。求められる膜の性質に応じて実施者が適宜決めることができる。 Also, a plurality of precursors may be introduced into the chamber, for example, a source gas containing a first precursor is introduced into the chamber, excess source gas is exhausted, a reactant is introduced into the chamber, and excess reactant is exhausted. Then, a raw material gas containing the second precursor and the third precursor is introduced into the chamber, excess raw material gas is exhausted, a reactant is introduced into the chamber, and the excess reactant is exhausted to obtain In-M- Metal oxides, including Zn oxides, may be formed. The combination of precursors introduced into the chamber is not limited to the above. A raw material gas containing the first precursor and the second precursor may be introduced into the chamber, a raw material gas containing the first precursor and the third precursor may be introduced into the chamber, and the first precursor may be introduced into the chamber. , a second precursor, and a third precursor may be introduced into the chamber. It can be appropriately determined by the practitioner according to the required properties of the film.

また、異なるプリカーサを含む原料ガスを連続してチャンバーに導入してもよい。例えば、第1のプリカーサを含む原料ガスをチャンバーに導入し、余分な原料ガスを排気し、リアクタントをチャンバーに導入し、余分なリアクタントを排気し、第2のプリカーサを含む原料ガスをチャンバーに導入し、余分な原料ガスを排気した後、チャンバーへリアクタントの導入を行わず、続けて第3のプリカーサを含む原料ガスをチャンバーに導入し、余分な原料ガスを排気し、リアクタントをチャンバーに導入し、余分なリアクタントを排気することで、In-M-Zn酸化物を含む金属酸化物を形成してもよい。なお、チャンバーに連続して導入するプリカーサの順序、および組み合わせは上記に限定されない。第3のプリカーサを含む原料ガスをチャンバーに導入した後、第2のプリカーサを含む原料ガスをチャンバーに導入してもよいし、第1のプリカーサを含む原料ガスをチャンバーに導入した後、リアクタントの導入を行わずに、第2のプリカーサを含む原料ガスをチャンバーに導入してもよい。求められる膜の性質に応じて実施者が適宜決めることができる。 Also, raw material gases containing different precursors may be successively introduced into the chamber. For example, a source gas containing a first precursor is introduced into the chamber, excess source gas is evacuated, a reactant is introduced into the chamber, excess reactant is evacuated, and a source gas containing a second precursor is introduced into the chamber. Then, after the excess source gas is exhausted, the reactant is not introduced into the chamber, the source gas containing the third precursor is subsequently introduced into the chamber, the excess source gas is exhausted, and the reactant is introduced into the chamber. , the excess reactant may be evacuated to form metal oxides, including In--M--Zn oxides. The order and combination of precursors introduced into the chamber in succession are not limited to the above. After introducing the raw material gas containing the third precursor into the chamber, the raw material gas containing the second precursor may be introduced into the chamber, or after introducing the raw material gas containing the first precursor into the chamber, The source gas containing the second precursor may be introduced into the chamber without introduction. It can be appropriately determined by the practitioner according to the required properties of the film.

また、複数の金属を含むプリカーサを用いて金属酸化物を形成してもよい。例えば、1分子中にインジウムと元素Mを含むプリカーサ、1分子中にインジウムと亜鉛を含むプリカーサ、1分子中に元素Mと亜鉛を含むプリカーサなどを用いて金属酸化物を形成してもよい。 Alternatively, a precursor containing multiple metals may be used to form a metal oxide. For example, a precursor containing indium and element M in one molecule, a precursor containing indium and zinc in one molecule, a precursor containing element M and zinc in one molecule, or the like may be used to form a metal oxide.

<金属酸化物の構成>
以下では、本発明の一態様で開示されるトランジスタに用いることができるCAC(Cloud-Aligned Composite)-OSの構成について説明する。
<Structure of Metal Oxide>
A structure of a CAC (Cloud-Aligned Composite)-OS that can be used for the transistor disclosed in one embodiment of the present invention is described below.

なお、本明細書等において、CAAC(c-axis aligned crystal)、及びCAC(Cloud-Aligned Composite)と記載する場合がある。なお、CAACは結晶構造の一例を表し、CACは機能、または材料の構成の一例を表す。 In this specification and the like, it may be referred to as CAAC (c-axis aligned crystal) and CAC (cloud-aligned composite). Note that CAAC represents an example of a crystal structure, and CAC represents an example of a function or material configuration.

CAC-OSまたはCAC-metal oxideとは、材料の一部では導電性の機能と、材料の一部では絶縁性の機能とを有し、材料の全体では半導体としての機能を有する。なお、CAC-OSまたはCAC-metal oxideを、トランジスタの活性層に用いる場合、導電性の機能は、キャリアとなる電子(またはホール)を流す機能であり、絶縁性の機能は、キャリアとなる電子を流さない機能である。導電性の機能と、絶縁性の機能とを、それぞれ相補的に作用させることで、スイッチングさせる機能(On/Offさせる機能)をCAC-OSまたはCAC-metal oxideに付与することができる。CAC-OSまたはCAC-metal oxideにおいて、それぞれの機能を分離させることで、双方の機能を最大限に高めることができる。 CAC-OS or CAC-metal oxide has a conductive function in a part of the material, an insulating function in a part of the material, and a semiconductor function in the whole material. Note that when CAC-OS or CAC-metal oxide is used for an active layer of a transistor, the function of conductivity is to flow electrons (or holes) that serve as carriers, and the function of insulation is to flow electrons that serve as carriers. It is a function that does not flow A switching function (on/off function) can be imparted to the CAC-OS or CAC-metal oxide by causing the conductive function and the insulating function to act complementarily. By separating each function in CAC-OS or CAC-metal oxide, both functions can be maximized.

また、CAC-OSまたはCAC-metal oxideは、導電性領域、及び絶縁性領域を有する。導電性領域は、上述の導電性の機能を有し、絶縁性領域は、上述の絶縁性の機能を有する。また、材料中において、導電性領域と、絶縁性領域とは、ナノ粒子レベルで分離している場合がある。また、導電性領域と、絶縁性領域とは、それぞれ材料中に偏在する場合がある。また、導電性領域は、周辺がぼけてクラウド状に連結して観察される場合がある。 Also, CAC-OS or CAC-metal oxide has a conductive region and an insulating region. The conductive regions have the above-described conductive function, and the insulating regions have the above-described insulating function. In some materials, the conductive region and the insulating region are separated at the nanoparticle level. Also, the conductive region and the insulating region may be unevenly distributed in the material. In addition, the conductive region may be observed to be connected like a cloud with its periphery blurred.

また、CAC-OSまたはCAC-metal oxideにおいて、導電性領域と、絶縁性領域とは、それぞれ0.5nm以上10nm以下、好ましくは0.5nm以上3nm以下のサイズで材料中に分散している場合がある。 In CAC-OS or CAC-metal oxide, the conductive region and the insulating region are each dispersed in the material with a size of 0.5 nm or more and 10 nm or less, preferably 0.5 nm or more and 3 nm or less. There is

また、CAC-OSまたはCAC-metal oxideは、異なるバンドギャップを有する成分により構成される。例えば、CAC-OSまたはCAC-metal oxideは、絶縁性領域に起因するワイドギャップを有する成分と、導電性領域に起因するナローギャップを有する成分と、により構成される。当該構成の場合、キャリアを流す際に、ナローギャップを有する成分において、主にキャリアが流れる。また、ナローギャップを有する成分が、ワイドギャップを有する成分に相補的に作用し、ナローギャップを有する成分に連動してワイドギャップを有する成分にもキャリアが流れる。このため、上記CAC-OSまたはCAC-metal oxideをトランジスタのチャネル形成領域に用いる場合、トランジスタのオン状態において高い電流駆動力、つまり大きなオン電流、及び高い電界効果移動度を得ることができる。 Also, CAC-OS or CAC-metal oxide is composed of components having different bandgaps. For example, CAC-OS or CAC-metal oxide is composed of a component having a wide gap resulting from an insulating region and a component having a narrow gap resulting from a conductive region. In the case of this configuration, when the carriers flow, the carriers mainly flow in the component having the narrow gap. In addition, the component having a narrow gap acts complementarily on the component having a wide gap, and carriers also flow into the component having a wide gap in conjunction with the component having a narrow gap. Therefore, when the above CAC-OS or CAC-metal oxide is used for a channel formation region of a transistor, high current drivability, that is, high on-current and high field-effect mobility can be obtained in the on-state of the transistor.

すなわち、CAC-OSまたはCAC-metal oxideは、マトリックス複合材(matrix composite)、または金属マトリックス複合材(metal matrix composite)と呼称することもできる。 That is, CAC-OS or CAC-metal oxide can also be called a matrix composite or a metal matrix composite.

[金属酸化物の構造]
酸化物半導体(金属酸化物)は、単結晶酸化物半導体と、それ以外の非単結晶酸化物半導体と、に分けられる。非単結晶酸化物半導体としては、例えば、CAAC-OS、多結晶酸化物半導体、nc-OS、擬似非晶質酸化物半導体(a-like OS:amorphous-like oxide semiconductor)、および非晶質酸化物半導体などがある。
[Structure of Metal Oxide]
Oxide semiconductors (metal oxides) are classified into single-crystal oxide semiconductors and non-single-crystal oxide semiconductors. Examples of non-single-crystal oxide semiconductors include CAAC-OS, polycrystalline oxide semiconductors, nc-OS, pseudo-amorphous oxide semiconductors (a-like OS: amorphous-like oxide semiconductors), and amorphous oxide semiconductors. semiconductors, etc.

CAAC-OSは、c軸配向性を有し、かつa-b面方向において複数のナノ結晶が連結し、歪みを有した結晶構造となっている。なお、歪みとは、複数のナノ結晶が連結する領域において、格子配列の揃った領域と、別の格子配列の揃った領域と、の間で格子配列の向きが変化している箇所を指す。 CAAC-OS has a c-axis orientation and a distorted crystal structure in which a plurality of nanocrystals are connected in the ab plane direction. The strain refers to a portion where the orientation of the lattice arrangement changes between a region with a uniform lattice arrangement and another region with a uniform lattice arrangement in a region where a plurality of nanocrystals are connected.

ナノ結晶は、六角形を基本とするが、正六角形状とは限らず、非正六角形状である場合がある。また、歪みにおいて、五角形、および七角形などの格子配列を有する場合がある。なお、CAAC-OSにおいて、歪み近傍においても、明確な結晶粒界(グレインバウンダリーともいう。)を確認することは難しい。すなわち、格子配列の歪みによって、結晶粒界の形成が抑制されていることがわかる。これは、CAAC-OSが、a-b面方向において酸素原子の配列が稠密でないことや、金属元素が置換することで原子間の結合距離が変化することなどによって、歪みを許容することができるためである。 Although nanocrystals are basically hexagonal, they are not limited to regular hexagons and may have non-regular hexagons. Also, the distortion may have a lattice arrangement of pentagons, heptagons, and the like. In CAAC-OS, it is difficult to confirm clear crystal grain boundaries (also called grain boundaries) even in the vicinity of strain. That is, it can be seen that the distortion of the lattice arrangement suppresses the formation of grain boundaries. This is because the CAAC-OS can tolerate strain due to the fact that the arrangement of oxygen atoms is not dense in the ab plane direction and the bond distance between atoms changes due to the substitution of metal elements. It's for.

また、CAAC-OSは、インジウム、および酸素を有する層(以下、In層)と、元素M、亜鉛、および酸素を有する層(以下、(M,Zn)層)とが積層した、層状の結晶構造(層状構造ともいう)を有する傾向がある。なお、インジウムと元素Mは、互いに置換可能であり、(M,Zn)層の元素Mがインジウムと置換した場合、(In,M,Zn)層と表すこともできる。また、In層のインジウムが元素Mと置換した場合、(In,M)層と表すこともできる。 CAAC-OS is a layered crystal in which a layer containing indium and oxygen (hereinafter referred to as an In layer) and a layer containing the element M, zinc, and oxygen (hereinafter referred to as a (M, Zn) layer) are stacked. It tends to have a structure (also called a layered structure). Note that indium and the element M can be substituted with each other, and when the element M in the (M, Zn) layer is substituted with indium, the layer can also be expressed as an (In, M, Zn) layer. In addition, when indium in the In layer is replaced with the element M, it can also be expressed as an (In, M) layer.

CAAC-OSは結晶性の高い金属酸化物である。一方、CAAC-OSは、明確な結晶粒界を確認することが難しいため、結晶粒界に起因する電子移動度の低下が起こりにくいといえる。また、金属酸化物の結晶性は不純物の混入や欠陥の生成などによって低下する場合があるため、CAAC-OSは不純物や欠陥(酸素欠損(V:oxygen vacancyともいう。)など)の少ない金属酸化物ともいえる。したがって、CAAC-OSを有する金属酸化物は、物理的性質が安定する。そのため、CAAC-OSを有する金属酸化物は熱に強く、信頼性が高い。 CAAC-OS is a highly crystalline metal oxide. On the other hand, in CAAC-OS, since it is difficult to confirm a clear crystal grain boundary, it can be said that the decrease in electron mobility due to the crystal grain boundary is unlikely to occur. In addition, since the crystallinity of metal oxides may be degraded by the contamination of impurities and the generation of defects, CAAC-OS is made of a metal with few impurities and defects (such as oxygen vacancy (V O )). It can also be called an oxide. Therefore, metal oxides with CAAC-OS have stable physical properties. Therefore, a metal oxide containing CAAC-OS is heat resistant and highly reliable.

nc-OSは、微小な領域(例えば、1nm以上10nm以下の領域、特に1nm以上3nm以下の領域)において原子配列に周期性を有する。また、nc-OSは、異なるナノ結晶間で結晶方位に規則性が見られない。そのため、膜全体で配向性が見られない。したがって、nc-OSは、分析方法によっては、a-like OSや非晶質酸化物半導体と区別が付かない場合がある。 The nc-OS has periodic atomic arrangement in a minute region (eg, a region of 1 nm to 10 nm, particularly a region of 1 nm to 3 nm). Also, nc-OS shows no regularity in crystal orientation between different nanocrystals. Therefore, no orientation is observed in the entire film. Therefore, an nc-OS may be indistinguishable from an a-like OS or an amorphous oxide semiconductor depending on the analysis method.

なお、インジウムと、ガリウムと、亜鉛と、を有する金属酸化物の一種である、インジウム-ガリウム-亜鉛酸化物(以下、IGZO)は、上述のナノ結晶とすることで安定な構造をとる場合がある。特に、IGZOは、大気中では結晶成長がし難い傾向があるため、大きな結晶(ここでは、数mmの結晶、または数cmの結晶)よりも小さな結晶(例えば、上述のナノ結晶)とする方が、構造的に安定となる場合がある。 Note that indium-gallium-zinc oxide (hereinafter referred to as IGZO), which is a type of metal oxide containing indium, gallium, and zinc, may have a stable structure when formed into the above-described nanocrystals. be. In particular, since IGZO tends to be difficult to crystallize in the atmosphere, it is better to use smaller crystals (for example, the above-mentioned nanocrystals) than large crystals (here, crystals of several mm or crystals of several cm). can be structurally stable.

a-like OSは、nc-OSと非晶質酸化物半導体との間の構造を有する金属酸化物である。a-like OSは、鬆または低密度領域を有する。すなわち、a-like OSは、nc-OSおよびCAAC-OSと比べて、結晶性が低い。 An a-like OS is a metal oxide having a structure between an nc-OS and an amorphous oxide semiconductor. An a-like OS has void or low density regions. That is, a-like OS has lower crystallinity than nc-OS and CAAC-OS.

酸化物半導体(金属酸化物)は、多様な構造をとり、それぞれが異なる特性を有する。本発明の一態様の酸化物半導体は、非晶質酸化物半導体、多結晶酸化物半導体、a-like OS、nc-OS、CAAC-OSのうち、二種以上を有していてもよい。 Oxide semiconductors (metal oxides) have various structures, each of which has different characteristics. An oxide semiconductor of one embodiment of the present invention may include two or more of an amorphous oxide semiconductor, a polycrystalline oxide semiconductor, an a-like OS, an nc-OS, and a CAAC-OS.

なお、本発明の一態様の半導体装置においては、酸化物半導体(金属酸化物)の構造に特に限定はないが、好ましくは結晶性を有すると好ましい。例えば、酸化物230をCAAC-OS構造とし、酸化物243を六方晶の結晶構造とすることが出来る。酸化物230、及び酸化物243を上記の結晶構造とすることで、高い信頼性を有する半導体装置とすることができる。 Note that although there is no particular limitation on the structure of the oxide semiconductor (metal oxide) in the semiconductor device of one embodiment of the present invention, it preferably has crystallinity. For example, oxide 230 can have a CAAC-OS structure and oxide 243 can have a hexagonal crystal structure. When the oxides 230 and 243 have the above crystal structures, the semiconductor device can have high reliability.

[不純物]
ここで、金属酸化物中における各不純物の影響について説明する。
[impurities]
Here, the effect of each impurity in the metal oxide will be described.

また、金属酸化物にアルカリ金属またはアルカリ土類金属が含まれると、欠陥準位を形成し、キャリアを生成する場合がある。したがって、アルカリ金属またはアルカリ土類金属が含まれている金属酸化物をチャネル形成領域に用いたトランジスタはノーマリーオン特性となりやすい。このため、金属酸化物中のアルカリ金属またはアルカリ土類金属の濃度を低減することが好ましい。具体的には、SIMSにより得られる金属酸化物中のアルカリ金属またはアルカリ土類金属の濃度(二次イオン質量分析法(SIMS:Secondary Ion Mass Spectrometry)により得られる濃度)を、1×1018atoms/cm以下、好ましくは2×1016atoms/cm以下にする。 Further, if the metal oxide contains an alkali metal or an alkaline earth metal, it may form a defect level and generate carriers. Therefore, a transistor in which a metal oxide containing an alkali metal or an alkaline earth metal is used for a channel formation region tends to have normally-on characteristics. Therefore, it is preferable to reduce the concentration of alkali metals or alkaline earth metals in the metal oxide. Specifically, the concentration of the alkali metal or alkaline earth metal in the metal oxide obtained by SIMS (concentration obtained by secondary ion mass spectrometry (SIMS: Secondary Ion Mass Spectrometry)) is 1×10 18 atoms. /cm 3 or less, preferably 2×10 16 atoms/cm 3 or less.

また、金属酸化物に含まれる水素は、金属原子と結合する酸素と反応して水になるため、酸素欠損を形成する場合がある。当該酸素欠損に水素が入ることで、キャリアである電子が生成される場合がある。また、水素の一部が金属原子と結合する酸素と結合して、キャリアである電子を生成することがある。従って、水素が含まれている金属酸化物を用いたトランジスタは、ノーマリーオン特性となりやすい。 In addition, since hydrogen contained in the metal oxide reacts with oxygen bonded to the metal atom to become water, oxygen vacancies may be formed. When hydrogen enters the oxygen vacancies, electrons, which are carriers, are generated in some cases. In addition, part of hydrogen may bond with oxygen that bonds with a metal atom to generate an electron, which is a carrier. Therefore, a transistor using a metal oxide containing hydrogen tends to have normally-on characteristics.

このため、金属酸化物中の水素はできる限り低減されていることが好ましい。具体的には、金属酸化物において、SIMSにより得られる水素濃度を、1×1020atoms/cm未満、好ましくは1×1019atoms/cm未満、より好ましくは5×1018atoms/cm未満、さらに好ましくは1×1018atoms/cm未満とする。不純物が十分に低減された金属酸化物をトランジスタのチャネル形成領域に用いることで、安定した電気特性を付与することができる。 Therefore, it is preferable that hydrogen in the metal oxide is reduced as much as possible. Specifically, in the metal oxide, the hydrogen concentration obtained by SIMS is less than 1×10 20 atoms/cm 3 , preferably less than 1×10 19 atoms/cm 3 , more preferably less than 5×10 18 atoms/cm Less than 3 , more preferably less than 1×10 18 atoms/cm 3 . By using a metal oxide in which impurities are sufficiently reduced for a channel formation region of a transistor, stable electrical characteristics can be imparted.

トランジスタの半導体に用いる金属酸化物として、結晶性の高い薄膜を用いることが好ましい。該薄膜を用いることで、トランジスタの安定性または信頼性を向上させることができる。該薄膜として、例えば、単結晶金属酸化物の薄膜または多結晶金属酸化物の薄膜が挙げられる。しかしながら、単結晶金属酸化物の薄膜または多結晶金属酸化物の薄膜を基板上に形成するには、高温またはレーザー加熱の工程が必要とされる。よって、製造工程のコストが増加し、さらに、スループットも低下してしまう。 A highly crystalline thin film is preferably used as a metal oxide used for a semiconductor of a transistor. By using the thin film, the stability or reliability of the transistor can be improved. The thin film includes, for example, a single-crystal metal oxide thin film or a polycrystalline metal oxide thin film. However, forming a single crystal metal oxide thin film or a polycrystalline metal oxide thin film on a substrate requires a high temperature or laser heating process. Therefore, the cost of the manufacturing process increases, and the throughput also decreases.

2009年に、CAAC構造を有するIn-Ga-Zn酸化物(CAAC-IGZOと呼ぶ。)が発見されたことが、非特許文献1および非特許文献2で報告されている。ここでは、CAAC-IGZOは、c軸配向性を有する、結晶粒界が明確に確認されない、低温で基板上に形成可能である、ことが報告されている。さらに、CAAC-IGZOを用いたトランジスタは、優れた電気特性および信頼性を有することが報告されている。 Non-Patent Document 1 and Non-Patent Document 2 report that an In--Ga--Zn oxide having a CAAC structure (referred to as CAAC-IGZO) was discovered in 2009. Here, it is reported that CAAC-IGZO has c-axis orientation, does not clearly identify grain boundaries, and can be formed on a substrate at low temperatures. Furthermore, it has been reported that transistors using CAAC-IGZO have excellent electrical characteristics and reliability.

また、2013年には、nc構造を有するIn-Ga-Zn酸化物(nc-IGZOと呼ぶ。)が発見された(非特許文献3参照。)。ここでは、nc-IGZOは、微小な領域(例えば、1nm以上3nm以下の領域)において原子配列に周期性を有し、異なる該領域間で結晶方位に規則性が見られないことが報告されている。 In 2013, an In--Ga--Zn oxide having an nc structure (referred to as nc-IGZO) was discovered (see Non-Patent Document 3). Here, it is reported that nc-IGZO has periodicity in atomic arrangement in a minute region (for example, a region of 1 nm or more and 3 nm or less), and no regularity in crystal orientation is observed between different regions. there is

非特許文献4および非特許文献5では、上記のCAAC-IGZO、nc-IGZO、および結晶性の低いIGZOのそれぞれの薄膜に対する電子線の照射による平均結晶サイズの推移が示されている。結晶性の低いIGZOの薄膜において、電子線が照射される前でさえ、1nm程度の結晶性IGZOが観察されている。よって、ここでは、IGZOにおいて、完全な非晶質構造(completely amorphous structure)の存在を確認できなかった、と報告されている。さらに、結晶性の低いIGZOの薄膜と比べて、CAAC-IGZOの薄膜およびnc-IGZOの薄膜は電子線照射に対する安定性が高いことが示されている。よって、トランジスタの半導体として、CAAC-IGZOの薄膜またはnc-IGZOの薄膜を用いることが好ましい。 Non-Patent Document 4 and Non-Patent Document 5 show changes in the average crystal size due to electron beam irradiation of each of the thin films of CAAC-IGZO, nc-IGZO, and IGZO with low crystallinity. In thin films of IGZO with low crystallinity, crystalline IGZO of about 1 nm has been observed even before electron beam irradiation. Therefore, it is reported here that the presence of a completely amorphous structure could not be confirmed in IGZO. Furthermore, it has been shown that CAAC-IGZO thin films and nc-IGZO thin films have higher stability against electron beam irradiation than IGZO thin films with low crystallinity. Therefore, a thin film of CAAC-IGZO or a thin film of nc-IGZO is preferably used as a semiconductor of a transistor.

金属酸化物を用いたトランジスタは、非導通状態において極めてリーク電流が小さい、具体的には、トランジスタのチャネル幅1μmあたりのオフ電流がyA/μm(10-24A/μm)オーダである、ことが非特許文献6に示されている。例えば、金属酸化物を用いたトランジスタのリーク電流が低いという特性を応用した低消費電力のCPUなどが開示されている(非特許文献7参照。)。 A transistor using a metal oxide has an extremely small leakage current in a non-conducting state. Specifically, an off current per 1 μm channel width of the transistor is on the order of yA/μm (10 −24 A/μm). is shown in Non-Patent Document 6. For example, a low-power-consumption CPU that utilizes the low leakage current characteristic of a transistor using a metal oxide has been disclosed (see Non-Patent Document 7).

また、金属酸化物を用いたトランジスタのリーク電流が低いという特性を利用した、該トランジスタの表示装置への応用が報告されている(非特許文献8参照。)。表示装置では、表示される画像が1秒間に数十回切り換っている。1秒間あたりの画像の切り換え回数はリフレッシュレートと呼ばれている。また、リフレッシュレートを駆動周波数と呼ぶこともある。このような人の目で知覚が困難である高速の画面の切り換えが、目の疲労の原因として考えられている。そこで、表示装置のリフレッシュレートを低下させて、画像の書き換え回数を減らすことが提案されている。また、リフレッシュレートを低下させた駆動により、表示装置の消費電力を低減することが可能である。このような駆動方法を、アイドリング・ストップ(IDS)駆動と呼ぶ。 In addition, application of a transistor using a metal oxide to a display device has been reported, taking advantage of the low leakage current characteristic of the transistor (see Non-Patent Document 8). In a display device, displayed images are switched several tens of times per second. The number of image switching times per second is called a refresh rate. Also, the refresh rate is sometimes called a drive frequency. Such high-speed screen switching, which is difficult for the human eye to perceive, is considered to be the cause of eye fatigue. Therefore, it has been proposed to reduce the number of times the image is rewritten by lowering the refresh rate of the display device. In addition, power consumption of the display device can be reduced by driving with a reduced refresh rate. Such a driving method is called idling stop (IDS) driving.

CAAC構造およびnc構造の発見は、CAAC構造またはnc構造を有する金属酸化物を用いたトランジスタの電気特性および信頼性の向上、ならびに、製造工程のコスト低下およびスループットの向上に貢献している。また、該トランジスタのリーク電流が低いという特性を利用した、該トランジスタの表示装置およびLSIへの応用研究が進められている。 The discovery of CAAC and nc structures has contributed to improved electrical properties and reliability of transistors using metal oxides with CAAC or nc structures, as well as reduced cost and increased throughput of the manufacturing process. In addition, application research of the transistor to display devices and LSIs is underway, taking advantage of the characteristic of the transistor having a low leakage current.

前述したとおり、ALD法では、アスペクト比の高い構造への成膜が可能であり、構造体の側面に対しても被覆性に優れた成膜が可能である。ALD法を用いることで、被成膜面の向きによらず、容易にCAAC構造の金属酸化物を形成することができる。例えば、構造体が凸型形状や、凹型形状を有しているとしても、構造体の上面、底面、側面、および傾斜を有する面に対して被覆性よく金属酸化物を形成することができる。すなわち、それぞれの被成膜面において、法線方向に概略一定の膜厚を有する金属酸化物を形成することができる。構造体の上面、底面、側面、および傾斜を有する面それぞれに形成された金属酸化物において、最大膜厚に対する最小膜厚の比を0.5以上1以下、好ましくは0.7以上1以下、より好ましくは、0.9以上1以下とすることができる。このとき、金属酸化物が結晶構造を有する場合、そのc軸は、それぞれの被成膜面の法線方向と概略平行な方向に配向する。すなわち、c軸は、それぞれの被成膜面に対して垂直に配向する。 As described above, the ALD method can form a film on a structure with a high aspect ratio, and can form a film with excellent coverage even on the side surfaces of the structure. By using the ALD method, a metal oxide having a CAAC structure can be easily formed regardless of the orientation of the film formation surface. For example, even if the structure has a convex shape or a concave shape, the metal oxide can be formed with good coverage over the top surface, bottom surface, side surfaces, and inclined surfaces of the structure. That is, a metal oxide having a substantially uniform film thickness in the normal direction can be formed on each film-forming surface. In the metal oxide formed on each of the top surface, bottom surface, side surfaces, and inclined surface of the structure, the ratio of the minimum thickness to the maximum thickness is 0.5 to 1, preferably 0.7 to 1, More preferably, it can be 0.9 or more and 1 or less. At this time, when the metal oxide has a crystal structure, its c-axis is oriented in a direction substantially parallel to the normal direction of each film-forming surface. That is, the c-axis is oriented perpendicular to each film formation surface.

図12は、構造体50に形成されたIn-M-Zn酸化物を有する金属酸化物51を示す図である。ここで、構造体とは、トランジスタなどの半導体装置を構成する要素を指す。構造体50として、基板、ゲート電極、ソース電極、およびドレイン電極などの導電体、ゲート絶縁膜、層間絶縁膜、下地絶縁膜等の絶縁体、金属酸化物やシリコンなどの半導体、などが含まれる。図12(A)では、構造体50の被成膜面が基板(あるいは基体、図示しない。)に対して平行に配置される場合を示している。図12(B)は、図12(A)における金属酸化物51の一部である領域53の拡大図である。図12(B)では、構造体50の上面、あるいは底面にインジウムを含む層と、元素Mおよび亜鉛を含む層が積層されている様子を示している。Inを含む層は、構造体50の被成膜面に平行に配置され、その上に元素Mおよび亜鉛を含む層が、構造体50の被成膜面に平行に配置されている。すなわち、金属酸化物51のa-b面は、構造体50の被成膜面に対して概略平行であり、金属酸化物51のc軸は、構造体50の被成膜面の法線方向と概略平行である。 FIG. 12 shows metal oxide 51 with In--M--Zn oxide formed on structure 50. In FIG. Here, the structural body refers to an element constituting a semiconductor device such as a transistor. The structure 50 includes conductors such as substrates, gate electrodes, source electrodes, and drain electrodes, insulators such as gate insulating films, interlayer insulating films, and underlying insulating films, and semiconductors such as metal oxides and silicon. . FIG. 12A shows the case where the film formation surface of the structure 50 is arranged parallel to the substrate (or base, not shown). FIG. 12B is an enlarged view of a region 53 that is part of the metal oxide 51 in FIG. 12A. FIG. 12B shows a state in which a layer containing indium and a layer containing the element M and zinc are stacked on the top surface or the bottom surface of the structure 50 . The layer containing In is arranged parallel to the film formation surface of the structure 50 , and the layer containing the element M and zinc is arranged parallel to the film formation surface of the structure 50 . That is, the ab plane of the metal oxide 51 is substantially parallel to the deposition surface of the structure 50, and the c-axis of the metal oxide 51 is the normal direction of the deposition surface of the structure 50. and are roughly parallel to each other.

図12(C)では、構造体50の被成膜面が基板(あるいは基体、図示しない。)に対して垂直に配置される場合を示している。図12(D)は、図12(C)における金属酸化物51の一部である領域54の拡大図である。図12(D)では、構造体50の側面にインジウムを含む層と、元素Mおよび亜鉛を含む層が積層されている様子を示している。Inを含む層は、構造体50の被成膜面に平行に配置され、その上に元素Mおよび亜鉛を含む層が、構造体50の被成膜面に平行に配置されている。すなわち、金属酸化物51のa-b面は、構造体50の被成膜面に対して概略平行であり、金属酸化物51のc軸は、構造体50の被成膜面の法線方向と概略平行である。 FIG. 12C shows the case where the film formation surface of the structure 50 is arranged perpendicular to the substrate (or base, not shown). FIG. 12(D) is an enlarged view of a region 54 that is part of the metal oxide 51 in FIG. 12(C). FIG. 12D shows a state in which a layer containing indium and a layer containing the element M and zinc are stacked on the side surface of the structure 50 . The layer containing In is arranged parallel to the film formation surface of the structure 50 , and the layer containing the element M and zinc is arranged parallel to the film formation surface of the structure 50 . That is, the ab plane of the metal oxide 51 is substantially parallel to the deposition surface of the structure 50, and the c-axis of the metal oxide 51 is the normal direction of the deposition surface of the structure 50. and are roughly parallel to each other.

ここで図13を用いて、In-M-Zn酸化物を有する金属酸化物51の形成方法の詳細を示す。なお、図13では、インジウムを含む層としてInO層を形成し、その上に元素Mおよび亜鉛を含む層として(M,Zn)O層を形成する例を示すが、本実施の形態はこれに限らない。まず、(M,Zn)O層を形成し、その上にInO層を形成してもよい。また、InO層の上に、元素Mを含む層と亜鉛を含む層の一方を形成し、その上に元素Mを含む層と亜鉛を含む層の他方を形成してもよい。 Details of the method for forming the metal oxide 51 having the In--M--Zn oxide will now be described with reference to FIG. Note that FIG. 13 shows an example in which an InO layer is formed as a layer containing indium and a (M, Zn)O layer is formed thereover as a layer containing the element M and zinc; Not exclusively. First, a (M, Zn)O layer may be formed, and an InO layer may be formed thereon. Alternatively, one of the layer containing the element M and the layer containing zinc may be formed over the InO layer, and the other of the layer containing the element M and the layer containing zinc may be formed thereover.

まず、インジウムを含むプリカーサを含む原料ガスをチャンバーに導入し、構造体50の表面にプリカーサを吸着させる(図13(A)参照。)。ここで、原料ガスには、プリカーサの他に、アルゴンや窒素などのキャリアガスが含まれる。インジウムを含むプリカーサとして、トリエチルインジウム、トリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオン酸)インジウム、シクロペンタジエニルインジウムなどを用いることができる。次に、チャンバー内をパージして、余剰なプリカーサや反応生成物などをチャンバーから排出する。次に、リアクタントとして、酸化剤をチャンバーに導入し、吸着したプリカーサと反応させて、インジウムを基板に吸着させたままインジウム以外の成分を離脱させることで、インジウムと酸素が結合したInO層を形成する(図13(B)参照。)。酸化剤として、オゾン、酸素、水などを用いることができる。次に、チャンバー内をパージして、余分なリアクタントや反応生成物などをチャンバーから排出する。 First, a raw material gas containing a precursor containing indium is introduced into the chamber, and the precursor is adsorbed on the surface of the structure 50 (see FIG. 13A). Here, the raw material gas includes a carrier gas such as argon or nitrogen in addition to the precursor. Precursors containing indium include triethylindium, tris(2,2,6,6-tetramethyl-3,5-heptanedionate)indium, and cyclopentadienylindium. Next, the inside of the chamber is purged to discharge excess precursors, reaction products, and the like from the chamber. Next, as a reactant, an oxidizing agent is introduced into the chamber and reacted with the adsorbed precursor to release components other than indium while indium is adsorbed on the substrate, thereby forming an InO layer in which indium and oxygen are bonded. (See FIG. 13(B).). Ozone, oxygen, water, or the like can be used as the oxidizing agent. Next, the inside of the chamber is purged to discharge excess reactants, reaction products, and the like from the chamber.

次に、元素Mを含むプリカーサ、および亜鉛を含むプリカーサを含む原料ガスをチャンバーに導入し、InO層上にプリカーサを吸着させる(図13(C)参照。)。原料ガスには、プリカーサの他に、アルゴンや窒素などのキャリアガスが含まれる。元素Mとしてガリウムを用いる場合、ガリウムを含むプリカーサとして、トリメチルガリウム、トリエチルガリウム、三塩化ガリウム、トリス(ジメチルアミド)ガリウム、ガリウム(III)アセチルアセトナート、トリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオン酸)ガリウム、ジメチルクロロガリウム、ジエチルクロロガリウムなどを用いることができる。また、亜鉛を含むプリカーサとして、ジメチル亜鉛、ジエチル亜鉛、ビス(2,2,6,6-テトラメチル-3,5-ヘプタンジオン酸)亜鉛などを用いることができる。次に、チャンバー内をパージして、余剰なプリカーサや反応生成物などをチャンバーから排出する。次に、リアクタントとして、酸化剤をチャンバーに導入し、吸着したプリカーサと反応させて、元素Mや亜鉛を基板に吸着させたまま元素Mおよび亜鉛以外の成分を離脱させることで、元素Mと酸素が結合した層、および亜鉛と酸素が結合した層(M,Zn)O層を形成する。次に、チャンバー内をパージして、余分なリアクタントや反応生成物などをチャンバーから排出する。(M,Zn)O層の形成を複数回繰り返すことで、所望の原子数、層数、および厚さを有する(M,Zn)O層を形成してもよい(図13(D)参照。)。 Next, a source gas containing a precursor containing the element M and a precursor containing zinc is introduced into the chamber to adsorb the precursor onto the InO layer (see FIG. 13C). Source gases include carrier gases such as argon and nitrogen in addition to precursors. When gallium is used as element M, gallium-containing precursors include trimethylgallium, triethylgallium, gallium trichloride, tris(dimethylamido)gallium, gallium (III) acetylacetonate, tris(2,2,6,6-tetra Gallium methyl-3,5-heptanedionate), dimethylchlorogallium, diethylchlorogallium, and the like can be used. As precursors containing zinc, dimethyl zinc, diethyl zinc, bis(2,2,6,6-tetramethyl-3,5-heptanedionate) zinc, and the like can be used. Next, the inside of the chamber is purged to discharge excess precursors, reaction products, and the like from the chamber. Next, as a reactant, an oxidizing agent is introduced into the chamber and reacted with the adsorbed precursor to release components other than the element M and zinc while the element M and zinc are adsorbed on the substrate, thereby removing the element M and oxygen. and a layer in which zinc and oxygen are combined (M, Zn)O layers. Next, the inside of the chamber is purged to discharge excess reactants, reaction products, and the like from the chamber. By repeating the formation of the (M,Zn)O layer multiple times, the (M,Zn)O layer having a desired number of atoms, layers, and thickness may be formed (see FIG. 13D). ).

次に、(M,Zn)O層上に再度、上述した方法でInO層を形成する(図13(E)参照。)。以上の方法を繰り返すことで、基板、あるいは構造体上に金属酸化物51を形成することができる。 Next, an InO layer is formed again on the (M, Zn)O layer by the method described above (see FIG. 13E). By repeating the above method, the metal oxide 51 can be formed on the substrate or the structure.

なお、上記プリカーサには、金属元素の他に、炭素および塩素の一方または両方を含むものがある。炭素を含むプリカーサを用いて形成された膜には炭素が含まれる場合がある。また、塩素を含むプリカーサを用いて形成された膜には塩素が含まれる場合がある。 In addition to the metal element, some precursors contain one or both of carbon and chlorine. A film formed using a carbon-containing precursor may contain carbon. Also, a film formed using a chlorine-containing precursor may contain chlorine.

以上のように、ALD法を用いて金属酸化物51を形成することで、被成膜面の法線方向と概略平行にc軸が配向したCAAC構造の金属酸化物を形成することができる。 As described above, by forming the metal oxide 51 using the ALD method, it is possible to form a metal oxide having a CAAC structure in which the c-axis is oriented substantially parallel to the normal direction of the film formation surface.

ここで、ALD法を用いて成膜することが可能な装置の一例として、成膜装置4000の構成について、図14(A)及び図14(B)を用いて説明する。図14(A)は、マルチチャンバー型の成膜装置4000の模式図であり、図14(B)は、成膜装置4000に用いることができるALD装置の断面図である。 Here, as an example of an apparatus capable of forming a film by an ALD method, a structure of a film forming apparatus 4000 will be described with reference to FIGS. 14A and 14B. FIG. 14A is a schematic diagram of a multi-chamber film formation apparatus 4000, and FIG. 14B is a cross-sectional view of an ALD apparatus that can be used for the film formation apparatus 4000. FIG.

<成膜装置の構成例>
成膜装置4000は、搬入搬出室4002と、搬入搬出室4004と、搬送室4006と、成膜室4008と、成膜室4009と、成膜室4010と、搬送アーム4014と、を有する。ここで、搬入搬出室4002、搬入搬出室4004、及び成膜室4008乃至4010は、搬送室4006とそれぞれ独立に接続されている。これにより、成膜室4008乃至4010において大気に曝すことなく、連続成膜を行うことができ、膜中に不純物が混入するのを防ぐことができる。また、基板と膜の界面、および各膜の界面の汚染は低減され、清浄な界面が得られる。
<Configuration example of deposition apparatus>
The film formation apparatus 4000 includes a loading/unloading chamber 4002 , a loading/unloading chamber 4004 , a transfer chamber 4006 , a film formation chamber 4008 , a film formation chamber 4009 , a film formation chamber 4010 , and a transfer arm 4014 . Here, the loading/unloading chamber 4002, the loading/unloading chamber 4004, and the film formation chambers 4008 to 4010 are connected to the transfer chamber 4006 independently. Accordingly, continuous film formation can be performed in the film formation chambers 4008 to 4010 without exposure to the atmosphere, and impurities can be prevented from entering the film. Also, contamination at the interface between the substrate and the film and at the interface between each film is reduced, and a clean interface can be obtained.

なお、搬入搬出室4002、搬入搬出室4004、搬送室4006、及び成膜室4008乃至4010は、水分の付着などを防ぐため、露点が管理された不活性ガス(窒素ガス等)を充填させておくことが好ましく、減圧を維持させることが望ましい。 Note that the loading/unloading chamber 4002, the loading/unloading chamber 4004, the transfer chamber 4006, and the film formation chambers 4008 to 4010 are filled with an inert gas (such as nitrogen gas) whose dew point is controlled in order to prevent adhesion of moisture. It is preferable to maintain the reduced pressure.

また、成膜室4008乃至4010には、ALD装置を用いることができる。また、成膜室4008乃至4010のいずれかにALD装置以外の成膜装置を用いる構成としてもよい。成膜室4008乃至4010に用いることができる成膜装置としては、例えば、スパッタリング装置、プラズマCVD(PECVD:Plasma Enhanced CVD)装置、熱CVD(TCVD:Thermal CVD)装置、光CVD(Photo CVD)装置、金属CVD(MCVD:Metal CVD)装置、有機金属CVD(MOCVD:Metal Organic CVD)装置などがある。また、成膜室4008乃至4010のいずれか1つまたは複数に、成膜装置以外の機能を有する装置を設けても構わない。当該装置としては、例えば、加熱装置(代表的には、真空加熱装置)、プラズマ発生装置(代表的には、μ波プラズマ発生装置)などが挙げられる。 In addition, an ALD apparatus can be used for the film formation chambers 4008 to 4010 . Further, any one of the film forming chambers 4008 to 4010 may be configured to use a film forming apparatus other than the ALD apparatus. Examples of film forming apparatuses that can be used in the film forming chambers 4008 to 4010 include a sputtering apparatus, a plasma enhanced CVD (PECVD) apparatus, a thermal CVD (TCVD) apparatus, and a photo CVD (Photo CVD) apparatus. , a metal CVD (MCVD: Metal CVD) device, an organic metal CVD (MOCVD: Metal Organic CVD) device, and the like. Further, one or more of the film formation chambers 4008 to 4010 may be provided with an apparatus having a function other than the film formation apparatus. Examples of the device include a heating device (typically a vacuum heating device), a plasma generator (typically a microwave plasma generator), and the like.

例えば、成膜室4008をALD装置とし、成膜室4009をPECVD装置とし、成膜室4010を金属CVD装置とした場合、成膜室4008で金属酸化物、成膜室4009でゲート絶縁膜として機能する絶縁膜、成膜室4010でゲート電極として機能する導電膜を形成することができる。このとき、金属酸化物と、その上の絶縁膜と、その上の導電膜を、大気に曝すことなく、連続で形成することができる。 For example, when the deposition chamber 4008 is an ALD device, the deposition chamber 4009 is a PECVD device, and the deposition chamber 4010 is a metal CVD device, the metal oxide is used in the deposition chamber 4008, and the gate insulating film is used in the deposition chamber 4009. An insulating film that functions and a conductive film that functions as a gate electrode can be formed in the deposition chamber 4010 . At this time, the metal oxide, the insulating film thereon, and the conductive film thereon can be formed continuously without being exposed to the atmosphere.

また、成膜装置4000は、搬入搬出室4002、搬入搬出室4004、成膜室4008乃至4010を有する構成としているが、本発明はこれに限られるものではない。成膜装置4000の成膜室を4個以上にする構成としてもよい。また、成膜装置4000は枚葉式としてもよいし、複数の基板を一括で成膜するバッチ式にしてもよい。 In addition, although the deposition apparatus 4000 has a loading/unloading chamber 4002, a loading/unloading chamber 4004, and deposition chambers 4008 to 4010, the present invention is not limited to this. The film forming apparatus 4000 may be configured to have four or more film forming chambers. Further, the film forming apparatus 4000 may be of a single-wafer type, or may be of a batch type in which films are formed on a plurality of substrates at once.

<ALD装置>
次に、成膜装置4000に用いることができるALD装置の構成について、図14(B)を用いて説明する。ALD装置は、成膜室(チャンバー4020)と、原料供給部4021(原料供給部4021a、および4021b)、原料供給部4031と、導入量制御器である高速バルブ4022a、4022bと、原料導入口4023(原料導入口4023a、および4023b)、原料導入口4033と、原料排出口4024と、排気装置4025を有する。チャンバー4020内に設置される原料導入口4023a、4023b、および4033は供給管やバルブを介して原料供給部4021a、4021b、および4031とそれぞれ接続されており、原料排出口4024は、排出管やバルブや圧力調整器を介して排気装置4025と接続されている。
<ALD device>
Next, the structure of an ALD apparatus that can be used for the film formation apparatus 4000 is described with reference to FIG. 14B. The ALD apparatus includes a film forming chamber (chamber 4020), a raw material supply unit 4021 (raw material supply units 4021a and 4021b), a raw material supply unit 4031, high-speed valves 4022a and 4022b as introduction amount controllers, and a raw material introduction port 4023. (raw material introduction ports 4023 a and 4023 b ), a raw material introduction port 4033 , a raw material discharge port 4024 and an exhaust device 4025 . Raw material introduction ports 4023a, 4023b, and 4033 installed in the chamber 4020 are connected to the raw material supply units 4021a, 4021b, and 4031 via supply pipes and valves, respectively, and a raw material discharge port 4024 is connected to a discharge pipe and valves. It is connected to an exhaust device 4025 via a pressure regulator.

また、図14(B)に示すようにチャンバー4020にプラズマ発生装置4028を接続することにより、熱ALD法に加えて、プラズマALD法で成膜を行うことができる。プラズマ発生装置4028は、高周波電源に接続されたコイル4029を用いるICP型のプラズマ発生装置とするのが好ましい。高周波電源は、10kHz以上100MHz以下、好ましくは1MHz以上60MHz以下、より好ましくは10MHz以上60MHz以下の周波数を持った電力を出力することができる。例えば、13.56MHz、60MHzの周波数を持った電力を出力することができる。プラズマALD法では、低温でも成膜レートを落とさず成膜ができるので、成膜効率の低い枚葉式の成膜装置で用いるとよい。 Further, by connecting a plasma generator 4028 to the chamber 4020 as shown in FIG. 14B, film formation can be performed by a plasma ALD method in addition to the thermal ALD method. The plasma generator 4028 is preferably an ICP type plasma generator using a coil 4029 connected to a high frequency power supply. The high-frequency power source can output power having a frequency of 10 kHz or more and 100 MHz or less, preferably 1 MHz or more and 60 MHz or less, more preferably 10 MHz or more and 60 MHz or less. For example, power with frequencies of 13.56 MHz and 60 MHz can be output. In the plasma ALD method, a film can be formed without lowering the film forming rate even at a low temperature. Therefore, it is preferable to use a single wafer type film forming apparatus having a low film forming efficiency.

チャンバー内部には基板ホルダ4026があり、その基板ホルダ4026上に基板4030を配置する。基板ホルダ4026には、一定の電位、または高周波が印加される機構が設けられていてもよい。あるいは、基板ホルダ4026は、フローティングでもよいし、接地されていてもよい。また、チャンバー外壁には、ヒータ4027が設けられており、チャンバー4020内部、基板ホルダ4026、および基板4030表面などの温度を制御することができる。ヒータ4027は、基板4030表面の温度を100℃以上500℃以下、好ましくは、200℃以上400℃以下に制御できることが好ましく、ヒータ4027自体の温度は100℃以上500℃以下に設定できることが好ましい。 Inside the chamber is a substrate holder 4026 on which a substrate 4030 is placed. The substrate holder 4026 may be provided with a mechanism for applying a constant potential or high frequency. Alternatively, substrate holder 4026 may be floating or grounded. A heater 4027 is provided on the outer wall of the chamber, and the temperature of the inside of the chamber 4020, the substrate holder 4026, the surface of the substrate 4030, and the like can be controlled. The heater 4027 can control the surface temperature of the substrate 4030 to 100° C. to 500° C., preferably 200° C. to 400° C., and the temperature of the heater 4027 itself can preferably be set to 100° C. to 500° C.

原料供給部4021a、4021b、および4031では、気化器や加熱手段などによって固体の原料や液体の原料から原料ガスを形成する。または、原料供給部4021a、4021b、および4031は、気体の原料ガスを供給する構成としてもよい。 In the raw material supply units 4021a, 4021b, and 4031, a raw material gas is formed from a solid raw material or a liquid raw material by vaporizers, heating means, or the like. Alternatively, the raw material supply units 4021a, 4021b, and 4031 may be configured to supply gaseous raw material gases.

また、図14(B)では、原料供給部4021を2つ、原料供給部4031を1つ設けている例を示しているが本実施の形態はこれに限定されない。原料供給部4021を1つ、または3つ以上設けてもよい。また原料供給部4031を2つ以上設けてもよい。また、高速バルブ4022a、4022bは時間で精密に制御することができ、原料供給部4021aから供給される原料ガスと原料供給部4021bから供給される原料ガスの供給を制御する構成となっている。 In addition, FIG. 14B shows an example in which two source material supply units 4021 and one source material supply unit 4031 are provided; however, this embodiment is not limited to this. One or three or more raw material supply units 4021 may be provided. Also, two or more raw material supply units 4031 may be provided. Further, the high-speed valves 4022a and 4022b can be precisely controlled by time, and are configured to control the supply of the raw material gas supplied from the raw material supply unit 4021a and the raw material gas supplied from the raw material supply unit 4021b.

図14(B)に示す成膜装置では、基板4030を基板ホルダ4026上に搬入し、チャンバー4020を密閉状態とした後、ヒータ4027により基板4030を所望の温度(例えば、100℃以上500℃以下、好ましくは200℃以上400℃以下)とし、原料供給部4021aから供給される原料ガスの供給と、排気装置4025による排気と、原料供給部4031から供給される原料ガスの供給と、排気装置4025による排気とを繰り返すことで薄膜を基板表面に形成する。また、該薄膜の形成において、さらに原料供給部4021bから供給される原料ガスの供給と、排気装置4025による排気を行ってもよい。ヒータ4027の温度は、形成される膜種、原料ガス、所望の膜質、基板や、そこの設けられている膜や素子の耐熱性に応じて適宜決定すればよい。例えば、ヒータ4027の温度を200℃以上300℃以下に設定して成膜してもよいし、300℃以上500℃以下に設定して成膜してもよい。 In the film forming apparatus shown in FIG. 14B, the substrate 4030 is loaded onto the substrate holder 4026, and the chamber 4020 is sealed. , preferably 200° C. or higher and 400° C. or lower). A thin film is formed on the substrate surface by repeating the evacuation by Further, in the formation of the thin film, the raw material gas supplied from the raw material supply unit 4021b and the evacuation by the exhaust device 4025 may be further performed. The temperature of the heater 4027 may be appropriately determined according to the type of film to be formed, source gas, desired film quality, and heat resistance of the substrate, films provided thereon, and elements. For example, the temperature of the heater 4027 may be set to 200° C. to 300° C. or 300° C. to 500° C. for deposition.

ヒータ4027を用いて基板4030を加熱しながら成膜することで、後工程で必要な基板4030の加熱処理を省略することができる。すなわち、ヒータ4027が設けられたチャンバー4020、または成膜装置4000を用いることで、基板4030上の膜の形成と、基板4030の加熱処理を兼ねることができる。 By forming a film while heating the substrate 4030 with the use of the heater 4027, heat treatment of the substrate 4030 required in a later process can be omitted. That is, by using the chamber 4020 provided with the heater 4027 or the deposition apparatus 4000, formation of a film over the substrate 4030 and heat treatment of the substrate 4030 can be performed simultaneously.

図14(B)に示す成膜装置では、原料供給部4021、および原料供給部4031で用いる原料(揮発性有機金属化合物など)を適宜選択することにより、金属酸化物を形成することができる。金属酸化物として、インジウム、ガリウム、亜鉛を含むIn-Ga-Zn酸化物を形成する場合、少なくとも3つの原料供給部4021と、少なくとも1つの原料供給部4031が設けられた成膜装置を用いることが好ましい。第1の原料供給部4021からインジウムを含むプリカーサが供給され、第2の原料供給部4021からガリウムを含むプリカーサが供給され、第3の原料供給部4021から亜鉛を含むプリカーサが供給されることが好ましい。金属酸化物の形成に、ガリウムおよび亜鉛を含むプリカーサを用いる場合、原料供給部4021は、少なくとも2つ設けられればよい。インジウムを含むプリカーサ、ガリウムを含むプリカーサ、および亜鉛を含むプリカーサとして、それぞれ前述したプリカーサを用いることができる。 In the deposition apparatus shown in FIG. 14B, a metal oxide can be formed by appropriately selecting materials (such as a volatile organometallic compound) used in the material supply portion 4021 and the material supply portion 4031 . In the case of forming an In--Ga--Zn oxide containing indium, gallium, and zinc as the metal oxide, a deposition apparatus provided with at least three source supply units 4021 and at least one source supply unit 4031 is used. is preferred. A precursor containing indium may be supplied from the first raw material supply unit 4021 , a precursor containing gallium may be supplied from the second raw material supply unit 4021 , and a precursor containing zinc may be supplied from the third raw material supply unit 4021 . preferable. When a precursor containing gallium and zinc is used to form the metal oxide, at least two raw material supply units 4021 may be provided. As the indium-containing precursor, the gallium-containing precursor, and the zinc-containing precursor, the precursors described above can be used.

また、原料供給部4031からは、リアクタントが供給される。リアクタントとして、オゾン、酸素、水の少なくとも1つを含む酸化剤を用いることができる。 A reactant is supplied from the raw material supply unit 4031 . An oxidant containing at least one of ozone, oxygen, and water can be used as a reactant.

また、原料供給部4021a、4021b、および4031で用いる原料(揮発性有機金属化合物など)を適宜選択することにより、ハフニウム、アルミニウム、タンタル、ジルコニウム等から選択された一種以上の元素を含む酸化物(複合酸化物も含む)を含んで構成される絶縁層を成膜することができる。具体的には、酸化ハフニウムを含んで構成される絶縁層、酸化アルミニウムを含んで構成される絶縁層、ハフニウムシリケートを含んで構成される絶縁層、またはアルミニウムシリケートを含んで構成される絶縁層などを成膜することができる。また、原料供給部4021a、4021b、および4031で用いる原料(揮発性有機金属化合物など)を適宜選択することにより、タングステン層、チタン層などの金属層や、窒化チタン層などの窒化物層などの薄膜を成膜することもできる。 By appropriately selecting the raw materials (such as volatile organometallic compounds) used in the raw material supply units 4021a, 4021b, and 4031, oxides containing one or more elements selected from hafnium, aluminum, tantalum, zirconium, and the like ( It is possible to form an insulating layer containing a complex oxide). Specifically, an insulating layer containing hafnium oxide, an insulating layer containing aluminum oxide, an insulating layer containing hafnium silicate, an insulating layer containing aluminum silicate, or the like can be deposited. In addition, by appropriately selecting the raw materials (such as volatile organometallic compounds) used in the raw material supply units 4021a, 4021b, and 4031, metal layers such as a tungsten layer and a titanium layer, and nitride layers such as a titanium nitride layer can be formed. Thin films can also be deposited.

例えば、ALD装置により酸化ハフニウム層を形成する場合には、溶媒とハフニウム前駆体化合物を含む液体(ハフニウムアルコキシドや、テトラキスジメチルアミドハフニウム(TDMAHf)などのハフニウムアミド)を気化させた第1の原料ガスと、酸化剤としてオゾン(O)および酸素(O)の第2の原料ガスを用いる。この場合、原料供給部4021aから供給する第1の原料ガスがTDMAHfであり、原料供給部4031から供給する第2の原料ガスがオゾンおよび酸素となる。なお、テトラキスジメチルアミドハフニウムの化学式はHf[N(CHである。また、他の材料液としては、テトラキス(エチルメチルアミド)ハフニウムなどがある。また、第2の原料ガスとして、水を用いることができる。 For example, when a hafnium oxide layer is formed by an ALD apparatus, a first raw material gas obtained by vaporizing a liquid containing a solvent and a hafnium precursor compound (hafnium alkoxide or hafnium amide such as tetrakisdimethylamide hafnium (TDMAHf)) is used. and a second raw material gas of ozone (O 3 ) and oxygen (O 2 ) as oxidants. In this case, the first raw material gas supplied from the raw material supply unit 4021a is TDMAHf, and the second raw material gas supplied from the raw material supply unit 4031 is ozone and oxygen. The chemical formula of tetrakisdimethylamide hafnium is Hf[N(CH 3 ) 2 ] 4 . Other material liquids include tetrakis(ethylmethylamido)hafnium. Moreover, water can be used as the second raw material gas.

ALD装置により酸化アルミニウム層を形成する場合には、溶媒とアルミニウム前駆体化合物(TMA:トリメチルアルミニウムなど)を含む液体を気化させた第1の原料ガスと、酸化剤としてオゾン(O)および酸素(O)を含む第2の原料ガスを用いる。この場合、原料供給部4021aから供給する第1の原料ガスがTMAであり、原料供給部4031から供給する第2の原料ガスがオゾンおよび酸素となる。なお、トリメチルアルミニウムの化学式はAl(CHである。また、他の材料液としては、トリス(ジメチルアミド)アルミニウム、トリイソブチルアルミニウム、アルミニウムトリス(2,2,6,6-テトラメチル-3,5-ヘプタンジオナート)などがある。また、第2の原料ガスとして、水を用いることができる。 When forming an aluminum oxide layer by an ALD apparatus, a first raw material gas obtained by vaporizing a liquid containing a solvent and an aluminum precursor compound (TMA: trimethylaluminum, etc.), and ozone (O 3 ) and oxygen as oxidants. A second source gas containing (O 2 ) is used. In this case, the first raw material gas supplied from the raw material supply unit 4021a is TMA, and the second raw material gas supplied from the raw material supply unit 4031 is ozone and oxygen. The chemical formula of trimethylaluminum is Al(CH 3 ) 3 . Other material liquids include tris(dimethylamido)aluminum, triisobutylaluminum, and aluminum tris(2,2,6,6-tetramethyl-3,5-heptanedionate). Moreover, water can be used as the second raw material gas.

図15は、成膜装置4000に用いることができるALD装置の異なる構成について説明する。なお、図14(B)に示したALD装置と同様の構成や、その機能については詳細な説明を省略する場合がある。 FIG. 15 describes different configurations of an ALD apparatus that can be used in the deposition apparatus 4000. FIG. A detailed description of the same configuration and functions as those of the ALD apparatus shown in FIG. 14B may be omitted.

図15(A)はプラズマALD装置の一態様を示す模式図である。プラズマALD装置4100は、反応室4120と反応室4120上部に、プラズマ生成室4111が設けられている。反応室4120は、チャンバーと呼ぶことができる。または、反応室4120とプラズマ生成室4111を合わせてチャンバーと呼ぶことができる。反応室4120は、原料導入口4123と、原料排出口4124を有し、プラズマ生成室4111は、原料導入口4133を有する。また、プラズマ生成装置4128によりRF等の高周波や、マイクロ波をプラズマ生成室4111に導入されたガスに印加し、プラズマ生成室4111内にプラズマ4131を生成することができる。マイクロ波を用いてプラズマ4131を生成する場合、代表的には周波数2.45GHzのマイクロ波が用いられる。このようなマイクロ波を用いて生成されたプラズマをECR(Electron Cyclotron Resonance)プラズマと呼ぶ場合がある。また、反応室4120は、基板ホルダ4126を有し、その上に基板4130が配置される。原料導入口4123から導入された原料ガスは、反応室4120に設けられたヒータからの熱により分解され、基板4130上に堆積する。また、原料導入口4133から導入された原料ガスは、プラズマ生成装置4128によりプラズマ状態となる。プラズマ状態となった原料ガスは、基板4130表面に到達するまでに電子や他の分子と再結合し、ラジカル状態となり基板4130に到達する。このように、ラジカルを利用して成膜を行うALD装置を、ラジカルALD(Radical-Enhanced ALD)装置と呼ぶ場合もある。また、プラズマALD装置4100では、プラズマ生成室4111を反応室4120の上部に設ける構成を示しているが、本実施の形態はこれに限定されない。プラズマ生成室4111を反応室4120の側面に隣接して設けてもよい。 FIG. 15A is a schematic diagram showing one mode of a plasma ALD apparatus. A plasma ALD apparatus 4100 is provided with a reaction chamber 4120 and a plasma generation chamber 4111 above the reaction chamber 4120 . Reaction chamber 4120 can be referred to as a chamber. Alternatively, the reaction chamber 4120 and the plasma generation chamber 4111 can be collectively called a chamber. The reaction chamber 4120 has a raw material inlet 4123 and a raw material outlet 4124 , and the plasma generation chamber 4111 has a raw material inlet 4133 . In addition, plasma 4131 can be generated in the plasma generation chamber 4111 by applying high frequency such as RF or microwave to the gas introduced into the plasma generation chamber 4111 by the plasma generation device 4128 . When plasma 4131 is generated using microwaves, microwaves with a frequency of 2.45 GHz are typically used. Plasma generated using such microwaves is sometimes called ECR (Electron Cyclotron Resonance) plasma. The reaction chamber 4120 also has a substrate holder 4126 on which a substrate 4130 is placed. A source gas introduced from source inlet 4123 is decomposed by heat from a heater provided in reaction chamber 4120 and deposited on substrate 4130 . Also, the raw material gas introduced from the raw material introduction port 4133 is brought into a plasma state by the plasma generator 4128 . The plasma-state source gas recombines with electrons and other molecules before reaching the surface of the substrate 4130 , becomes a radical state, and reaches the substrate 4130 . Such an ALD apparatus that forms a film using radicals is sometimes called a radical ALD (Radical-Enhanced ALD) apparatus. Further, the plasma ALD apparatus 4100 shows a configuration in which the plasma generation chamber 4111 is provided above the reaction chamber 4120, but this embodiment is not limited to this. A plasma generation chamber 4111 may be provided adjacent to the side of the reaction chamber 4120 .

図15(B)はプラズマALD装置の一態様を示す模式図である。プラズマALD装置4200は、チャンバー4220を有している。チャンバー4220は、電極4213、原料排出口4224、基板ホルダ4226を有し、その上に基板4230が配置される。電極4213は、原料導入口4223と、導入された原料ガスをチャンバー4220内に供給するシャワーヘッド4214を有している。また、電極4213には、コンデンサ4217を介して高周波を印加できる電源4215が接続されている。基板ホルダ4226には、一定の電位、または高周波が印加される機構が設けられていてもよい。あるいは、基板ホルダ4226は、フローティングでもよいし、接地されていてもよい。電極4213、および基板ホルダ4226は、それぞれプラズマ4231を生成するための上部電極、および下部電極として機能する。原料導入口4223から導入された原料ガスは、チャンバー4220に設けられたヒータからの熱により分解され、基板4230上に堆積する。または、原料導入口4223から導入された原料ガスは、電極4213、および基板ホルダ4226の間でプラズマ状態となる。プラズマ状態となった原料ガスは、プラズマ4231と基板4230の間に生じる電位差(イオンシースともいう)により基板4230に入射する。 FIG. 15B is a schematic diagram showing one mode of a plasma ALD apparatus. A plasma ALD apparatus 4200 has a chamber 4220 . The chamber 4220 has an electrode 4213, a material outlet 4224, a substrate holder 4226, and a substrate 4230 is placed thereon. The electrode 4213 has a raw material inlet 4223 and a shower head 4214 for supplying the introduced raw material gas into the chamber 4220 . A power source 4215 capable of applying a high frequency is connected to the electrode 4213 via a capacitor 4217 . The substrate holder 4226 may be provided with a mechanism for applying a constant potential or high frequency. Alternatively, substrate holder 4226 may be floating or grounded. Electrode 4213 and substrate holder 4226 function as upper and lower electrodes for generating plasma 4231, respectively. A source gas introduced from source inlet 4223 is decomposed by heat from a heater provided in chamber 4220 and deposited on substrate 4230 . Alternatively, the raw material gas introduced from the raw material introduction port 4223 becomes a plasma state between the electrode 4213 and the substrate holder 4226 . A source gas in a plasma state is incident on the substrate 4230 due to a potential difference (also referred to as an ion sheath) generated between the plasma 4231 and the substrate 4230 .

図15(C)は、図15(B)とは異なるプラズマALD装置の一態様を示す模式図である。プラズマALD装置4300は、チャンバー4320を有している。チャンバー4320は、電極4313、原料排出口4324、基板ホルダ4326を有し、その上に基板4330が配置される。電極4313は、原料導入口4323と、導入された原料ガスをチャンバー4320内に供給するシャワーヘッド4314を有している。また、電極4313には、コンデンサ4317を介して高周波を印加できる電源4315が接続されている。基板ホルダ4326には、一定の電位、または高周波が印加される機構が設けられていてもよい。あるいは、基板ホルダ4326は、フローティングでもよいし、接地されていてもよい。電極4313、および基板ホルダ4326は、それぞれプラズマ4331を生成するための上部電極、および下部電極として機能する。プラズマALD装置4300は、電極4313と基板ホルダ4326の間に、コンデンサ4322を介して高周波を印加できる電源4321が接続されたメッシュ4319を有している点で、プラズマALD装置4200と異なる。メッシュ4319を設けることで、基板4130からプラズマ4231を離すことができる。原料導入口4323から導入された原料ガスは、チャンバー4320に設けられたヒータからの熱により分解され、基板4330上に堆積する。または、原料導入口4323から導入された原料ガスは、電極4313、および基板ホルダ4326の間でプラズマ状態となる。プラズマ状態となった原料ガスは、メッシュ4319により電荷が除去され、ラジカルなどの電気的に中性な状態で基板4130に到達する。このため、イオンの入射やプラズマによる損傷が抑制された成膜を行うことができる。 FIG. 15(C) is a schematic diagram showing one mode of a plasma ALD apparatus different from that in FIG. 15(B). A plasma ALD apparatus 4300 has a chamber 4320 . The chamber 4320 has an electrode 4313, a material outlet 4324, a substrate holder 4326, and a substrate 4330 is placed thereon. The electrode 4313 has a raw material introduction port 4323 and a shower head 4314 for supplying the introduced raw material gas into the chamber 4320 . A power supply 4315 capable of applying a high frequency is connected to the electrode 4313 via a capacitor 4317 . The substrate holder 4326 may be provided with a mechanism for applying a constant potential or high frequency. Alternatively, substrate holder 4326 may be floating or grounded. Electrode 4313 and substrate holder 4326 function as upper and lower electrodes for generating plasma 4331, respectively. The plasma ALD apparatus 4300 differs from the plasma ALD apparatus 4200 in that it has a mesh 4319 connected between the electrode 4313 and the substrate holder 4326 via a capacitor 4322 to a power source 4321 capable of applying high frequency. Plasma 4231 can be separated from substrate 4130 by providing mesh 4319 . A source gas introduced from source inlet 4323 is decomposed by heat from a heater provided in chamber 4320 and deposited on substrate 4330 . Alternatively, the raw material gas introduced from the raw material introduction port 4323 becomes a plasma state between the electrode 4313 and the substrate holder 4326 . The raw material gas in the plasma state has its charges removed by the mesh 4319 and reaches the substrate 4130 in an electrically neutral state such as radicals. Therefore, film formation can be performed in which damage due to ion incidence and plasma is suppressed.

<成膜シーケンス>
図16(A)に、図14(B)に示すALD装置を用いた成膜シーケンスを示す。まず、チャンバー4020内の基板ホルダ4026に基板4030をセットする(S101)。次に、ヒータ4027の温度調節を行う(S102)。次に、基板4030の温度が基板面内で一様になるように基板4030を基板ホルダ4026上で保持する(S103)。次に、前述の第1ステップ乃至第4ステップにより、成膜を行う。すなわち、チャンバー4020に第1の原料ガス、および第2の原料ガスを交互に導入し、基板4030上に成膜を行う(S104)。また、S103とS104の間に、チャンバー4020内部を酸素雰囲気にする処理を行ってもよい。基板4030のセット、および保持後に、チャンバー4020内部を酸素雰囲気とすることで、基板4030および基板4030上に設けられた膜に酸素を添加できる場合がある。また、成膜前の基板4030および基板4030上に設けられた膜から水素を脱離できる場合がある。基板4030中、または膜中の水素が、基板4030中、または膜中に添加された酸素と反応し、水(HO)となって基板4030、または膜から離脱する場合がある。
<Deposition sequence>
FIG. 16A shows a film forming sequence using the ALD apparatus shown in FIG. 14B. First, the substrate 4030 is set on the substrate holder 4026 inside the chamber 4020 (S101). Next, the temperature of the heater 4027 is adjusted (S102). Next, the substrate 4030 is held on the substrate holder 4026 so that the temperature of the substrate 4030 becomes uniform within the substrate surface (S103). Next, film formation is performed by the first to fourth steps described above. That is, the first source gas and the second source gas are alternately introduced into the chamber 4020 to form a film on the substrate 4030 (S104). Further, between S103 and S104, a process of making the inside of the chamber 4020 into an oxygen atmosphere may be performed. By setting the inside of the chamber 4020 to an oxygen atmosphere after the substrate 4030 is set and held, oxygen can be added to the substrate 4030 and a film provided over the substrate 4030 in some cases. In some cases, hydrogen can be released from the substrate 4030 before deposition and from the film provided over the substrate 4030 . In some cases, hydrogen in the substrate 4030 or the film reacts with oxygen added in the substrate 4030 or the film to become water (H 2 O) and leave the substrate 4030 or the film.

図16(B)は、上記成膜シーケンスの具体例を示している。上記S101乃至S103に従って、基板4030を基板ホルダ4026にセットし、ヒータ4027の温度調整、および基板4030の保持を行う。 FIG. 16B shows a specific example of the film formation sequence. According to S101 to S103 described above, the substrate 4030 is set on the substrate holder 4026, the temperature of the heater 4027 is adjusted, and the substrate 4030 is held.

次に、第1の原料ガス、および第2の原料ガスを交互に導入し、基板4030上に成膜を行う(S104)。第1の原料ガス、および第2の原料ガスの導入は、それぞれパルス状に行われる。図16(B)では、第1の原料ガス、および第2の原料ガスの導入をそれぞれONで示し、原料ガスが導入されていない期間をOFFで示している。第1の原料ガス、および第2の原料ガスが、いずれも導入されていない期間では、チャンバー4020内を排気する。チャンバー4020に第1の原料ガスを導入するパルス時間は、0.1秒以上1秒以下、好ましくは、0.1秒以上0.5秒以下とするのが好ましい。また、第1の原料ガスが導入されていない期間、すなわちチャンバー4020内を排気する時間は、1秒以上15秒以下、好ましくは、1秒以上5秒以下とする。チャンバー4020に第2の原料ガスを導入するパルス時間は、0.1秒以上30秒以下、好ましくは、0.3秒以上15秒以下とするのが好ましい。また、第2の原料ガスが導入されていない期間、すなわちチャンバー4020内を排気する時間は、1秒以上15秒以下、好ましくは、1秒以上5秒以下とする。 Next, the first source gas and the second source gas are alternately introduced to form a film on the substrate 4030 (S104). The first source gas and the second source gas are each introduced in a pulsed manner. In FIG. 16B, ON indicates introduction of the first source gas and second source gas, and OFF indicates a period in which the source gas is not introduced. The inside of the chamber 4020 is evacuated during the period in which neither the first source gas nor the second source gas is introduced. The pulse time for introducing the first source gas into the chamber 4020 is preferably 0.1 seconds or more and 1 second or less, preferably 0.1 seconds or more and 0.5 seconds or less. The period during which the first source gas is not introduced, that is, the period during which the chamber 4020 is evacuated is 1 second or more and 15 seconds or less, preferably 1 second or more and 5 seconds or less. The pulse time for introducing the second source gas into the chamber 4020 is preferably 0.1 seconds or more and 30 seconds or less, preferably 0.3 seconds or more and 15 seconds or less. The period during which the second source gas is not introduced, that is, the period during which the chamber 4020 is evacuated is 1 second or more and 15 seconds or less, preferably 1 second or more and 5 seconds or less.

成膜は、第1の原料ガスの導入(上記第1ステップ)、第1の原料ガスの排気(上記第2ステップ)、第2の原料ガスの導入(上記第3ステップ)、第2の原料ガスの排気(上記第4ステップ)を1サイクルとし、これを繰り返すことで、所望の膜厚を有する膜が形成される。 The film formation includes introduction of the first raw material gas (the first step above), exhaustion of the first raw material gas (the second step above), introduction of the second raw material gas (the third step above), and introduction of the second raw material. A film having a desired film thickness is formed by repeating gas exhaustion (the fourth step described above) as one cycle.

また、S103とS104の間に、チャンバー4020内部を酸素雰囲気にする処理を行う場合、チャンバー4020に第2の原料ガスを導入してもよい。第2の原料ガスとして、酸化剤として機能する、オゾン(O)、酸素(O)、および水(HO)から選ばれた一、または複数を導入するのが好ましい。本実施の形態では、第2の原料ガスとして、オゾン(O)、および酸素(O)を用いる。このとき、第2の原料ガスは、S104に示す方法と同様にパルス状に導入されることが好ましいが、本発明はこれに限らない。第2の原料ガスは、連続的に導入されてもよい。第2の原料ガスが導入されていない期間では、チャンバー4020内を排気する。チャンバー4020に第2の原料ガスを導入するパルス時間は、0.1秒以上30秒以下、好ましくは、0.3秒以上15秒以下とするのが好ましい。また、第2の原料ガスが導入されていない期間、すなわちチャンバー4020内を排気する時間は、1秒以上15秒以下、好ましくは、1秒以上5秒以下とする。チャンバー4020に酸化剤などの第2の原料ガスを導入することで、基板4030、または基板4030上に設けられた膜は、酸化剤などの第2の原料ガスに曝される。 Further, in the case where the process of making the inside of the chamber 4020 into an oxygen atmosphere is performed between S103 and S104, the second source gas may be introduced into the chamber 4020. FIG. As the second raw material gas, one or more selected from ozone (O 3 ), oxygen (O 2 ) and water (H 2 O), which function as an oxidizing agent, is preferably introduced. In this embodiment, ozone (O 3 ) and oxygen (O 2 ) are used as the second source gas. At this time, the second source gas is preferably introduced in a pulsed manner as in the method shown in S104, but the present invention is not limited to this. The second source gas may be introduced continuously. The inside of the chamber 4020 is evacuated during the period in which the second source gas is not introduced. The pulse time for introducing the second source gas into the chamber 4020 is preferably 0.1 seconds or more and 30 seconds or less, preferably 0.3 seconds or more and 15 seconds or less. The period during which the second source gas is not introduced, that is, the period during which the chamber 4020 is evacuated is 1 second or more and 15 seconds or less, preferably 1 second or more and 5 seconds or less. By introducing the second source gas such as the oxidant into the chamber 4020, the substrate 4030 or the film provided over the substrate 4030 is exposed to the second source gas such as the oxidant.

なお、基板4030のセット(S101)後に、ヒータ4027の温度調節が不要な場合は省略してもよい。また、基板4030の保持(S103)後に、チャンバー4020内部を酸素雰囲気にする必要が無い場合は、省略してもよい。 Note that if the temperature control of the heater 4027 is unnecessary after setting the substrate 4030 (S101), it may be omitted. Further, if there is no need to change the inside of the chamber 4020 to an oxygen atmosphere after holding the substrate 4030 (S103), it may be omitted.

図16(C)は、プリカーサを含む原料ガスを複数種類用いて成膜する場合のシーケンスの例を示す。図16(C)では、プリカーサを含む原料ガスを、第1の原料ガス、第3の原料ガス、および第4の原料ガスとし、酸化剤を含む原料ガスを第2の原料ガスとしている。上記S101乃至S103に従って、基板4030を基板ホルダ4026にセットし、ヒータ4027の温度調整、および基板4030の保持を行う。 FIG. 16C shows an example of a sequence for film formation using a plurality of kinds of source gases containing precursors. In FIG. 16C, the precursor-containing source gas is the first source gas, the third source gas, and the fourth source gas, and the oxidant-containing source gas is the second source gas. According to S101 to S103 described above, the substrate 4030 is set on the substrate holder 4026, the temperature of the heater 4027 is adjusted, and the substrate 4030 is held.

次に、第1の原料ガス、第2の原料ガス、第3の原料ガス、第2の原料ガス、第4の原料ガス、および第2の原料ガスを順次導入して、基板4030上に成膜を行う(S104)。第1の原料ガス乃至第4の原料ガスの導入は、それぞれパルス状に行われる。図16(C)では、第1の原料ガス乃至第4の原料ガスの導入をそれぞれONで示し、原料ガスが導入されていない期間をOFFで示している。第1の原料ガス乃至第4の原料ガスが、いずれも導入されていない期間では、チャンバー4020内を排気する。チャンバー4020に第1の原料ガス、第3の原料ガス、および第4の原料ガスを導入するパルス時間は、0.1秒以上1秒以下、好ましくは、0.1秒以上0.5秒以下とするのが好ましい。また、第1の原料ガス、第3の原料ガス、および第4の原料ガスが導入されていない期間、すなわちチャンバー4020内を排気する時間は、1秒以上15秒以下、好ましくは、1秒以上5秒以下とする。チャンバー4020に第2の原料ガスを導入するパルス時間は、0.1秒以上30秒以下、好ましくは、0.3秒以上15秒以下とするのが好ましい。また、第2の原料ガスが導入されていない期間、すなわちチャンバー4020内を排気する時間は、1秒以上15秒以下、好ましくは、1秒以上5秒以下とする。 Next, a first source gas, a second source gas, a third source gas, a second source gas, a fourth source gas, and a second source gas are sequentially introduced to form a film on the substrate 4030 . A film is formed (S104). The introduction of the first source gas to the fourth source gas is performed in a pulsed manner. In FIG. 16C, the introduction of the first source gas to the fourth source gas is indicated by ON, and the period in which the source gas is not introduced is indicated by OFF. The inside of the chamber 4020 is exhausted during a period in which none of the first to fourth source gases is introduced. The pulse time for introducing the first source gas, the third source gas, and the fourth source gas into the chamber 4020 is 0.1 seconds or more and 1 second or less, preferably 0.1 seconds or more and 0.5 seconds or less. is preferable. In addition, the period during which the first source gas, the third source gas, and the fourth source gas are not introduced, that is, the time during which the chamber 4020 is evacuated is 1 second or more and 15 seconds or less, preferably 1 second or more. 5 seconds or less. The pulse time for introducing the second source gas into the chamber 4020 is preferably 0.1 seconds or more and 30 seconds or less, preferably 0.3 seconds or more and 15 seconds or less. The period during which the second source gas is not introduced, that is, the period during which the chamber 4020 is evacuated is 1 second or more and 15 seconds or less, preferably 1 second or more and 5 seconds or less.

成膜は、第1の原料ガスの導入、第1の原料ガスの排気、第2の原料ガスの導入、第2の原料ガスの排気、第3の原料ガスの導入、第3の原料ガスの排気、第2の原料ガスの導入、第2の原料ガスの排気、第4の原料ガスの導入、第4の原料ガスの排気、第2の原料ガスの導入、第2の原料ガスの排気を1サイクルとし、これを繰り返すことで、所望の膜厚を有する膜が形成される。 Film formation is carried out by introducing a first raw material gas, discharging a first raw material gas, introducing a second raw material gas, discharging a second raw material gas, introducing a third raw material gas, and discharging a third raw material gas. exhaust, introduction of the second source gas, exhaust of the second source gas, introduction of the fourth source gas, exhaust of the fourth source gas, introduction of the second source gas, and exhaust of the second source gas A film having a desired film thickness is formed by repeating one cycle.

例えば、第1の原料ガスがインジウムを含むプリカーサを含み、第3の原料ガスがガリウムを含むプリカーサを含み、第4の原料ガスが亜鉛を含むプリカーサを含む場合、図16(C)に示すシーケンスによりIn-Ga-Zn酸化物を形成することができる。 For example, when the first source gas contains a precursor containing indium, the third source gas contains a precursor containing gallium, and the fourth source gas contains a precursor containing zinc, the sequence shown in FIG. can form an In--Ga--Zn oxide.

なお、図16(C)に示すシーケンスにおいて、第1の原料ガス、第3の原料ガス、および第4の原料ガスの導入順序は、これに限定されない。また、1サイクル中の第1の原料ガス、第3の原料ガス、および第4の原料ガスの導入回数は1回とは限らない。ある原料ガスを、1サイクル中に複数回導入することで、その原料ガスに含まれる金属元素の濃度が高い膜を形成することができる。すなわち、それぞれのガスの導入回数を変えることで、形成される膜の原子数比を制御することができる。また、第1の原料ガス、第3の原料ガス、および第4の原料ガス、あるいはこれら原料ガスから選ばれた2種類の原料ガスを同時にチャンバー4020に導入してもよい。 Note that in the sequence shown in FIG. 16C, the order of introduction of the first source gas, the third source gas, and the fourth source gas is not limited to this. Moreover, the number of introductions of the first source gas, the third source gas, and the fourth source gas during one cycle is not limited to one. By introducing a source gas multiple times in one cycle, a film having a high concentration of metal elements contained in the source gas can be formed. That is, by changing the number of times each gas is introduced, the atomic ratio of the formed film can be controlled. Also, the first source gas, the third source gas, and the fourth source gas, or two types of source gases selected from these source gases may be introduced into the chamber 4020 at the same time.

本実施の形態は、他の実施の形態および実施例などに記載した構成と適宜組み合わせて実施することが可能である。 This embodiment can be implemented in appropriate combination with structures described in other embodiments, examples, and the like.

(実施の形態3)
本実施の形態では、半導体装置の一形態を、図18乃至図23を用いて説明する。
(Embodiment 3)
In this embodiment, one mode of a semiconductor device will be described with reference to FIGS.

[記憶装置1]
本発明の一態様である容量素子を使用した、半導体装置(記憶装置)の一例を図18に示す。本発明の一態様の半導体装置は、トランジスタ200はトランジスタ300の上方に設けられ、容量素子100はトランジスタ200の上方に設けられている。容量素子100、またはトランジスタ300は、少なくとも一部がトランジスタ200と重畳することが好ましい。これにより、容量素子100、トランジスタ200、およびトランジスタ300の上面視における占有面積を低減することができるので、本実施の形態に係る半導体装置を微細化または高集積化させることができる。なお、本実施の形態に係る半導体装置は、例えば、CPU(Central Processing Unit)またはGPU(Graphics Processing Unit)に代表されるロジック回路、あるいはDRAM(Dynamic Random Access Memory)またはNVM(Non-Volatile Memory)に代表されるメモリ回路に適用することができる。
[Storage device 1]
FIG. 18 illustrates an example of a semiconductor device (memory device) using a capacitor that is one embodiment of the present invention. In the semiconductor device of one embodiment of the present invention, the transistor 200 is provided above the transistor 300 and the capacitor 100 is provided above the transistor 200 . At least part of the capacitor 100 or the transistor 300 preferably overlaps with the transistor 200 . As a result, the area occupied by the capacitive element 100, the transistor 200, and the transistor 300 in a top view can be reduced, so that the semiconductor device according to this embodiment can be miniaturized or highly integrated. The semiconductor device according to the present embodiment is, for example, a logic circuit represented by a CPU (Central Processing Unit) or GPU (Graphics Processing Unit), or a DRAM (Dynamic Random Access Memory) or NVM (Non-Volatile Memory). can be applied to a memory circuit represented by

なお、トランジスタ200として、先の実施の形態で説明したトランジスタ200を用いることができる。よって、トランジスタ200、およびトランジスタ200を含む層については、先の実施の形態の記載を参酌することができる。 Note that the transistor 200 described in the above embodiment can be used as the transistor 200 . Therefore, the description in the above embodiment can be referred to for the transistor 200 and the layer including the transistor 200 .

トランジスタ200は、酸化物半導体を有する半導体層にチャネルが形成されるトランジスタである。トランジスタ200は、オフ電流が小さいため、これを記憶装置に用いることにより長期にわたり記憶内容を保持することが可能である。つまり、リフレッシュ動作を必要としない、あるいは、リフレッシュ動作の頻度が極めて少ないため、記憶装置の消費電力を十分に低減することができる。また、半導体層にシリコンを用いるトランジスタと比較して、トランジスタ200は、高温における電気特性が良好である。例えば、トランジスタ200は、125℃乃至150℃の温度範囲においても良好な電気特性を示す。また、125℃乃至150℃の温度範囲において、トランジスタ200は、トランジスタのオン/オフ比が10桁以上を有する。別言すると、半導体層にシリコンを用いるトランジスタと比較して、トランジスタ200は、トランジスタ特性の一例であるオン電流、周波数特性などが高温になるほど優れた特性を有する。 The transistor 200 is a transistor whose channel is formed in a semiconductor layer including an oxide semiconductor. Since the transistor 200 has a low off-state current, when it is used for a memory device, stored data can be retained for a long time. That is, since the refresh operation is not required or the frequency of the refresh operation is extremely low, the power consumption of the memory device can be sufficiently reduced. In addition, the transistor 200 has better electrical characteristics at high temperatures than a transistor using silicon for a semiconductor layer. For example, the transistor 200 exhibits good electrical characteristics even in the temperature range of 125.degree. C. to 150.degree. Also, in the temperature range of 125° C. to 150° C., the transistor 200 has a transistor on/off ratio of ten orders of magnitude or more. In other words, the transistor 200 has better characteristics such as on current and frequency characteristics, which are examples of transistor characteristics, as the temperature rises, compared to a transistor using silicon for a semiconductor layer.

図18に示す半導体装置において、配線1001はトランジスタ300のソースと電気的に接続され、配線1002はトランジスタ300のドレインと電気的に接続され、配線1007はトランジスタ300のゲートと電気的に接続されている。また、配線1003はトランジスタ200のソースおよびドレインの一方と電気的に接続され、配線1004はトランジスタ200の第1のゲートと電気的に接続され、配線1006はトランジスタ200の第2のゲートと電気的に接続されている。そして、トランジスタ200のソースおよびドレインの他方は、容量素子100の電極の一方と電気的に接続され、配線1005は容量素子100の電極の他方と電気的に接続されている。 In the semiconductor device shown in FIG. 18, a wiring 1001 is electrically connected to the source of the transistor 300, a wiring 1002 is electrically connected to the drain of the transistor 300, and a wiring 1007 is electrically connected to the gate of the transistor 300. there is A wiring 1003 is electrically connected to one of the source and the drain of the transistor 200, a wiring 1004 is electrically connected to the first gate of the transistor 200, and a wiring 1006 is electrically connected to the second gate of the transistor 200. It is connected to the. The other of the source and drain of the transistor 200 is electrically connected to one of the electrodes of the capacitor 100 , and the wiring 1005 is electrically connected to the other of the electrodes of the capacitor 100 .

図18に示す半導体装置は、トランジスタ200のスイッチングによって、容量素子100の電極の一方に充電された電荷が保持可能という特性を有することで、情報の書き込み、保持、読み出しが可能である。また、トランジスタ200は、ソース、第1のゲート、ドレインに加え、第2のゲートが設けられた素子である。すなわち、4端子素子であるため、MTJ(Magnetic Tunnel Junction)特性を利用したMRAM(Magnetoresistive Random Access Memory)、ReRAM(Resistive Random Access Memory)、相変化メモリ(Phase-change memory)などに代表される2端子素子と比較して、入出力の独立制御が簡便に行うことができるといった特徴を有する。また、MRAM、ReRAM、相変化メモリは、情報の書き換えの際に、原子レベルで構造変化が生じる場合がある。一方で図18に示す半導体装置は、情報の書き換えの際にトランジスタ及び容量素子を利用した電子のチャージ、またはディスチャージにより動作するため、繰り返し書き換え耐性に優れ、構造変化も少ないといった特徴を有する。 The semiconductor device illustrated in FIG. 18 has a characteristic that electric charge charged in one electrode of the capacitor 100 can be held by switching of the transistor 200, so that information can be written, held, and read. Further, the transistor 200 is an element provided with a second gate in addition to a source, a first gate, and a drain. That is, since it is a 4-terminal element, it is represented by MRAM (Magnetoresistive Random Access Memory), ReRAM (Resistive Random Access Memory), Phase-change memory, etc. using MTJ (Magnetic Tunnel Junction) characteristics. It has a feature that independent control of input and output can be easily performed as compared with the terminal element. In addition, MRAM, ReRAM, and phase change memory may undergo structural changes at the atomic level when information is rewritten. On the other hand, the semiconductor device shown in FIG. 18 operates by charging or discharging electrons using a transistor and a capacitive element when rewriting information, and thus has excellent resistance to repetitive rewriting and little structural change.

また、図18に示す半導体装置は、マトリクス状に配置することで、メモリセルアレイを構成することができる。この場合、トランジスタ300は、当該メモリセルアレイに接続される読み出し回路、または駆動回路などとして用いることができる。また、図18に示す半導体装置は、上述のようにメモリセルアレイを構成している。図18に示す半導体装置をメモリ素子として用いた場合、例えば、駆動電圧が2.5V、評価環境温度が-40℃乃至85℃の範囲において、200MHz以上の動作周波数を実現することができる。 Further, the semiconductor devices illustrated in FIG. 18 can form a memory cell array by being arranged in a matrix. In this case, the transistor 300 can be used as a reading circuit, a driver circuit, or the like connected to the memory cell array. Also, the semiconductor device shown in FIG. 18 forms a memory cell array as described above. When the semiconductor device shown in FIG. 18 is used as a memory element, for example, an operating frequency of 200 MHz or higher can be achieved at a drive voltage of 2.5 V and an evaluation environmental temperature in the range of -40.degree. C. to 85.degree.

<トランジスタ300>
トランジスタ300は、基板311上に設けられ、ゲート電極として機能する導電体316、ゲート絶縁体として機能する絶縁体315、基板311の一部からなる半導体領域313、ならびにソース領域またはドレイン領域として機能する低抵抗領域314a、および低抵抗領域314bを有する。
<Transistor 300>
The transistor 300 is provided on a substrate 311 and includes a conductor 316 functioning as a gate electrode, an insulator 315 functioning as a gate insulator, a semiconductor region 313 consisting of part of the substrate 311, and functioning as a source or drain region. It has a low resistance region 314a and a low resistance region 314b.

ここで、半導体領域313の上に絶縁体315が配置され、絶縁体315の上に導電体316が配置される。また、同じ層に形成されるトランジスタ300は、素子分離絶縁層として機能する絶縁体312によって、電気的に分離されている。絶縁体312は、後述する絶縁体326などと同様の絶縁体を用いることができる。トランジスタ300は、pチャネル型、あるいはnチャネル型のいずれでもよい。 Here, an insulator 315 is placed over the semiconductor region 313 and a conductor 316 is placed over the insulator 315 . In addition, the transistors 300 formed in the same layer are electrically isolated by an insulator 312 functioning as an element isolation insulating layer. As the insulator 312, an insulator similar to the insulator 326 described later or the like can be used. Transistor 300 can be either p-channel or n-channel.

基板311は、半導体領域313のチャネルが形成される領域、その近傍の領域、ソース領域、またはドレイン領域となる低抵抗領域314a、および低抵抗領域314bなどにおいて、シリコン系半導体などの半導体を含むことが好ましく、単結晶シリコンを含むことが好ましい。または、Ge(ゲルマニウム)、SiGe(シリコンゲルマニウム)、GaAs(ガリウムヒ素)、GaAlAs(ガリウムアルミニウムヒ素)などを有する材料で形成してもよい。結晶格子に応力を与え、格子間隔を変化させることで有効質量を制御したシリコンを用いた構成としてもよい。またはGaAsとGaAlAs等を用いることで、トランジスタ300をHEMT(High Electron Mobility Transistor)としてもよい。 The substrate 311 contains a semiconductor such as a silicon-based semiconductor in the region where the channel of the semiconductor region 313 is formed, the region in the vicinity thereof, the low-resistance regions 314a and 314b serving as the source region or the drain region, and the like. is preferred, and preferably contains single crystal silicon. Alternatively, a material including Ge (germanium), SiGe (silicon germanium), GaAs (gallium arsenide), GaAlAs (gallium aluminum arsenide), or the like may be used. A structure using silicon in which the effective mass is controlled by applying stress to the crystal lattice and changing the lattice spacing may be used. Alternatively, the transistor 300 may be a HEMT (High Electron Mobility Transistor) by using GaAs, GaAlAs, or the like.

低抵抗領域314a、および低抵抗領域314bは、半導体領域313に適用される半導体材料に加え、ヒ素、リンなどのn型の導電性を付与する元素、またはホウ素などのp型の導電性を付与する元素を含む。 The low-resistance region 314a and the low-resistance region 314b are made of an element imparting n-type conductivity such as arsenic or phosphorus, or an element imparting p-type conductivity such as boron in addition to the semiconductor material applied to the semiconductor region 313. contains elements that

ゲート電極として機能する導電体316は、ヒ素、リンなどのn型の導電性を付与する元素、もしくはホウ素などのp型の導電性を付与する元素を含むシリコンなどの半導体材料、金属材料、合金材料、または金属酸化物材料などの導電性材料を用いることができる。 The conductor 316 functioning as a gate electrode is a semiconductor material such as silicon containing an element imparting n-type conductivity such as arsenic or phosphorus or an element imparting p-type conductivity such as boron, a metal material, or an alloy. material, or a conductive material such as a metal oxide material can be used.

なお、導電体の材料により、仕事関数が定まるため、導電体の材料を変更することで、しきい値電圧を調整することができる。具体的には、導電体に窒化チタンや窒化タンタルなどの材料を用いることが好ましい。さらに導電性と埋め込み性を両立するために導電体にタングステンやアルミニウムなどの金属材料を積層として用いることが好ましく、特にタングステンを用いることが耐熱性の点で好ましい。 Since the work function is determined by the material of the conductor, the threshold voltage can be adjusted by changing the material of the conductor. Specifically, it is preferable to use a material such as titanium nitride or tantalum nitride for the conductor. Furthermore, in order to achieve both conductivity and embeddability, it is preferable to use a metal material such as tungsten or aluminum as a laminate for the conductor, and it is particularly preferable to use tungsten from the viewpoint of heat resistance.

ここで、図18に示すトランジスタ300はチャネルが形成される半導体領域313(基板311の一部)が凸形状を有する。また、半導体領域313の側面および上面を、絶縁体315を介して、導電体316が覆うように設けられている。このようなトランジスタ300は半導体基板の凸部を利用していることからFIN型トランジスタとも呼ばれる。なお、凸部の上部に接して、凸部を形成するためのマスクとして機能する絶縁体を有していてもよい。また、ここでは半導体基板の一部を加工して凸部を形成する場合を示したが、SOI基板を加工して凸形状を有する半導体膜を形成してもよい。 Here, in the transistor 300 shown in FIG. 18, the semiconductor region 313 (part of the substrate 311) in which the channel is formed has a convex shape. A conductor 316 is provided to cover the side and top surfaces of the semiconductor region 313 with an insulator 315 interposed therebetween. Such a transistor 300 is also called a FIN transistor because it utilizes the projections of the semiconductor substrate. Note that an insulator that functions as a mask for forming the protrusion may be provided in contact with the upper portion of the protrusion. Further, here, the case where a part of the semiconductor substrate is processed to form a convex portion is shown, but a semiconductor film having a convex shape may be formed by processing an SOI substrate.

なお、図18に示すトランジスタ300は一例であり、その構造に限定されず、回路構成や駆動方法に応じて適切なトランジスタを用いればよい。 Note that the transistor 300 illustrated in FIG. 18 is an example, and the structure thereof is not limited, and an appropriate transistor may be used depending on the circuit configuration and driving method.

また、図18に示すように半導体装置は、トランジスタ300と、トランジスタ200とを、積層して設けている。例えば、トランジスタ300をシリコン系半導体材料で形成し、トランジスタ200を酸化物半導体で形成することができる。このように、図18に示す半導体装置は、シリコン系半導体材料と、酸化物半導体とを、異なるレイヤーに混載して形成することが可能である。また、図18に示す半導体装置は、シリコン系半導体材料で用いる製造装置と同様のプロセスで作製することが可能であり、高集積化することも可能である。 In addition, as shown in FIG. 18, the semiconductor device has a stacked transistor 300 and a transistor 200 . For example, the transistor 300 can be formed using a silicon-based semiconductor material, and the transistor 200 can be formed using an oxide semiconductor. In this manner, the semiconductor device shown in FIG. 18 can be formed by combining a silicon-based semiconductor material and an oxide semiconductor in different layers. Further, the semiconductor device shown in FIG. 18 can be manufactured by the same process as the manufacturing equipment used for silicon-based semiconductor materials, and can be highly integrated.

<容量素子>
容量素子100は、絶縁体160上の絶縁体114と、絶縁体114上の絶縁体140と、絶縁体114および絶縁体140に形成された開口の中に配置された導電体110と、導電体110および絶縁体140上の絶縁体130と、絶縁体130上の導電体120と、導電体120および絶縁体130上の絶縁体150と、を有する。ここで、絶縁体114および絶縁体140に形成された開口の中に導電体110、絶縁体130、および導電体120の少なくとも一部が配置される。
<Capacitor>
Capacitive element 100 includes insulator 114 on insulator 160, insulator 140 on insulator 114, conductor 110 disposed in an opening formed in insulator 114 and insulator 140, conductor Insulator 130 over 110 and insulator 140 , conductor 120 over insulator 130 , and insulator 150 over conductor 120 and insulator 130 . Here, at least a portion of conductor 110 , insulator 130 , and conductor 120 are placed in openings formed in insulator 114 and insulator 140 .

導電体110は容量素子100の下部電極として機能し、導電体120は容量素子100の上部電極として機能し、絶縁体130は、容量素子100の誘電体として機能する。容量素子100は、絶縁体114および絶縁体140の開口において、底面だけでなく、側面においても上部電極と下部電極とが誘電体を挟んで対向する構成となっており、単位面積当たりの静電容量を大きくすることができる。よって、当該開口の深さを深くするほど、容量素子100の静電容量を大きくすることができる。このように容量素子100の単位面積当たりの静電容量を大きくすることにより、半導体装置の微細化または高集積化を推し進めることができる。 The conductor 110 functions as the lower electrode of the capacitor 100 , the conductor 120 functions as the upper electrode of the capacitor 100 , and the insulator 130 functions as the dielectric of the capacitor 100 . The capacitive element 100 has a configuration in which the upper electrode and the lower electrode face each other with a dielectric interposed not only on the bottom surface but also on the side surfaces in the openings of the insulator 114 and the insulator 140. Capacity can be increased. Therefore, the capacitance of the capacitive element 100 can be increased as the depth of the opening is increased. By increasing the capacitance per unit area of the capacitive element 100 in this manner, miniaturization or high integration of the semiconductor device can be promoted.

絶縁体114、および絶縁体150は、絶縁体280に用いることができる絶縁体を用いればよい。また、絶縁体140は、絶縁体114の開口を形成するときのエッチングストッパとして機能することが好ましく、絶縁体212に用いることができる絶縁体を用いればよい。 An insulator that can be used for the insulator 280 may be used as the insulator 114 and the insulator 150 . In addition, the insulator 140 preferably functions as an etching stopper when the opening of the insulator 114 is formed, and an insulator that can be used for the insulator 212 may be used.

絶縁体114および絶縁体140に形成された開口を上面から見た形状は、四角形としてもよいし、四角形以外の多角形状としてもよいし、多角形状において角部を湾曲させた形状としてもよいし、楕円を含む円形状としてもよい。ここで、上面視において、当該開口とトランジスタ200の重なる面積が多い方が好ましい。このような構成にすることにより、容量素子100とトランジスタ200を有する半導体装置の占有面積を低減することができる。 The shape of the openings formed in the insulators 114 and 140 when viewed from above may be a quadrangle, a polygonal shape other than a quadrangle, or a polygonal shape with curved corners. , or a circular shape including an ellipse. Here, it is preferable that the opening and the transistor 200 overlap with each other in a large area when viewed from above. With such a structure, the area occupied by the semiconductor device including the capacitor 100 and the transistor 200 can be reduced.

導電体110は、絶縁体140、および絶縁体114に形成された開口に接して配置される。導電体110の上面は、絶縁体140の上面と略一致することが好ましい。また、導電体110の下面には、絶縁体160上に設けられた導電体152が接する。導電体110は、ALD法またはCVD法などを用いて成膜することが好ましく、例えば、導電体205に用いることができる導電体を用いればよい。 Conductor 110 is placed in contact with insulator 140 and openings formed in insulator 114 . Preferably, the top surface of the conductor 110 substantially coincides with the top surface of the insulator 140 . A conductor 152 provided on the insulator 160 is in contact with the lower surface of the conductor 110 . The conductor 110 is preferably formed by an ALD method, a CVD method, or the like. For example, a conductor that can be used for the conductor 205 may be used.

絶縁体130は、導電体110および絶縁体140を覆うように配置される。例えば、ALD法またはCVD法などを用いて絶縁体130を成膜することが好ましい。絶縁体130は、例えば、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコン、酸化ジルコニウム、酸化アルミニウム、酸化窒化アルミニウム、窒化酸化アルミニウム、窒化アルミニウム、酸化ハフニウム、酸化窒化ハフニウム、窒化酸化ハフニウム、窒化ハフニウムなどを用いればよく、積層または単層で設けることができる。例えば、絶縁体130として、酸化ジルコニウム、酸化アルミニウム、酸化ジルコニウムの順番で積層された絶縁膜を用いることができる。 Insulator 130 is arranged to cover conductor 110 and insulator 140 . For example, the insulator 130 is preferably formed using an ALD method, a CVD method, or the like. The insulator 130 is made of, for example, silicon oxide, silicon oxynitride, silicon oxynitride, silicon nitride, zirconium oxide, aluminum oxide, aluminum oxynitride, aluminum oxynitride, aluminum nitride, hafnium oxide, hafnium oxynitride, hafnium oxynitride, nitridation. Hafnium or the like may be used, and a stacked layer or a single layer can be provided. For example, as the insulator 130, an insulating film in which zirconium oxide, aluminum oxide, and zirconium oxide are stacked in this order can be used.

また、絶縁体130には、酸化窒化シリコンなどの絶縁耐力が大きい材料、または高誘電率(high-k)材料を用いることが好ましい。または、絶縁耐力が大きい材料と高誘電率(high-k)材料の積層構造を用いてもよい。 For the insulator 130, a material with high dielectric strength such as silicon oxynitride or a high dielectric constant (high-k) material is preferably used. Alternatively, a laminated structure of a material with high dielectric strength and a high dielectric constant (high-k) material may be used.

なお、高誘電率(high-k)材料(高い比誘電率の材料)の絶縁体としては、酸化ガリウム、酸化ハフニウム、酸化ジルコニウム、アルミニウムおよびハフニウムを有する酸化物、アルミニウムおよびハフニウムを有する酸化窒化物、シリコンおよびハフニウムを有する酸化物、シリコンおよびハフニウムを有する酸化窒化物、シリコンおよびハフニウムを有する窒化物などがある。このようなhigh-k材料を用いることで、絶縁体130を厚くしても容量素子100の静電容量を十分確保することができる。絶縁体130を厚くすることにより、導電体110と導電体120の間に生じるリーク電流を抑制することができる。 Note that insulators of high dielectric constant (high-k) materials (high dielectric constant materials) include gallium oxide, hafnium oxide, zirconium oxide, oxides containing aluminum and hafnium, and oxynitrides containing aluminum and hafnium. , oxides with silicon and hafnium, oxynitrides with silicon and hafnium, nitrides with silicon and hafnium, and the like. By using such a high-k material, the capacitance of the capacitor 100 can be sufficiently secured even if the insulator 130 is thick. By increasing the thickness of the insulator 130, leakage current generated between the conductors 110 and 120 can be suppressed.

一方、絶縁耐力が大きい材料としては、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコン、フッ素を添加した酸化シリコン、炭素を添加した酸化シリコン、炭素および窒素を添加した酸化シリコン、空孔を有する酸化シリコン、樹脂などがある。例えば、ALD法を用いて成膜した窒化シリコン(SiN)、プラズマALD法を用いて成膜した酸化シリコン(SiO)、ALD法を用いて成膜した窒化シリコン(SiN)の順番で積層された絶縁膜を用いることができる。このような、絶縁耐力が大きい絶縁体を用いることで、絶縁耐力が向上し、容量素子100の静電破壊を抑制することができる。 On the other hand, materials with high dielectric strength include silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide to which fluorine is added, silicon oxide to which carbon is added, silicon oxide to which carbon and nitrogen are added, and vacancies. silicon oxide, resin, etc. For example, in order of silicon nitride (SiN x ) deposited using the ALD method, silicon oxide (SiO x ) deposited using the plasma ALD method, and silicon nitride (SiN x ) deposited using the ALD method. A laminated insulating film can be used. By using such an insulator with high dielectric strength, dielectric strength is improved, and electrostatic breakdown of the capacitor 100 can be suppressed.

また、絶縁体130に、先の実施の形態で説明した第1のゲート絶縁体、または第2のゲート絶縁体と同様の構造を用いてもよい。 Alternatively, the insulator 130 may have a structure similar to that of the first gate insulator or the second gate insulator described in the above embodiment.

具体的には、酸化物230としてIn-Ga-Zn酸化物を用いる場合、絶縁体130としてガリウム酸化物、またはIn-Ga-Zn酸化物の中で酸化物230bよりも絶縁性が高い材料を含むことが好ましい。絶縁体130を構成する元素と、酸化物230を構成する元素が共通であるため、万が一、絶縁体130を構成する元素が、酸化物230へと拡散したとしても、酸化物230の低抵抗化の要因とならない。 Specifically, in the case where an In--Ga--Zn oxide is used as the oxide 230, the insulator 130 is gallium oxide or an In--Ga--Zn oxide that has a higher insulating property than the oxide 230b. preferably included. Since the element forming the insulator 130 and the element forming the oxide 230 are common, even if the element forming the insulator 130 diffuses into the oxide 230, the resistance of the oxide 230 is lowered. does not cause

特に、酸化ガリウムは、窒化シリコンよりも誘電率が高い高誘電率絶縁材料であり、いわゆるhigh-k材料である。半導体装置の微細化、および高集積化が進むと、誘電体の薄膜化により、リーク電流などの問題が生じる場合がある。誘電体として機能する絶縁体にhigh-k材料を用いることで、物理膜厚を保持したまま、誘電体の等価酸化膜厚(EOT)の薄膜化が可能となる。 In particular, gallium oxide is a high dielectric constant insulating material having a dielectric constant higher than that of silicon nitride, and is a so-called high-k material. As semiconductor devices become finer and more highly integrated, problems such as leakage current may occur due to thinner dielectrics. By using a high-k material for an insulator that functions as a dielectric, it is possible to reduce the equivalent oxide thickness (EOT) of the dielectric while maintaining the physical thickness.

また、絶縁体130は、絶縁体114などに形成された開口の底部および側面に形成される。また、誘電体として機能する絶縁体130の膜厚は、当該開口の底部、および属面で均一であることが好ましい。ALD法は、段差や凹凸を有する構造体に対して被覆性に優れた成膜方法である。よって、絶縁体130をALD法によって成膜することで、当該開口の底部、および側面で絶縁体130の膜厚を均一にすることができる。 Also, the insulator 130 is formed on the bottom and sides of the opening formed in the insulator 114 or the like. In addition, it is preferable that the thickness of the insulator 130 functioning as a dielectric is uniform on the bottom of the opening and on the side surfaces. The ALD method is a film forming method that is excellent in covering a structure having steps and unevenness. Therefore, by forming the insulator 130 by the ALD method, the thickness of the insulator 130 can be uniform at the bottom and side surfaces of the opening.

導電体120は、絶縁体140および絶縁体114に形成された開口を埋めるように配置される。また、導電体120は、導電体112、および導電体153を介して配線1005と電気的に接続している。導電体120は、ALD法またはCVD法などを用いて成膜することが好ましく、例えば、導電体205に用いることができる導電体を用いればよい。 Conductor 120 is arranged to fill the openings formed in insulator 140 and insulator 114 . In addition, the conductor 120 is electrically connected to the wiring 1005 through the conductors 112 and 153 . The conductor 120 is preferably formed by an ALD method, a CVD method, or the like. For example, a conductor that can be used for the conductor 205 may be used.

また、トランジスタ200は、酸化物半導体を用いる構成であるため、容量素子100との相性が優れている。具体的には、酸化物半導体を用いるトランジスタ200は、オフ電流が小さいため、容量素子100と組み合わせて用いることで長期にわたり記憶内容を保持することが可能である。 Further, since the transistor 200 includes an oxide semiconductor, compatibility with the capacitor 100 is excellent. Specifically, since the transistor 200 including an oxide semiconductor has low off-state current, it is possible to retain stored data for a long time by using the transistor 200 in combination with the capacitor 100 .

<配線層>
各構造体の間には、層間膜、配線、およびプラグ等が設けられた配線層が設けられていてもよい。また、配線層は、設計に応じて複数層設けることができる。ここで、プラグまたは配線として機能する導電体は、複数の構造をまとめて同一の符号を付与する場合がある。また、本明細書等において、配線と、配線と電気的に接続するプラグとが一体物であってもよい。すなわち、導電体の一部が配線として機能する場合、および導電体の一部がプラグとして機能する場合もある。
<Wiring layer>
A wiring layer provided with an interlayer film, a wiring, a plug, and the like may be provided between the structures. Also, the wiring layer can be provided in a plurality of layers depending on the design. Here, for conductors functioning as plugs or wiring, a plurality of structures may be grouped together and given the same reference numerals. Further, in this specification and the like, the wiring and the plug electrically connected to the wiring may be integrated. That is, there are cases where a part of the conductor functions as a wiring and a part of the conductor functions as a plug.

例えば、トランジスタ300上には、層間膜として、絶縁体320、絶縁体322、絶縁体324、および絶縁体326が順に積層して設けられている。また、絶縁体320、絶縁体322、絶縁体324、および絶縁体326には、端子として機能する導電体153と電気的に接続する導電体328、および導電体330等が埋め込まれている。なお、導電体328、および導電体330はプラグ、または配線として機能する。 For example, an insulator 320 , an insulator 322 , an insulator 324 , and an insulator 326 are stacked in this order over the transistor 300 as interlayer films. In the insulators 320, 322, 324, and 326, conductors 328, 330, and the like, which are electrically connected to the conductor 153 functioning as terminals, are embedded. Note that the conductors 328 and 330 function as plugs or wirings.

また、層間膜として機能する絶縁体は、その下方の凹凸形状を被覆する平坦化膜として機能してもよい。例えば、絶縁体322の上面は、平坦性を高めるために化学機械研磨(CMP)法等を用いた平坦化処理により平坦化されていてもよい。 Moreover, the insulator functioning as an interlayer film may function as a planarization film covering the uneven shape thereunder. For example, the top surface of the insulator 322 may be planarized by a chemical mechanical polishing (CMP) method or the like to improve planarity.

絶縁体326、および導電体330上に、配線層を設けてもよい。例えば、図18において、絶縁体350、絶縁体352、および絶縁体354が順に積層して設けられている。また、絶縁体350、絶縁体352、および絶縁体354には、導電体356が形成されている。導電体356は、プラグ、または配線として機能する。 A wiring layer may be provided over the insulator 326 and the conductor 330 . For example, in FIG. 18, an insulator 350, an insulator 352, and an insulator 354 are stacked in order. A conductor 356 is formed over the insulators 350 , 352 , and 354 . Conductor 356 functions as a plug or wiring.

絶縁体354、および導電体356上には、絶縁体360、絶縁体362、絶縁体212、および絶縁体216が順に積層して設けられている。また、絶縁体360、絶縁体362、絶縁体212、および絶縁体216には、導電体366、及びトランジスタ200を構成する導電体(導電体205)等が埋め込まれている。なお、導電体366は、トランジスタ300と電気的に接続するプラグ、または配線として機能する。 An insulator 360 , an insulator 362 , an insulator 212 , and an insulator 216 are stacked in this order over the insulator 354 and the conductor 356 . In addition, the insulator 360 , the insulator 362 , the insulator 212 , and the insulator 216 are embedded with a conductor 366 , a conductor forming the transistor 200 (the conductor 205 ), and the like. Note that the conductor 366 functions as a plug electrically connected to the transistor 300 or a wiring.

また、絶縁体114、絶縁体140、絶縁体130、絶縁体150、および絶縁体154には、導電体112、および容量素子100を構成する導電体(導電体120、導電体110)等が埋め込まれている。なお、導電体112は、容量素子100、トランジスタ200、またはトランジスタ300と、端子として機能する導電体153と、を電気的に接続するプラグ、または配線として機能する。 In addition, the insulator 114, the insulator 140, the insulator 130, the insulator 150, and the insulator 154 are embedded with the conductor 112, the conductors forming the capacitor 100 (the conductor 120 and the conductor 110), and the like. is Note that the conductor 112 functions as a plug or a wiring that electrically connects the capacitor 100, the transistor 200, or the transistor 300 and the conductor 153 functioning as a terminal.

また、絶縁体154上に導電体153が設けられ、導電体153は、絶縁体156に覆われている。ここで、導電体153は導電体112の上面に接しており、容量素子100、トランジスタ200、またはトランジスタ300の端子として機能する。 A conductor 153 is provided over the insulator 154 and is covered with an insulator 156 . Here, the conductor 153 is in contact with the top surface of the conductor 112 and functions as a terminal of the capacitor 100 , the transistor 200 , or the transistor 300 .

なお、層間膜として用いることができる絶縁体としては、絶縁性を有する酸化物、窒化物、酸化窒化物、窒化酸化物、金属酸化物、金属酸化窒化物、金属窒化酸化物などがある。例えば、層間膜として機能する絶縁体は、比誘電率が低い材料を用いることで、配線間に生じる寄生容量を低減することができる。したがって、絶縁体の機能に応じて、材料を選択するとよい。 Note that insulators that can be used as an interlayer film include insulating oxides, nitrides, oxynitrides, nitride oxides, metal oxides, metal oxynitrides, metal nitride oxides, and the like. For example, by using a material with a low dielectric constant for an insulator functioning as an interlayer film, parasitic capacitance generated between wirings can be reduced. Therefore, the material should be selected according to the function of the insulator.

例えば、絶縁体320、絶縁体322、絶縁体326、絶縁体352、絶縁体354、絶縁体362、絶縁体114、絶縁体150、絶縁体156等は、比誘電率の低い絶縁体を有することが好ましい。例えば、当該絶縁体は、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコン、フッ素を添加した酸化シリコン、炭素を添加した酸化シリコン、炭素および窒素を添加した酸化シリコン、空孔を有する酸化シリコン、樹脂などを有することが好ましい。または、当該絶縁体は、酸化シリコン、酸化窒化シリコン、窒化酸化シリコン、窒化シリコン、フッ素を添加した酸化シリコン、炭素を添加した酸化シリコン、炭素および窒素を添加した酸化シリコンまたは空孔を有する酸化シリコンと、樹脂と、の積層構造を有することが好ましい。酸化シリコンおよび酸化窒化シリコンは、熱的に安定であるため、樹脂と組み合わせることで、熱的に安定かつ比誘電率の低い積層構造とすることができる。樹脂としては、例えば、ポリエステル、ポリオレフィン、ポリアミド(ナイロン、アラミドなど)、ポリイミド、ポリカーボネート、アクリルなどがある。 For example, the insulator 320, the insulator 322, the insulator 326, the insulator 352, the insulator 354, the insulator 362, the insulator 114, the insulator 150, the insulator 156, and the like have an insulator with a low dielectric constant. is preferred. For example, the insulator includes silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide doped with fluorine, silicon oxide doped with carbon, silicon oxide doped with carbon and nitrogen, and silicon oxide with vacancies. , resin and the like. Alternatively, the insulator is silicon oxide, silicon oxynitride, silicon nitride oxide, silicon nitride, silicon oxide to which fluorine is added, silicon oxide to which carbon is added, silicon oxide to which carbon and nitrogen are added, or silicon oxide having vacancies. and resin. Since silicon oxide and silicon oxynitride are thermally stable, by combining them with a resin, a laminated structure that is thermally stable and has a low dielectric constant can be obtained. Examples of resin include polyester, polyolefin, polyamide (nylon, aramid, etc.), polyimide, polycarbonate, and acrylic.

また、導電体152または導電体153の上または下に設けられる絶縁体の抵抗率が1.0×1012Ωcm以上1.0×1015Ωcm以下、好ましくは5.0×1012Ωcm以上1.0×1014Ωcm以下、より好ましくは1.0×1013Ωcm以上5.0×1013Ωcm以下であることが好ましい。導電体152または導電体153の上または下に設けられる絶縁体の抵抗率を上記の範囲にすることで、当該絶縁体は、絶縁性を維持しつつ、トランジスタ200、トランジスタ300、容量素子100、および導電体152等の配線間に蓄積される電荷を分散し、該電荷によるトランジスタ、該トランジスタを有する半導体装置の特性不良や静電破壊を抑制することができ、好ましい。このような絶縁体として、窒化シリコン、または窒化酸化シリコンを用いることができる。例えば、絶縁体160または絶縁体154の抵抗率を上記の範囲にすればよい。 In addition, the resistivity of the insulator provided over or under the conductor 152 or the conductor 153 is 1.0×10 12 Ωcm to 1.0×10 15 Ωcm, preferably 5.0×10 12 Ωcm to 1.0×10 15 Ωcm. 0×10 14 Ωcm or less, more preferably 1.0×10 13 Ωcm or more and 5.0×10 13 Ωcm or less. By setting the resistivity of the insulator provided above or below the conductor 152 or the conductor 153 to be in the above range, the insulator can maintain its insulating property and the transistor 200, the transistor 300, the capacitor 100, and the electric charge accumulated between wirings such as the conductor 152 can be dispersed, and characteristic defects and electrostatic breakdown of the transistor and the semiconductor device including the transistor due to the electric charge can be suppressed. Silicon nitride or silicon nitride oxide can be used as such an insulator. For example, the resistivity of insulator 160 or insulator 154 may be set within the above range.

また、酸化物半導体を用いたトランジスタは、水素などの不純物および酸素の透過を抑制する機能を有する絶縁体で囲うことによって、トランジスタの電気特性を安定にすることができる。従って、絶縁体324、絶縁体350、絶縁体360等には、水素などの不純物および酸素の透過を抑制する機能を有する絶縁体を用いればよい。 In addition, when a transistor including an oxide semiconductor is surrounded by an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen, electrical characteristics of the transistor can be stabilized. Therefore, an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen may be used for the insulators 324, 350, 360, and the like.

水素などの不純物および酸素の透過を抑制する機能を有する絶縁体としては、例えば、ホウ素、炭素、窒素、酸素、フッ素、マグネシウム、アルミニウム、シリコン、リン、塩素、アルゴン、ガリウム、ゲルマニウム、イットリウム、ジルコニウム、ランタン、ネオジム、ハフニウムまたはタンタルを含む絶縁体を、単層で、または積層で用いればよい。具体的には、水素などの不純物および酸素の透過を抑制する機能を有する絶縁体として、酸化アルミニウム、酸化マグネシウム、酸化ガリウム、酸化ゲルマニウム、酸化イットリウム、酸化ジルコニウム、酸化ランタン、酸化ネオジム、酸化ハフニウムまたは酸化タンタルなどの金属酸化物、窒化酸化シリコンまたは窒化シリコンなどを用いることができる。 Examples of insulators having a function of suppressing permeation of impurities such as hydrogen and oxygen include boron, carbon, nitrogen, oxygen, fluorine, magnesium, aluminum, silicon, phosphorus, chlorine, argon, gallium, germanium, yttrium, and zirconium. Insulators including lanthanum, neodymium, hafnium, or tantalum may be used in single layers or stacks. Specifically, as an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen, aluminum oxide, magnesium oxide, gallium oxide, germanium oxide, yttrium oxide, zirconium oxide, lanthanum oxide, neodymium oxide, hafnium oxide, or A metal oxide such as tantalum oxide, silicon nitride oxide, silicon nitride, or the like can be used.

配線、プラグに用いることができる導電体としては、アルミニウム、クロム、銅、銀、金、白金、タンタル、ニッケル、チタン、モリブデン、タングステン、ハフニウム、バナジウム、ニオブ、マンガン、マグネシウム、ジルコニウム、ベリリウム、インジウム、ルテニウムなどから選ばれた金属元素を1種以上含む材料を用いることができる。また、リン等の不純物元素を含有させた多結晶シリコンに代表される、電気伝導度が高い半導体、ニッケルシリサイドなどのシリサイドを用いてもよい。 Conductors that can be used for wiring and plugs include aluminum, chromium, copper, silver, gold, platinum, tantalum, nickel, titanium, molybdenum, tungsten, hafnium, vanadium, niobium, manganese, magnesium, zirconium, beryllium, and indium. , ruthenium and the like can be used. Alternatively, a semiconductor with high electrical conductivity, typified by polycrystalline silicon containing an impurity element such as phosphorus, or a silicide such as nickel silicide may be used.

例えば、導電体328、導電体330、導電体356、導電体366、導電体112、導電体152、導電体153等としては、上記の材料で形成される金属材料、合金材料、金属窒化物材料、または金属酸化物材料などの導電性材料を、単層または積層して用いることができる。耐熱性と導電性を両立するタングステンやモリブデンなどの高融点材料を用いることが好ましく、タングステンを用いることが好ましい。または、アルミニウムや銅などの低抵抗導電性材料で形成することが好ましい。低抵抗導電性材料を用いることで配線抵抗を低くすることができる。 For example, the conductor 328, the conductor 330, the conductor 356, the conductor 366, the conductor 112, the conductor 152, the conductor 153, and the like are metal materials, alloy materials, and metal nitride materials formed of the above materials. , or a conductive material such as a metal oxide material can be used in single or stacked layers. It is preferable to use a high-melting-point material such as tungsten or molybdenum that has both heat resistance and conductivity, and it is preferable to use tungsten. Alternatively, it is preferably made of a low-resistance conductive material such as aluminum or copper. Wiring resistance can be reduced by using a low-resistance conductive material.

<酸化物半導体が設けられた層の配線、またはプラグ>
なお、トランジスタ200に、酸化物半導体を用いる場合、酸化物半導体の近傍に過剰酸素領域を有する絶縁体が設けられることがある。その場合、該過剰酸素領域を有する絶縁体と、該過剰酸素領域を有する絶縁体近傍に設ける導電体との間に、バリア性を有する絶縁体を設けることが好ましい。
<Wiring or Plug in Layer Provided with Oxide Semiconductor>
Note that when an oxide semiconductor is used for the transistor 200, an insulator having an excess oxygen region is provided near the oxide semiconductor in some cases. In that case, an insulator having a barrier property is preferably provided between the insulator having the excess oxygen region and the conductor provided near the insulator having the excess oxygen region.

例えば、図18では、過剰酸素を有する絶縁体280(絶縁体280aおよび絶縁体280b)と、導電体246との間に、絶縁体278を設けるとよい。また、絶縁体278と、絶縁体274とが接して設けるとよい。導電体246、およびトランジスタ200が、バリア性を有する絶縁体278よび絶縁体274によって、封止される構造とすることができる。 For example, in FIG. 18, an insulator 278 may be provided between the insulator 280 (insulator 280 a and insulator 280 b ) containing excess oxygen and the conductor 246 . Further, the insulator 278 and the insulator 274 are preferably provided in contact with each other. A structure in which the conductor 246 and the transistor 200 are sealed with insulators 278 and 274 having barrier properties can be employed.

つまり、絶縁体278を設けることで、絶縁体280が有する過剰酸素が、導電体246に吸収されることを抑制することができる。また、絶縁体278を有することで、不純物である水素が、導電体246を介して、トランジスタ200へ拡散することを抑制することができる。 In other words, the provision of the insulator 278 can prevent excess oxygen in the insulator 280 from being absorbed by the conductor 246 . In addition, with the insulator 278 , hydrogen, which is an impurity, can be prevented from diffusing into the transistor 200 through the conductor 246 .

ここで、導電体246は、トランジスタ200、またはトランジスタ300と電気的に接続するプラグ、または配線としての機能を有する。 Here, the conductor 246 functions as a plug electrically connected to the transistor 200 or the transistor 300 or as a wiring.

以上が構成例についての説明である。本構成を用いることで、酸化物半導体を有するトランジスタを用いた半導体装置を微細化または高集積化させることができる。または、酸化物半導体を有するトランジスタを用いた半導体装置において、電気特性の変動を抑制すると共に、信頼性を向上させることができる。または、オン電流が大きい酸化物半導体を有するトランジスタを提供することができる。または、オフ電流が小さい酸化物半導体を有するトランジスタを提供することができる。または、消費電力が低減された半導体装置を提供することができる。 The above is the description of the configuration example. With this structure, a semiconductor device including a transistor including an oxide semiconductor can be miniaturized or highly integrated. Alternatively, in a semiconductor device including a transistor including an oxide semiconductor, variation in electrical characteristics can be suppressed and reliability can be improved. Alternatively, a transistor including an oxide semiconductor with high on-state current can be provided. Alternatively, a transistor including an oxide semiconductor with low off-state current can be provided. Alternatively, a semiconductor device with reduced power consumption can be provided.

なお、図18において、容量素子100をトランジスタ200の上に設ける例について示しが、本実施の形態に示す半導体装置はこれに限られるものではない。例えば、図19に示すように、隣接するメモリセルにおいて、容量素子100aがトランジスタ200aの上に配置され、容量素子100bがトランジスタ200bの下に配置される構成にしてもよい。 Note that FIG. 18 shows an example in which the capacitor 100 is provided over the transistor 200; however, the semiconductor device described in this embodiment is not limited thereto. For example, as shown in FIG. 19, in adjacent memory cells, the capacitor 100a may be arranged above the transistor 200a and the capacitor 100b may be arranged below the transistor 200b.

図19に示す記憶装置において、配線1001はトランジスタ300のソースと電気的に接続され、配線1002はトランジスタ300のドレインと電気的に接続されている。また、配線1003aはトランジスタ200aのソースおよびドレインの一方と電気的に接続されている。また、トランジスタ200aのソースおよびドレインの他方は、容量素子100aの電極の一方と電気的に接続され、配線1005aは容量素子100aの電極の他方と電気的に接続されている。また、配線1003bはトランジスタ200bのソースおよびドレインの一方と電気的に接続されている。また、トランジスタ200bのソースおよびドレインの他方は、容量素子100bの電極の一方と電気的に接続され、配線1005bは容量素子100bの電極の他方と電気的に接続されている。 In the memory device shown in FIG. 19 , a wiring 1001 is electrically connected to the source of the transistor 300 and a wiring 1002 is electrically connected to the drain of the transistor 300 . A wiring 1003a is electrically connected to one of the source and the drain of the transistor 200a. The other of the source and the drain of the transistor 200a is electrically connected to one of the electrodes of the capacitor 100a, and the wiring 1005a is electrically connected to the other of the electrodes of the capacitor 100a. The wiring 1003b is electrically connected to one of the source and drain of the transistor 200b. The other of the source and drain of the transistor 200b is electrically connected to one of the electrodes of the capacitor 100b, and the wiring 1005b is electrically connected to the other of the electrodes of the capacitor 100b.

図19では、互いに隣接するメモリセルに含まれる、トランジスタ200aおよび容量素子100aと、トランジスタ200bおよび容量素子100bと、を示す。トランジスタ200aおよびトランジスタ200bは、トランジスタ200と同様の構成を有する。なお、トランジスタ200bは、導電体240cが、開口248を介して、導電体247の上面の少なくとも一部と接する点が、トランジスタ200と異なる。以下では、トランジスタ200と異なる点について説明する。 FIG. 19 shows a transistor 200a and a capacitor 100a and a transistor 200b and a capacitor 100b which are included in adjacent memory cells. Transistors 200a and 200b have the same structure as transistor 200. FIG. Note that the transistor 200 b is different from the transistor 200 in that the conductor 240 c is in contact with at least part of the top surface of the conductor 247 through the opening 248 . Differences from the transistor 200 will be described below.

トランジスタ200bは、導電体240dと、開口248と、開口248の内部に配置された導電体240cを有する。また、導電体240cは、開口248を介して、導電体247の上面の少なくとも一部と接している。導電体240cと導電体247が接続することで、トランジスタ200bのソースおよびドレインの他方と導電体247との間の電気抵抗を低減することができる。 Transistor 200 b has conductor 240 d , opening 248 , and conductor 240 c located within opening 248 . Also, the conductor 240c is in contact with at least part of the upper surface of the conductor 247 through the opening 248 . By connecting the conductor 240c and the conductor 247, electrical resistance between the other of the source and the drain of the transistor 200b and the conductor 247 can be reduced.

導電体247は、絶縁体150、絶縁体362、絶縁体212、絶縁体214および絶縁体216に形成された開口の中に配置されている。導電体247の上面の少なくとも一部は、絶縁体216から露出しており、導電体247の上面と絶縁体216の上面がほぼ一致することが好ましい。 Conductors 247 are disposed in openings formed in insulator 150 , insulator 362 , insulator 212 , insulator 214 and insulator 216 . At least part of the top surface of the conductor 247 is exposed from the insulator 216, and preferably the top surface of the conductor 247 and the top surface of the insulator 216 substantially coincide.

ここで、導電体247は、絶縁体362より下層に設けられた容量素子100bの電極の一方と電気的に接続するためのプラグとして機能する。なお、導電体247は、絶縁体362より下層に設けられたトランジスタのゲートと電気的に接続する構成にしてもよいし、絶縁体362より下層に設けられた配線と電気的に接続する構成にしてもよい。なお、導電体247は延伸させて、配線としても機能してもよい。 Here, the conductor 247 functions as a plug for electrical connection with one electrode of the capacitor 100b provided below the insulator 362 . Note that the conductor 247 may be electrically connected to a gate of a transistor provided below the insulator 362 or may be electrically connected to a wiring provided below the insulator 362. may Note that the conductor 247 may be extended to function as a wiring.

また、絶縁体222、絶縁体224、酸化物230a、および酸化物230bには、導電体247の少なくとも一部を露出する開口248が形成されている。 An opening 248 that exposes at least part of the conductor 247 is formed in the insulator 222, the insulator 224, the oxide 230a, and the oxide 230b.

また、図19においては、導電体240cの下に導電体247を設ける構成にしたが、本実施の形態に示す半導体装置はこれに限られるものではない。例えば、導電体240dの下に導電体247を設ける構成にしてもよいし、導電体240cと導電体240dの両方の下に導電体247を設ける構成にしてもよい。 In addition, although the conductor 247 is provided under the conductor 240c in FIG. 19, the semiconductor device described in this embodiment is not limited to this. For example, the conductor 247 may be provided under the conductor 240d, or the conductor 247 may be provided under both the conductor 240c and the conductor 240d.

また、容量素子100aおよび容量素子100bは、容量素子100と同様の構成を有する。つまり、容量素子100aは、導電体110a、絶縁体130a、および導電体120aを有し、容量素子100bは、導電体110b、絶縁体130b、および導電体120bを有する。導電体110aおよび導電体110bは、導電体110と同様の構成を有する。絶縁体130aおよび絶縁体130bは、絶縁体130と同様の構成を有する。導電体120aおよび導電体120bは、導電体120と同様の構成を有する。 Capacitive elements 100 a and 100 b have the same structure as the capacitive element 100 . That is, the capacitor 100a has a conductor 110a, an insulator 130a, and a conductor 120a, and the capacitor 100b has a conductor 110b, an insulator 130b, and a conductor 120b. Conductors 110 a and 110 b have the same structure as conductor 110 . Insulator 130 a and insulator 130 b have the same configuration as insulator 130 . Conductors 120 a and 120 b have the same configuration as conductor 120 .

ここで、容量素子100aは、トランジスタ200aおよびトランジスタ200bと重畳することが好ましく、例えば、容量素子100aは、トランジスタ200aのチャネル形成領域、およびトランジスタ200bのチャネル形成領域と重なることが好ましい。また、容量素子100bは、トランジスタ200aおよびトランジスタ200bと重畳することが好ましく、例えば、容量素子100bは、トランジスタ200aのチャネル形成領域、およびトランジスタ200bのチャネル形成領域と重なることが好ましい。 Here, the capacitor 100a preferably overlaps with the transistors 200a and 200b. For example, the capacitor 100a preferably overlaps with the channel formation regions of the transistors 200a and 200b. The capacitor 100b preferably overlaps with the transistors 200a and 200b. For example, the capacitor 100b preferably overlaps with a channel formation region of the transistor 200a and a channel formation region of the transistor 200b.

このように、容量素子100aおよび容量素子100bを配置することで、容量素子100a、容量素子100b、トランジスタ200a、およびトランジスタ200bの上面視における占有面積を増加させずに、容量素子100aおよび容量素子100bの静電容量を大きくすることができる。よって、本実施の形態に係る半導体装置を微細化または高集積化させることができる。 By arranging the capacitor 100a and the capacitor 100b in this manner, the capacitor 100a and the capacitor 100b can be formed without increasing the area occupied by the capacitor 100a, the capacitor 100b, the transistor 200a, and the transistor 200b in a top view. can increase the capacitance of Therefore, the semiconductor device according to this embodiment can be miniaturized or highly integrated.

また、図20に示すように、容量素子100aおよび容量素子100bを設ける開口を複数設けてもよい。ここで、導電体110aは、各開口で分離して設けてもよい。同様に、導電体110bは、各開口で分離して設けてもよい。これにより、各開口の側面において、容量素子100aおよび容量素子100bを形成することができる。よって、図20に示す容量素子100aおよび容量素子100bは、図19に示す容量素子100aおよび容量素子100bと同程度の占有面積で、より静電容量を大きくすることができる。 Further, as shown in FIG. 20, a plurality of openings for providing the capacitive elements 100a and 100b may be provided. Here, the conductor 110a may be provided separately at each opening. Similarly, conductors 110b may be provided separately for each opening. Thereby, the capacitive element 100a and the capacitive element 100b can be formed on the side surface of each opening. Therefore, the capacitive element 100a and the capacitive element 100b shown in FIG. 20 can increase the capacitance while occupying the same area as the capacitive element 100a and the capacitative element 100b shown in FIG.

なお、図19および図20において、容量素子100aおよび容量素子100bを、それぞれトランジスタ200aおよびトランジスタ200bの上下に設ける例について示したが、本実施の形態に示す半導体装置はこれに限られるものではない。例えば、容量素子100aおよびトランジスタ200aを設けず、容量素子100bおよびトランジスタ200bを設ける構成にしてもよい。なお、容量素子100b、またはトランジスタ300は、少なくとも一部がトランジスタ200bと重畳することが好ましい。これにより、容量素子100b、トランジスタ200b、およびトランジスタ300の上面視における占有面積を低減することができるので、本実施の形態に係る半導体装置を微細化または高集積化させることができる。 Note that FIGS. 19 and 20 show examples in which the capacitor 100a and the capacitor 100b are provided above and below the transistor 200a and the transistor 200b, respectively; however, the semiconductor device described in this embodiment is not limited to this. . For example, a structure in which the capacitor 100b and the transistor 200b are provided without providing the capacitor 100a and the transistor 200a may be employed. Note that at least part of the capacitor 100b or the transistor 300 preferably overlaps with the transistor 200b. As a result, the area occupied by the capacitive element 100b, the transistor 200b, and the transistor 300 in a top view can be reduced, so that the semiconductor device according to this embodiment can be miniaturized or highly integrated.

なお、上記の容量素子100bは作製工程において、700℃を超える高温の熱処理が必要となる場合がある。このような高温の熱処理を、トランジスタ200bの形成後に行うと、水素または水等の不純物、あるいは酸素の拡散によって、酸化物230が影響を受け、トランジスタ200bの電気特性が劣化する恐れがある。 Note that heat treatment at a high temperature exceeding 700° C. may be required in the manufacturing process of the capacitor 100b. If such high-temperature heat treatment is performed after the transistor 200b is formed, the oxide 230 may be affected by diffusion of impurities such as hydrogen or water, or oxygen, and electrical characteristics of the transistor 200b may be degraded.

しかしながら、本変形例に示すように、容量素子100bの上にトランジスタ200bを形成することにより、容量素子100bの作製工程における熱履歴はトランジスタ200bに影響しない。これにより、トランジスタ200bの電気特性の劣化を防ぎ、安定した電気特性を有する半導体装置を提供することができる。 However, by forming the transistor 200b over the capacitor 100b as shown in this modification, the thermal history in the manufacturing process of the capacitor 100b does not affect the transistor 200b. Accordingly, deterioration of the electrical characteristics of the transistor 200b can be prevented, and a semiconductor device having stable electrical characteristics can be provided.

[記憶装置2]
本発明の一態様である半導体装置を使用した、半導体装置(記憶装置)の一例を図21に示す。図21に示す半導体装置は、図18で示した半導体装置と同様に、トランジスタ200、トランジスタ300、および容量素子100を有する。ただし、図21に示す半導体装置は、容量素子100がプレーナ型である点、およびトランジスタ200とトランジスタ300が電気的に接続されている点において、図18に示す半導体装置と異なる。
[Storage device 2]
An example of a semiconductor device (storage device) using the semiconductor device of one embodiment of the present invention is shown in FIG. The semiconductor device shown in FIG. 21 has a transistor 200, a transistor 300, and a capacitor 100, similarly to the semiconductor device shown in FIG. However, the semiconductor device shown in FIG. 21 differs from the semiconductor device shown in FIG. 18 in that capacitor element 100 is of a planar type and transistors 200 and 300 are electrically connected.

本発明の一態様の半導体装置は、トランジスタ200はトランジスタ300の上方に設けられ、容量素子100はトランジスタ300、およびトランジスタ200の上方に設けられている。容量素子100、またはトランジスタ300は、少なくとも一部がトランジスタ200と重畳することが好ましい。これにより、容量素子100、トランジスタ200、およびトランジスタ300の上面視における占有面積を低減することができるので、本実施の形態に係る半導体装置を微細化または高集積化させることができる。 In the semiconductor device of one embodiment of the present invention, the transistor 200 is provided above the transistor 300 and the capacitor 100 is provided above the transistors 300 and 200 . At least part of the capacitor 100 or the transistor 300 preferably overlaps with the transistor 200 . As a result, the area occupied by the capacitive element 100, the transistor 200, and the transistor 300 in a top view can be reduced, so that the semiconductor device according to this embodiment can be miniaturized or highly integrated.

なお、トランジスタ200およびトランジスタ300として、上記のトランジスタ200およびトランジスタ300を用いることができる。よって、トランジスタ200、トランジスタ300、およびこれらを含む層については、上記の記載を参酌することができる。 Note that the above transistors 200 and 300 can be used as the transistors 200 and 300 . Therefore, the above description of the transistor 200, the transistor 300, and the layer including these can be referred to.

図21に示す半導体装置において、配線2001はトランジスタ300のソースと電気的に接続され、配線2002はトランジスタ300のドレインと電気的に接続されている。また、配線2003はトランジスタ200のソースおよびドレインの一方と電気的に接続され、配線2004はトランジスタ200の第1のゲートと電気的に接続され、配線2006はトランジスタ200の第2のゲートと電気的に接続されている。そして、トランジスタ300のゲート、およびトランジスタ200のソースおよびドレインの他方は、容量素子100の電極の一方と電気的に接続され、配線2005は容量素子100の電極の他方と電気的に接続されている。なお、以下において、トランジスタ300のゲートと、トランジスタ200のソースおよびドレインの他方と、容量素子100の電極の一方と、が接続されたノードをノードFGと呼ぶ場合がある。 In the semiconductor device shown in FIG. 21, a wiring 2001 is electrically connected to the source of the transistor 300 and a wiring 2002 is electrically connected to the drain of the transistor 300 . A wiring 2003 is electrically connected to one of the source and the drain of the transistor 200, a wiring 2004 is electrically connected to the first gate of the transistor 200, and a wiring 2006 is electrically connected to the second gate of the transistor 200. It is connected to the. The gate of the transistor 300 and the other of the source and drain of the transistor 200 are electrically connected to one electrode of the capacitor 100, and the wiring 2005 is electrically connected to the other electrode of the capacitor 100. . Note that hereinafter, a node to which the gate of the transistor 300, the other of the source and the drain of the transistor 200, and one of the electrodes of the capacitor 100 are connected is sometimes referred to as a node FG.

図21に示す半導体装置は、トランジスタ200のスイッチングによって、トランジスタ300のゲート(ノードFG)の電位が保持可能という特性を有することで、情報の書き込み、保持、読み出しが可能である。 The semiconductor device illustrated in FIG. 21 has a characteristic that the potential of the gate (node FG) of the transistor 300 can be held by switching the transistor 200, so that data can be written, held, and read.

また、図21に示す半導体装置は、マトリクス状に配置することで、メモリセルアレイを構成することができる。 Further, the semiconductor devices illustrated in FIG. 21 can form a memory cell array by being arranged in a matrix.

トランジスタ300を含む層は、図18に示す半導体装置と同様の構造を有するので、絶縁体354より下の構造は、上記の記載を参酌することができる。 Since a layer including the transistor 300 has a structure similar to that of the semiconductor device illustrated in FIG. 18, the above description can be referred to for the structure below the insulator 354 .

絶縁体354の上に、絶縁体360、絶縁体362、絶縁体212、絶縁体214および絶縁体216が配置される。ここで、絶縁体360は、絶縁体350などと同様に、水素などの不純物および酸素の透過を抑制する機能を有する絶縁体を用いればよい。 Over insulator 354 are insulators 360 , 362 , 212 , 214 and 216 . Here, as the insulator 360, an insulator having a function of suppressing permeation of impurities such as hydrogen and oxygen may be used in a manner similar to that of the insulator 350 and the like.

絶縁体360、絶縁体362、絶縁体212、絶縁体214および絶縁体216には、導電体366が埋め込まれている。導電体366は、容量素子100、トランジスタ200、またはトランジスタ300と電気的に接続するプラグ、または配線として機能する。例えば、導電体366は、トランジスタ300のゲート電極として機能する導電体316と電気的に接続されている。 A conductor 366 is embedded in insulator 360 , insulator 362 , insulator 212 , insulator 214 and insulator 216 . The conductor 366 functions as a plug or wiring electrically connected to the capacitor 100, the transistor 200, or the transistor 300. FIG. For example, conductor 366 is electrically connected to conductor 316 which functions as the gate electrode of transistor 300 .

また、導電体246は、トランジスタ200、またはトランジスタ300と電気的に接続するプラグ、または配線として機能する。例えば、導電体246は、トランジスタ200のソースおよびドレインの他方として機能する導電体246bと、容量素子100の電極の一方として機能する導電体110を、導電体246を介して電気的に接続している。 In addition, the conductor 246 functions as a plug or wiring electrically connected to the transistor 200 or the transistor 300 . For example, the conductor 246 electrically connects the conductor 246 b functioning as the other of the source and drain of the transistor 200 and the conductor 110 functioning as one of the electrodes of the capacitor 100 through the conductor 246 . there is

また、プレーナ型の容量素子100は、トランジスタ200の上方に設けられる。容量素子100は、第1の電極として機能する導電体110、第2の電極として機能する導電体120、および誘電体として機能する絶縁体130を有する。なお、導電体110、導電体120、および絶縁体130は、上述の記憶装置1で記載したものを用いることができる。 Further, the planar capacitor 100 is provided above the transistor 200 . The capacitor 100 has a conductor 110 functioning as a first electrode, a conductor 120 functioning as a second electrode, and an insulator 130 functioning as a dielectric. Note that the conductor 110, the conductor 120, and the insulator 130 can be the same as those described for the memory device 1 described above.

導電体246の上面に接して導電体153および導電体110が設けられる。導電体153は、導電体246の上面に接しており、トランジスタ200またはトランジスタ300の端子として機能する。 Conductor 153 and conductor 110 are provided in contact with the upper surface of conductor 246 . The conductor 153 is in contact with the top surface of the conductor 246 and functions as a terminal of the transistor 200 or the transistor 300 .

導電体153および導電体110は絶縁体130に覆われており、絶縁体130を介して導電体110と重なるように導電体120が配置される。さらに、導電体120、および絶縁体130上には、絶縁体114が配置されている。 The conductor 153 and the conductor 110 are covered with the insulator 130, and the conductor 120 is arranged so as to overlap with the conductor 110 with the insulator 130 interposed therebetween. Furthermore, the insulator 114 is placed over the conductor 120 and the insulator 130 .

また、図21において、容量素子100として、プレーナ型の容量素子を用いる例について示したが、本実施の形態に示す半導体装置はこれに限られるものではない。例えば、図22に示すように、容量素子100として、図18に示すようなシリンダ型の容量素子100を用いてもよい。 In addition, FIG. 21 shows an example in which a planar capacitor is used as the capacitor 100, but the semiconductor device described in this embodiment is not limited to this. For example, as shown in FIG. 22, a cylindrical capacitive element 100 as shown in FIG. 18 may be used as the capacitive element 100. In FIG.

ここで、容量素子100の詳細については、図18に係る記載を参酌することができる。ただし、図22に示すように、導電体246の上に導電体152を配置し、導電体152の上に導電体112を配置する構成が好ましい。このような構成にすることで、導電体246と導電体112の電気的な接続をより確実にすることができる。 Here, the description of FIG. 18 can be referred to for details of the capacitor 100 . However, as shown in FIG. 22, a configuration in which the conductor 152 is arranged on the conductor 246 and the conductor 112 is arranged on the conductor 152 is preferable. With such a structure, electrical connection between the conductor 246 and the conductor 112 can be made more reliable.

また、絶縁体150の上に絶縁体154を配置することが好ましい。絶縁体154は、絶縁体160に用いることができる絶縁体を用いればよい。また、導電体112の上面に接して導電体153が設けられる。導電体153は、導電体112の上面に接しており、容量素子100、トランジスタ200またはトランジスタ300の端子として機能する。さらに、導電体153、および絶縁体154上には、絶縁体156が配置されている。 Also, an insulator 154 is preferably placed over the insulator 150 . An insulator that can be used for the insulator 160 may be used as the insulator 154 . A conductor 153 is provided in contact with the upper surface of the conductor 112 . The conductor 153 is in contact with the top surface of the conductor 112 and functions as a terminal of the capacitor 100 , the transistor 200 or the transistor 300 . Further, an insulator 156 is provided over the conductor 153 and the insulator 154 .

また、図22において、トランジスタ300のゲートが、容量素子100の電極の一方を介して、トランジスタ200のソースおよびドレインの他方と電気的に接続されている例について示したが、本実施の形態に示す半導体装置はこれに限られるものではない。例えば、図23に示すように、トランジスタ300のゲートが、トランジスタ200のソースおよびドレインの他方を介して、容量素子100の電極の一方と電気的に接続してもよい。これにより、容量素子100、トランジスタ200、およびトランジスタ300の上面視における占有面積を低減することができるので、本実施の形態に係る半導体装置を微細化または高集積化させることができる。 FIG. 22 shows an example in which the gate of the transistor 300 is electrically connected to the other of the source and the drain of the transistor 200 through one of the electrodes of the capacitor 100; The illustrated semiconductor device is not limited to this. For example, as shown in FIG. 23, the gate of transistor 300 may be electrically connected to one electrode of capacitor 100 through the other of the source and drain of transistor 200 . As a result, the area occupied by the capacitive element 100, the transistor 200, and the transistor 300 in a top view can be reduced, so that the semiconductor device according to this embodiment can be miniaturized or highly integrated.

本実施の形態は、他の実施の形態などに記載した構成と適宜組み合わせて実施することが可能である。 This embodiment can be implemented in appropriate combination with the structures described in other embodiments and the like.

(実施の形態4)
本実施の形態では、図24および図25を用いて、本発明の一態様に係る、酸化物を半導体に用いたトランジスタ(以下、OSトランジスタと呼ぶ場合がある。)、および容量素子が適用されている記憶装置(以下、OSメモリ装置と呼ぶ場合がある。)について説明する。OSメモリ装置は、少なくとも容量素子と、容量素子の充放電を制御するOSトランジスタを有する記憶装置である。OSトランジスタのオフ電流は極めて小さいので、OSメモリ装置は優れた保持特性をもち、不揮発性メモリとして機能させることができる。
(Embodiment 4)
In this embodiment, a transistor using an oxide as a semiconductor (hereinafter also referred to as an OS transistor) and a capacitor according to one embodiment of the present invention are applied with reference to FIGS. A storage device (hereinafter sometimes referred to as an OS memory device) will be described. An OS memory device is a memory device that includes at least a capacitor and an OS transistor that controls charging and discharging of the capacitor. Since the off current of the OS transistor is extremely small, the OS memory device has excellent retention characteristics and can function as a nonvolatile memory.

<記憶装置の構成例>
図24(A)にOSメモリ装置の構成の一例を示す。記憶装置1400は、周辺回路1411、およびメモリセルアレイ1470を有する。周辺回路1411は、行回路1420、列回路1430、出力回路1440、およびコントロールロジック回路1460を有する。
<Configuration example of storage device>
FIG. 24A shows an example of the configuration of the OS memory device. A memory device 1400 has a peripheral circuit 1411 and a memory cell array 1470 . Peripheral circuitry 1411 includes row circuitry 1420 , column circuitry 1430 , output circuitry 1440 and control logic circuitry 1460 .

列回路1430は、例えば、列デコーダ、プリチャージ回路、センスアンプ、書き込み回路等を有する。プリチャージ回路は、配線をプリチャージする機能を有する。センスアンプは、メモリセルから読み出されたデータ信号を増幅する機能を有する。なお、上記配線は、メモリセルアレイ1470が有するメモリセルに接続されている配線であり、詳しくは後述する。増幅されたデータ信号は、出力回路1440を介して、データ信号RDATAとして記憶装置1400の外部に出力される。また、行回路1420は、例えば、行デコーダ、ワード線ドライバ回路等を有し、アクセスする行を選択することができる。 The column circuit 1430 has, for example, column decoders, precharge circuits, sense amplifiers, write circuits, and the like. The precharge circuit has a function of precharging the wiring. A sense amplifier has a function of amplifying a data signal read from a memory cell. Note that the above wirings are wirings connected to memory cells included in the memory cell array 1470, and will be described later in detail. The amplified data signal is output to the outside of memory device 1400 via output circuit 1440 as data signal RDATA. Also, the row circuit 1420 has, for example, a row decoder, a word line driver circuit, etc., and can select a row to be accessed.

記憶装置1400には、外部から電源電圧として低電源電圧(VSS)、周辺回路1411用の高電源電圧(VDD)、メモリセルアレイ1470用の高電源電圧(VIL)が供給される。また、記憶装置1400には、制御信号(CE、WE、RE)、アドレス信号ADDR、データ信号WDATAが外部から入力される。アドレス信号ADDRは、行デコーダおよび列デコーダに入力され、WDATAは書き込み回路に入力される。 The storage device 1400 is externally supplied with a low power supply voltage (VSS), a high power supply voltage (VDD) for the peripheral circuit 1411, and a high power supply voltage (VIL) for the memory cell array 1470 as power supply voltages. Control signals (CE, WE, RE), an address signal ADDR, and a data signal WDATA are input to the storage device 1400 from the outside. Address signal ADDR is input to the row and column decoders, and WDATA is input to the write circuit.

コントロールロジック回路1460は、外部からの入力信号(CE、WE、RE)を処理して、行デコーダ、列デコーダの制御信号を生成する。CEは、チップイネーブル信号であり、WEは、書き込みイネーブル信号であり、REは、読み出しイネーブル信号である。コントロールロジック回路1460が処理する信号は、これに限定されるものではなく、必要に応じて、他の制御信号を入力すればよい。 The control logic circuit 1460 processes external input signals (CE, WE, RE) to generate control signals for the row decoder and column decoder. CE is a chip enable signal, WE is a write enable signal, and RE is a read enable signal. The signal processed by the control logic circuit 1460 is not limited to this, and other control signals may be input as needed.

メモリセルアレイ1470は、行列状に配置された、複数個のメモリセルMCと、複数の配線を有する。なお、メモリセルアレイ1470と行回路1420とを接続している配線の数は、メモリセルMCの構成、一列に有するメモリセルMCの数などによって決まる。また、メモリセルアレイ1470と列回路1430とを接続している配線の数は、メモリセルMCの構成、一行に有するメモリセルMCの数などによって決まる。 Memory cell array 1470 has a plurality of memory cells MC and a plurality of wirings arranged in rows and columns. The number of wirings connecting the memory cell array 1470 and the row circuit 1420 is determined by the configuration of the memory cells MC, the number of memory cells MC in one column, and the like. The number of wires connecting the memory cell array 1470 and the column circuit 1430 is determined by the configuration of the memory cells MC, the number of memory cells MC in one row, and the like.

なお、図24(A)において、周辺回路1411とメモリセルアレイ1470を同一平面上に形成する例について示したが、本実施の形態はこれに限られるものではない。例えば、図24(B)に示すように、周辺回路1411の一部の上に、メモリセルアレイ1470が重なるように設けられてもよい。例えば、メモリセルアレイ1470の下に重なるように、センスアンプを設ける構成にしてもよい。 Note that FIG. 24A shows an example in which the peripheral circuit 1411 and the memory cell array 1470 are formed on the same plane, but this embodiment is not limited to this. For example, as shown in FIG. 24B, a memory cell array 1470 may be provided over part of the peripheral circuit 1411 . For example, a structure in which a sense amplifier is provided under the memory cell array 1470 may be employed.

図25に上述のメモリセルMCに適用できるメモリセルの構成例について説明する。 A configuration example of a memory cell that can be applied to the memory cell MC described above will be described with reference to FIG.

[DOSRAM]
図25(A)乃至(C)に、DRAMのメモリセルの回路構成例を示す。本明細書等において、1OSトランジスタ1容量素子型のメモリセルを用いたDRAMを、DOSRAM(Dynamic Oxide Semiconductor Random Access Memory)と呼ぶ場合がある。図25(A)に示す、メモリセル1471は、トランジスタM1と、容量素子CAと、を有する。なお、トランジスタM1は、ゲート(トップゲートと呼ぶ場合がある。)、及びバックゲートを有する。
[DOSRAM]
25A to 25C show circuit configuration examples of memory cells of a DRAM. In this specification and the like, a DRAM using a 1-OS-transistor-1-capacitor-type memory cell is sometimes referred to as a DOSRAM (Dynamic Oxide Semiconductor Random Access Memory). A memory cell 1471 illustrated in FIG. 25A includes a transistor M1 and a capacitor CA. Note that the transistor M1 has a gate (sometimes referred to as a top gate) and a back gate.

トランジスタM1の第1端子は、容量素子CAの第1端子と接続され、トランジスタM1の第2端子は、配線BILと接続され、トランジスタM1のゲートは、配線WOLと接続され、トランジスタM1のバックゲートは、配線BGLと接続されている。容量素子CAの第2端子は、配線CALと接続されている。 The transistor M1 has a first terminal connected to the first terminal of the capacitor CA, a second terminal connected to the wiring BIL, a gate connected to the wiring WOL, and a back gate of the transistor M1. are connected to the wiring BGL. A second terminal of the capacitive element CA is connected to the wiring CAL.

配線BILは、ビット線として機能し、配線WOLは、ワード線として機能する。配線CALは、容量素子CAの第2端子に所定の電位を印加するための配線として機能する。データの書き込み時、及び読み出し時において、配線CALには、低レベル電位を印加するのが好ましい。配線BGLは、トランジスタM1のバックゲートに電位を印加するための配線として機能する。配線BGLに任意の電位を印加することによって、トランジスタM1のしきい値電圧を増減することができる。 The wiring BIL functions as a bit line, and the wiring WOL functions as a word line. The wiring CAL functions as a wiring for applying a predetermined potential to the second terminal of the capacitor CA. A low-level potential is preferably applied to the wiring CAL when data is written and read. The wiring BGL functions as a wiring for applying a potential to the back gate of the transistor M1. By applying an arbitrary potential to the wiring BGL, the threshold voltage of the transistor M1 can be increased or decreased.

ここで、図25(A)に示すメモリセル1471は、図18に示す記憶装置に対応している。つまり、トランジスタM1はトランジスタ200に、容量素子CAは容量素子100に、配線BILは配線1003に、配線WOLは配線1004に、配線BGLは配線1006に、配線CALは配線1005に対応している。なお、図18に記載のトランジスタ300は、図24(B)に示す記憶装置1400の周辺回路1411に設けられるトランジスタに対応する。 Here, a memory cell 1471 illustrated in FIG. 25A corresponds to the memory device illustrated in FIG. That is, the transistor M1 corresponds to the transistor 200, the capacitor CA to the capacitor 100, the wiring BIL to the wiring 1003, the wiring WOL to the wiring 1004, the wiring BGL to the wiring 1006, and the wiring CAL to the wiring 1005. Note that the transistor 300 illustrated in FIG. 18 corresponds to the transistor provided in the peripheral circuit 1411 of the memory device 1400 illustrated in FIG. 24B.

また、メモリセルMCは、メモリセル1471に限定されず、回路構成の変更を行うことができる。例えば、メモリセルMCは、図25(B)に示すメモリセル1472のように、トランジスタM1のバックゲートが、配線BGLでなく、配線WOLと接続される構成にしてもよい。また、例えば、メモリセルMCは、図25(C)に示すメモリセル1473ように、シングルゲート構造のトランジスタ、つまりバックゲートを有さないトランジスタM1で構成されたメモリセルとしてもよい。 Further, the memory cell MC is not limited to the memory cell 1471, and the circuit configuration can be changed. For example, the memory cell MC may have a structure in which the back gate of the transistor M1 is connected to the wiring WOL instead of the wiring BGL, like the memory cell 1472 illustrated in FIG. 25B. Further, for example, the memory cell MC may be a memory cell including a single-gate transistor, that is, a transistor M1 having no back gate, like a memory cell 1473 shown in FIG. 25C.

上記実施の形態に示す半導体装置をメモリセル1471等に用いる場合、トランジスタM1としてトランジスタ200を用い、容量素子CAとして容量素子100を用いることができる。トランジスタM1としてOSトランジスタを用いることによって、トランジスタM1のリーク電流を非常に低くすることができる。つまり、書き込んだデータをトランジスタM1によって長時間保持することができるため、メモリセルのリフレッシュの頻度を少なくすることができる。また、メモリセルのリフレッシュ動作を不要にすることができる。また、リーク電流が非常に低いため、メモリセル1471、メモリセル1472、メモリセル1473に対して多値データ、又はアナログデータを保持することができる。 When the semiconductor device described in any of the above embodiments is used for the memory cell 1471 or the like, the transistor 200 can be used as the transistor M1, and the capacitor 100 can be used as the capacitor CA. By using an OS transistor as the transistor M1, leakage current of the transistor M1 can be significantly reduced. In other words, since written data can be held for a long time by the transistor M1, the frequency of refreshing the memory cell can be reduced. Also, the refresh operation of the memory cells can be made unnecessary. In addition, since leakage current is very low, multilevel data or analog data can be held in the memory cells 1471, 1472, and 1473. FIG.

また、DOSRAMにおいて、上記のように、メモリセルアレイ1470の下に重なるように、センスアンプを設ける構成にすると、ビット線を短くすることができる。これにより、ビット線容量が小さくなり、メモリセルの保持容量を低減することができる。 Further, in the DOSRAM, if the sense amplifier is provided under the memory cell array 1470 as described above, the bit line can be shortened. As a result, the bit line capacity is reduced, and the storage capacity of the memory cell can be reduced.

[NOSRAM]
図25(D)乃至(G)に、2トランジスタ1容量素子のゲインセル型のメモリセルの回路構成例を示す。図25(D)に示す、メモリセル1474は、トランジスタM2と、トランジスタM3と、容量素子CBと、を有する。なお、トランジスタM2は、トップゲート(単にゲートと呼ぶ場合がある。)、及びバックゲートを有する。本明細書等において、トランジスタM2にOSトランジスタを用いたゲインセル型のメモリセルを有する記憶装置を、NOSRAM(Nonvolatile Oxide Semiconductor RAM)と呼ぶ場合がある。
[NOSRAM]
25D to 25G show a circuit configuration example of a gain cell type memory cell with two transistors and one capacitor. A memory cell 1474 illustrated in FIG. 25D includes a transistor M2, a transistor M3, and a capacitor CB. Note that the transistor M2 has a top gate (sometimes simply referred to as a gate) and a back gate. In this specification and the like, a memory device including a gain cell memory cell using an OS transistor as the transistor M2 is sometimes called a NOSRAM (Nonvolatile Oxide Semiconductor RAM).

トランジスタM2の第1端子は、容量素子CBの第1端子と接続され、トランジスタM2の第2端子は、配線WBLと接続され、トランジスタM2のゲートは、配線WOLと接続され、トランジスタM2のバックゲートは、配線BGLと接続されている。容量素子CBの第2端子は、配線CALと接続されている。トランジスタM3の第1端子は、配線RBLと接続され、トランジスタM3の第2端子は、配線SLと接続され、トランジスタM3のゲートは、容量素子CBの第1端子と接続されている。 The transistor M2 has a first terminal connected to the first terminal of the capacitor CB, a second terminal connected to the wiring WBL, a gate connected to the wiring WOL, and a back gate of the transistor M2. are connected to the wiring BGL. A second terminal of the capacitive element CB is connected to the wiring CAL. A first terminal of the transistor M3 is connected to the wiring RBL, a second terminal of the transistor M3 is connected to the wiring SL, and a gate of the transistor M3 is connected to the first terminal of the capacitor CB.

配線WBLは、書き込みビット線として機能し、配線RBLは、読み出しビット線として機能し、配線WOLは、ワード線として機能する。配線CALは、容量素子CBの第2端子に所定の電位を印加するための配線として機能する。データの書き込み時、データ保持の最中、データの読み出し時において、配線CALには、低レベル電位を印加するのが好ましい。配線BGLは、トランジスタM2のバックゲートに電位を印加するための配線として機能する。配線BGLに任意の電位を印加することによって、トランジスタM2のしきい値電圧を増減することができる。 The wiring WBL functions as a write bit line, the wiring RBL functions as a read bit line, and the wiring WOL functions as a word line. The wiring CAL functions as a wiring for applying a predetermined potential to the second terminal of the capacitor CB. A low-level potential is preferably applied to the wiring CAL when data is written, during data retention, and when data is read. The wiring BGL functions as a wiring for applying a potential to the back gate of the transistor M2. By applying an arbitrary potential to the wiring BGL, the threshold voltage of the transistor M2 can be increased or decreased.

ここで、図25(D)に示すメモリセル1474は、図21に示す記憶装置に対応している。つまり、トランジスタM2はトランジスタ200に、容量素子CBは容量素子100に、トランジスタM3はトランジスタ300に、配線WBLは配線2003に、配線WOLは配線2004に、配線BGLは配線2006に、配線CALは配線2005に、配線RBLは配線2002に、配線SLは配線2001に対応している。 Here, a memory cell 1474 illustrated in FIG. 25D corresponds to the memory device illustrated in FIG. That is, the transistor M2 is connected to the transistor 200, the capacitor CB is connected to the capacitor 100, the transistor M3 is connected to the transistor 300, the wiring WBL is connected to the wiring 2003, the wiring WOL is connected to the wiring 2004, the wiring BGL is connected to the wiring 2006, and the wiring CAL is connected to the wiring. 2005 , the wiring RBL corresponds to the wiring 2002 , and the wiring SL corresponds to the wiring 2001 .

また、メモリセルMCは、メモリセル1474に限定されず、回路の構成を適宜変更することができる。例えば、メモリセルMCは、図25(E)に示すメモリセル1475のように、トランジスタM2のバックゲートが、配線BGLでなく、配線WOLと接続される構成にしてもよい。また、例えば、メモリセルMCは、図25(F)に示すメモリセル1476のように、シングルゲート構造のトランジスタ、つまりバックゲートを有さないトランジスタM2で構成されたメモリセルとしてもよい。また、例えば、メモリセルMCは、図25(G)に示すメモリセル1477のように、配線WBLと配線RBLを一本の配線BILとしてまとめた構成であってもよい。 Further, the memory cell MC is not limited to the memory cell 1474, and the circuit configuration can be changed as appropriate. For example, the memory cell MC may have a structure in which the back gate of the transistor M2 is connected to the wiring WOL instead of the wiring BGL, like the memory cell 1475 illustrated in FIG. Further, for example, the memory cell MC may be a memory cell including a single-gate transistor, that is, a transistor M2 having no back gate, like the memory cell 1476 shown in FIG. Further, for example, the memory cell MC may have a structure in which the wiring WBL and the wiring RBL are combined into one wiring BIL, like the memory cell 1477 illustrated in FIG.

上記実施の形態に示す半導体装置をメモリセル1474等に用いる場合、トランジスタM2としてトランジスタ200を用い、トランジスタM3としてトランジスタ300を用い、容量素子CBとして容量素子100を用いることができる。トランジスタM2としてOSトランジスタを用いることによって、トランジスタM2のリーク電流を非常に低くすることができる。これにより、書き込んだデータをトランジスタM2によって長時間保持することができるため、メモリセルのリフレッシュの頻度を少なくすることができる。また、メモリセルのリフレッシュ動作を不要にすることができる。また、リーク電流が非常に低いため、メモリセル1474に多値データ、又はアナログデータを保持することができる。メモリセル1475乃至メモリセル1477も同様である。 When the semiconductor device described in any of the above embodiments is used for the memory cell 1474 or the like, the transistor 200 can be used as the transistor M2, the transistor 300 can be used as the transistor M3, and the capacitor 100 can be used as the capacitor CB. By using an OS transistor as the transistor M2, the leakage current of the transistor M2 can be very low. Accordingly, written data can be held for a long time by the transistor M2, so that the frequency of refreshing the memory cell can be reduced. Also, the refresh operation of the memory cells can be made unnecessary. In addition, since the leakage current is very low, the memory cell 1474 can hold multilevel data or analog data. The same applies to memory cells 1475 to 1477 .

なお、トランジスタM3は、チャネル形成領域にシリコンを有するトランジスタ(以下、Siトランジスタと呼ぶ場合がある)であってもよい。Siトランジスタの導電型は、nチャネル型としてもよいし、pチャネル型としてもよい。Siトランジスタは、OSトランジスタよりも電界効果移動度が高くなる場合がある。よって、読み出しトランジスタとして機能するトランジスタM3として、Siトランジスタを用いてもよい。また、トランジスタM3にSiトランジスタを用いることで、トランジスタM3の上に積層してトランジスタM2を設けることができるので、メモリセルの占有面積を低減し、記憶装置の高集積化を図ることができる。 Note that the transistor M3 may be a transistor including silicon in a channel formation region (hereinafter also referred to as a Si transistor). The conductivity type of the Si transistor may be n-channel type or p-channel type. A Si transistor may have higher field effect mobility than an OS transistor. Therefore, a Si transistor may be used as the transistor M3 that functions as a read transistor. Further, by using a Si transistor for the transistor M3, the transistor M2 can be stacked on the transistor M3, so that the area occupied by the memory cell can be reduced and the integration of the memory device can be increased.

また、トランジスタM3はOSトランジスタであってもよい。トランジスタM2およびトランジスタM3にOSトランジスタを用いた場合、メモリセルアレイ1470をn型トランジスタのみを用いて回路を構成することができる。 Alternatively, the transistor M3 may be an OS transistor. When OS transistors are used for the transistors M2 and M3, the circuit of the memory cell array 1470 can be formed using only n-channel transistors.

また、図25(H)に3トランジスタ1容量素子のゲインセル型のメモリセルの一例を示す。図25(H)に示すメモリセル1478は、トランジスタM4乃至トランジスタM6、および容量素子CCを有する。容量素子CCは適宜設けられる。メモリセル1478は、配線BIL、配線RWL、配線WWL、配線BGL、および配線GNDLに電気的に接続されている。配線GNDLは低レベル電位を与える配線である。なお、メモリセル1478を、配線BILに代えて、配線RBL、配線WBLに電気的に接続してもよい。 FIG. 25H shows an example of a gain cell type memory cell with three transistors and one capacitor. A memory cell 1478 illustrated in FIG. 25H includes transistors M4 to M6 and a capacitor CC. Capacitive element CC is provided as appropriate. A memory cell 1478 is electrically connected to a wiring BIL, a wiring RWL, a wiring WWL, a wiring BGL, and a wiring GNDL. A wiring GNDL is a wiring for applying a low-level potential. Note that the memory cell 1478 may be electrically connected to the wiring RBL and the wiring WBL instead of the wiring BIL.

トランジスタM4は、バックゲートを有するOSトランジスタであり、バックゲートは配線BGLに電気的に接続されている。なお、トランジスタM4のバックゲートとゲートとを互いに電気的に接続してもよい。あるいは、トランジスタM4はバックゲートを有さなくてもよい。 The transistor M4 is an OS transistor having a backgate, and the backgate is electrically connected to the wiring BGL. Note that the back gate and gate of the transistor M4 may be electrically connected to each other. Alternatively, transistor M4 may not have a backgate.

なお、トランジスタM5、トランジスタM6はそれぞれ、nチャネル型Siトランジスタまたはpチャネル型Siトランジスタでもよい。或いは、トランジスタM4乃至トランジスタM6がOSトランジスタでもよい、この場合、メモリセルアレイ1470をn型トランジスタのみを用いて回路を構成することができる。 Note that the transistor M5 and the transistor M6 may each be an n-channel Si transistor or a p-channel Si transistor. Alternatively, the transistors M4 to M6 may be OS transistors. In this case, the memory cell array 1470 can be configured using only n-channel transistors.

上記実施の形態に示す半導体装置をメモリセル1478に用いる場合、トランジスタM4としてトランジスタ200を用い、トランジスタM5、トランジスタM6としてトランジスタ300を用い、容量素子CCとして容量素子100を用いることができる。トランジスタM4としてOSトランジスタを用いることによって、トランジスタM4のリーク電流を非常に低くすることができる。 When the semiconductor device described in any of the above embodiments is used for the memory cell 1478, the transistor 200 can be used as the transistor M4, the transistor 300 can be used as the transistor M5 and the transistor M6, and the capacitor 100 can be used as the capacitor CC. By using an OS transistor as the transistor M4, the leakage current of the transistor M4 can be made very low.

なお、本実施の形態に示す、周辺回路1411、メモリセルアレイ1470等の構成は、上記に限定されるものではない。これらの回路、および当該回路に接続される配線、回路素子等の、配置または機能は、必要に応じて、変更、削除、または追加してもよい。 Note that the structures of the peripheral circuit 1411, the memory cell array 1470, and the like described in this embodiment are not limited to those described above. Arrangements or functions of these circuits and wiring, circuit elements, etc. connected to the circuits may be changed, deleted, or added as necessary.

本実施の形態に示す構成は、他の実施の形態などに示す構成と適宜組み合わせて用いることができる。 The structure described in this embodiment can be used in combination with any of the structures described in other embodiments or the like as appropriate.

(実施の形態5)
本実施の形態では、図26を用いて、本発明の半導体装置が実装されたチップ1200の一例を示す。チップ1200には、複数の回路(システム)が実装されている。このように、複数の回路(システム)を一つのチップに集積する技術を、システムオンチップ(System on Chip:SoC)と呼ぶ場合がある。
(Embodiment 5)
In this embodiment mode, an example of a chip 1200 on which a semiconductor device of the present invention is mounted is shown with reference to FIG. A plurality of circuits (systems) are mounted on the chip 1200 . Such a technique of integrating a plurality of circuits (systems) on one chip is sometimes called System on Chip (SoC).

図26(A)に示すように、チップ1200は、CPU1211、GPU1212、一または複数のアナログ演算部1213、一または複数のメモリコントローラ1214、一または複数のインターフェース1215、一または複数のネットワーク回路1216等を有する。 As shown in FIG. 26A, a chip 1200 includes a CPU 1211, a GPU 1212, one or more analog operation units 1213, one or more memory controllers 1214, one or more interfaces 1215, one or more network circuits 1216, and the like. have

チップ1200には、バンプ(図示しない)が設けられ、図26(B)に示すように、プリント基板(Printed Circuit Board:PCB)1201の第1の面と接続する。また、PCB1201の第1の面の裏面には、複数のバンプ1202が設けられており、マザーボード1203と接続する。 The chip 1200 is provided with bumps (not shown) and is connected to a first surface of a printed circuit board (PCB) 1201 as shown in FIG. 26(B). A plurality of bumps 1202 are provided on the back side of the first surface of the PCB 1201 and connected to the motherboard 1203 .

マザーボード1203には、DRAM1221、フラッシュメモリ1222等の記憶装置が設けられていてもよい。例えば、DRAM1221に先の実施の形態に示すDOSRAMを用いることができる。また、例えば、フラッシュメモリ1222に先の実施の形態に示すNOSRAMを用いることができる。 The mother board 1203 may be provided with storage devices such as a DRAM 1221 and a flash memory 1222 . For example, the DOSRAM shown in the previous embodiment can be used for the DRAM 1221 . Further, for example, the NOSRAM described in the above embodiment can be used for the flash memory 1222 .

CPU1211は、複数のCPUコアを有することが好ましい。また、GPU1212は、複数のGPUコアを有することが好ましい。また、CPU1211、およびGPU1212は、それぞれ一時的にデータを格納するメモリを有していてもよい。または、CPU1211、およびGPU1212に共通のメモリが、チップ1200に設けられていてもよい。該メモリには、前述したNOSRAMや、DOSRAMを用いることができる。また、GPU1212は、多数のデータの並列計算に適しており、画像処理や積和演算に用いることができる。GPU1212に、本発明の酸化物半導体を用いた画像処理回路や、積和演算回路を設けることで、画像処理、および積和演算を低消費電力で実行することが可能になる。 The CPU 1211 preferably has multiple CPU cores. Also, the GPU 1212 preferably has multiple GPU cores. Also, the CPU 1211 and GPU 1212 may each have a memory for temporarily storing data. Alternatively, a memory common to the CPU 1211 and the GPU 1212 may be provided in the chip 1200 . The above-mentioned NOSRAM or DOSRAM can be used for the memory. Also, the GPU 1212 is suitable for parallel calculation of a large amount of data, and can be used for image processing and sum-of-products operations. By providing the image processing circuit using the oxide semiconductor of the present invention and the product-sum operation circuit in the GPU 1212, image processing and product-sum operation can be performed with low power consumption.

また、CPU1211、およびGPU1212が同一チップに設けられていることで、CPU1211およびGPU1212間の配線を短くすることができ、CPU1211からGPU1212へのデータ転送、CPU1211、およびGPU1212が有するメモリ間のデータ転送、およびGPU1212での演算後に、GPU1212からCPU1211への演算結果の転送を高速に行うことができる。 In addition, since the CPU 1211 and the GPU 1212 are provided on the same chip, the wiring between the CPU 1211 and the GPU 1212 can be shortened. And, after the calculation by the GPU 1212, transfer of the calculation result from the GPU 1212 to the CPU 1211 can be performed at high speed.

アナログ演算部1213はA/D(アナログ/デジタル)変換回路、およびD/A(デジタル/アナログ)変換回路の一、または両方を有する。また、アナログ演算部1213に上記積和演算回路を設けてもよい。 The analog computation unit 1213 has one or both of an A/D (analog/digital) conversion circuit and a D/A (digital/analog) conversion circuit. Further, the analog calculation unit 1213 may be provided with the sum-of-products calculation circuit.

メモリコントローラ1214は、DRAM1221のコントローラとして機能する回路、およびフラッシュメモリ1222のインターフェースとして機能する回路を有する。 Memory controller 1214 has a circuit that functions as a controller for DRAM 1221 and a circuit that functions as an interface for flash memory 1222 .

インターフェース1215は、表示装置、スピーカー、マイクロフォン、カメラ、コントローラなどの外部接続機器とのインターフェース回路を有する。コントローラとは、マウス、キーボード、ゲーム用コントローラなどを含む。このようなインターフェースとして、USB(Universal Serial Bus)、HDMI(登録商標)(High-Definition Multimedia Interface)などを用いることができる。 The interface 1215 has an interface circuit with externally connected devices such as a display device, speaker, microphone, camera, and controller. Controllers include mice, keyboards, game controllers, and the like. USB (Universal Serial Bus), HDMI (registered trademark) (High-Definition Multimedia Interface), etc. can be used as such an interface.

ネットワーク回路1216は、LAN(Local Area Network)などのネットワーク回路を有する。また、ネットワークセキュリティー用の回路を有してもよい。 The network circuit 1216 has a network circuit such as a LAN (Local Area Network). It may also have circuitry for network security.

チップ1200には、上記回路(システム)を同一の製造プロセスで形成することが可能である。そのため、チップ1200に必要な回路の数が増えても、製造プロセスを増やす必要が無く、チップ1200を低コストで作製することができる。 The circuit (system) can be formed in the chip 1200 by the same manufacturing process. Therefore, even if the number of circuits required for the chip 1200 increases, there is no need to increase the number of manufacturing processes, and the chip 1200 can be manufactured at low cost.

GPU1212を有するチップ1200が設けられたPCB1201、DRAM1221、およびフラッシュメモリ1222が設けられたマザーボード1203は、GPUモジュール1204と呼ぶことができる。 A PCB 1201 provided with a chip 1200 having a GPU 1212 , a motherboard 1203 provided with a DRAM 1221 and a flash memory 1222 can be referred to as a GPU module 1204 .

GPUモジュール1204は、SoC技術を用いたチップ1200を有しているため、そのサイズを小さくすることができる。また、画像処理に優れていることから、スマートフォン、タブレット端末、ラップトップPC、携帯型(持ち出し可能な)ゲーム機などの携帯型電子機器に用いることが好適である。また、GPU1212を用いた積和演算回路により、ディープニューラルネットワーク(DNN)、畳み込みニューラルネットワーク(CNN)、再帰型ニューラルネットワーク(RNN)、自己符号化器、深層ボルツマンマシン(DBM)、深層信念ネットワーク(DBN)などの手法を実行することができるため、チップ1200をAIチップ、またはGPUモジュール1204をAIシステムモジュールとして用いることができる。 Since the GPU module 1204 has the chip 1200 using SoC technology, its size can be reduced. In addition, since it excels in image processing, it is suitable for use in portable electronic devices such as smartphones, tablet terminals, laptop PCs, and portable (portable) game machines. In addition, a product-sum operation circuit using the GPU 1212 enables a deep neural network (DNN), a convolutional neural network (CNN), a recurrent neural network (RNN), an autoencoder, a deep Boltzmann machine (DBM), a deep belief network ( DBN), the chip 1200 can be used as an AI chip, or the GPU module 1204 can be used as an AI system module.

本実施の形態に示す構成は、他の実施の形態などに示す構成と適宜組み合わせて用いることができる。 The structure described in this embodiment can be used in combination with any of the structures described in other embodiments or the like as appropriate.

(実施の形態6)
本実施の形態では、先の実施の形態に示す半導体装置を用いた記憶装置の応用例について説明する。先の実施の形態に示す半導体装置は、例えば、各種電子機器(例えば、情報端末、コンピュータ、スマートフォン、電子書籍端末、デジタルカメラ(ビデオカメラも含む)、録画再生装置、ナビゲーションシステムなど)の記憶装置に適用できる。なお、ここで、コンピュータとは、タブレット型のコンピュータ、ノート型のコンピュータ、デスクトップ型のコンピュータの他、サーバシステムのような大型のコンピュータを含むものである。または、先の実施の形態に示す半導体装置は、メモリカード(例えば、SDカード)、USBメモリ、SSD(ソリッド・ステート・ドライブ)等の各種のリムーバブル記憶装置に適用される。図27にリムーバブル記憶装置の幾つかの構成例を模式的に示す。例えば、先の実施の形態に示す半導体装置は、パッケージングされたメモリチップに加工され、様々なストレージ装置、リムーバブルメモリに用いられる。
(Embodiment 6)
In this embodiment, an application example of a memory device using the semiconductor device described in any of the above embodiments will be described. The semiconductor devices described in the above embodiments are, for example, storage devices of various electronic devices (for example, information terminals, computers, smartphones, electronic book terminals, digital cameras (including video cameras), recording/reproducing devices, navigation systems, etc.). can be applied to Here, the computer includes a tablet computer, a notebook computer, a desktop computer, and a large computer such as a server system. Alternatively, the semiconductor devices described in the above embodiments are applied to various removable storage devices such as memory cards (for example, SD cards), USB memories, and SSDs (solid state drives). FIG. 27 schematically shows some configuration examples of the removable storage device. For example, the semiconductor devices described in the previous embodiments are processed into packaged memory chips and used for various storage devices and removable memories.

図27(A)はUSBメモリの模式図である。USBメモリ1100は、筐体1101、キャップ1102、USBコネクタ1103および基板1104を有する。基板1104は、筐体1101に収納されている。例えば、基板1104には、メモリチップ1105、コントローラチップ1106が取り付けられている。基板1104のメモリチップ1105などに先の実施の形態に示す半導体装置を組み込むことができる。 FIG. 27A is a schematic diagram of a USB memory. USB memory 1100 has housing 1101 , cap 1102 , USB connector 1103 and substrate 1104 . A substrate 1104 is housed in a housing 1101 . For example, a memory chip 1105 and a controller chip 1106 are attached to the substrate 1104 . The semiconductor device described in any of the above embodiments can be incorporated in the memory chip 1105 of the substrate 1104 or the like.

図27(B)はSDカードの外観の模式図であり、図27(C)は、SDカードの内部構造の模式図である。SDカード1110は、筐体1111、コネクタ1112および基板1113を有する。基板1113は筐体1111に収納されている。例えば、基板1113には、メモリチップ1114、コントローラチップ1115が取り付けられている。基板1113の裏面側にもメモリチップ1114を設けることで、SDカード1110の容量を増やすことができる。また、無線通信機能を備えた無線チップを基板1113に設けてもよい。これによって、ホスト装置とSDカード1110間の無線通信によって、メモリチップ1114のデータの読み出し、書き込みが可能となる。基板1113のメモリチップ1114などに先の実施の形態に示す半導体装置を組み込むことができる。 FIG. 27B is a schematic diagram of the appearance of the SD card, and FIG. 27C is a schematic diagram of the internal structure of the SD card. SD card 1110 has housing 1111 , connector 1112 and substrate 1113 . A substrate 1113 is housed in a housing 1111 . For example, a memory chip 1114 and a controller chip 1115 are attached to the substrate 1113 . By providing a memory chip 1114 also on the back side of the substrate 1113, the capacity of the SD card 1110 can be increased. Alternatively, a wireless chip having a wireless communication function may be provided on the substrate 1113 . As a result, data can be read from and written to the memory chip 1114 by wireless communication between the host device and the SD card 1110 . The semiconductor device described in any of the above embodiments can be incorporated in the memory chip 1114 of the substrate 1113 or the like.

図27(D)はSSDの外観の模式図であり、図27(E)は、SSDの内部構造の模式図である。SSD1150は、筐体1151、コネクタ1152および基板1153を有する。基板1153は筐体1151に収納されている。例えば、基板1153には、メモリチップ1154、メモリチップ1155、コントローラチップ1156が取り付けられている。メモリチップ1155はコントローラチップ1156のワークメモリであり、例えばDOSRAMチップを用いればよい。基板1153の裏面側にもメモリチップ1154を設けることで、SSD1150の容量を増やすことができる。基板1153のメモリチップ1154などに先の実施の形態に示す半導体装置を組み込むことができる。 FIG. 27D is a schematic diagram of the appearance of the SSD, and FIG. 27E is a schematic diagram of the internal structure of the SSD. SSD 1150 has housing 1151 , connector 1152 and substrate 1153 . A substrate 1153 is housed in a housing 1151 . For example, substrate 1153 has memory chip 1154 , memory chip 1155 and controller chip 1156 attached thereto. A memory chip 1155 is a work memory for the controller chip 1156, and may be a DOSRAM chip, for example. By providing a memory chip 1154 also on the back side of the substrate 1153, the capacity of the SSD 1150 can be increased. The semiconductor device described in any of the above embodiments can be incorporated in the memory chip 1154 of the substrate 1153 or the like.

本実施の形態は、他の実施の形態などに記載した構成と適宜組み合わせて実施することが可能である。 This embodiment can be implemented in appropriate combination with the structures described in other embodiments and the like.

(実施の形態7)
本発明の一態様に係る半導体装置は、CPUやGPUなどのプロセッサ、またはチップに用いることができる。図28に、本発明の一態様に係るCPUやGPUなどのプロセッサ、またはチップを備えた電子機器の具体例を示す。
(Embodiment 7)
A semiconductor device according to one embodiment of the present invention can be used for a processor such as a CPU or a GPU, or a chip. FIG. 28 shows a specific example of an electronic device including a processor such as a CPU or GPU or a chip according to one embodiment of the present invention.

<電子機器・システム>
本発明の一態様に係るGPUまたはチップは、様々な電子機器に搭載することができる。電子機器の例としては、例えば、テレビジョン装置、デスクトップ型またはノート型の情報端末用などのモニタ、デジタルサイネージ(Digital Signage:電子看板)、パチンコ機などの大型ゲーム機、などの比較的大きな画面を備える電子機器の他、デジタルカメラ、デジタルビデオカメラ、デジタルフォトフレーム、電子ブックリーダー、携帯電話機、携帯型ゲーム機、携帯情報端末、音響再生装置、などが挙げられる。また、本発明の一態様に係るGPUまたはチップを電子機器に設けることにより、電子機器に人工知能を搭載することができる。
<Electronic Devices/Systems>
A GPU or chip according to one aspect of the present invention can be mounted on various electronic devices. Examples of electronic devices include relatively large screens such as televisions, monitors for desktop or notebook information terminals, digital signage (digital signage), large game machines such as pachinko machines, etc. , digital cameras, digital video cameras, digital photo frames, electronic book readers, mobile phones, portable game machines, personal digital assistants, sound reproducing devices, and the like. Further, by providing an electronic device with a GPU or a chip according to one embodiment of the present invention, the electronic device can be equipped with artificial intelligence.

本発明の一態様の電子機器は、アンテナを有していてもよい。アンテナで信号を受信することで、表示部で映像や情報等の表示を行うことができる。また、電子機器がアンテナ及び二次電池を有する場合、アンテナを、非接触電力伝送に用いてもよい。 An electronic device of one embodiment of the present invention may have an antenna. An image, information, or the like can be displayed on the display portion by receiving a signal with the antenna. Moreover, when an electronic device has an antenna and a secondary battery, the antenna may be used for contactless power transmission.

本発明の一態様の電子機器は、センサ(力、変位、位置、速度、加速度、角速度、回転数、距離、光、液、磁気、温度、化学物質、音声、時間、硬度、電場、電流、電圧、電力、放射線、流量、湿度、傾度、振動、においまたは赤外線を測定する機能を含むもの)を有していてもよい。 The electronic device of one embodiment of the present invention includes sensors (force, displacement, position, speed, acceleration, angular velocity, number of rotations, distance, light, liquid, magnetism, temperature, chemical substances, sound, time, hardness, electric field, current, voltage, power, radiation, flow rate, humidity, gradient, vibration, odor or infrared).

本発明の一態様の電子機器は、様々な機能を有することができる。例えば、様々な情報(静止画、動画、テキスト画像など)を表示部に表示する機能、タッチパネル機能、カレンダー、日付または時刻などを表示する機能、様々なソフトウェア(プログラム)を実行する機能、無線通信機能、記録媒体に記録されているプログラムまたはデータを読み出す機能等を有することができる。図28に、電子機器の例を示す。 An electronic device of one embodiment of the present invention can have various functions. For example, functions to display various information (still images, moving images, text images, etc.) on the display, touch panel functions, functions to display calendars, dates or times, functions to execute various software (programs), wireless communication function, a function of reading a program or data recorded on a recording medium, and the like. FIG. 28 shows an example of an electronic device.

[情報端末]
図28(A)には、情報端末の一種である携帯電話(スマートフォン)が図示されている。情報端末5100は、筐体5101と、表示部5102と、を有しており、入力用インターフェースとして、タッチパネルが表示部5102に備えられ、ボタンが筐体5101に備えられている。
[Information terminal]
FIG. 28A illustrates a mobile phone (smartphone), which is a type of information terminal. The information terminal 5100 includes a housing 5101 and a display unit 5102. As an input interface, the display unit 5102 is provided with a touch panel, and the housing 5101 is provided with buttons.

情報端末5100は、本発明の一態様のチップを適用することで、人工知能を利用したアプリケーションを実行することができる。人工知能を利用したアプリケーションとしては、例えば、会話を認識してその会話内容を表示部5102に表示するアプリケーション、表示部5102に備えるタッチパネルに対してユーザが入力した文字、図形などを認識して、表示部5102に表示するアプリケーション、指紋や声紋などの生体認証を行うアプリケーションなどが挙げられる。 By applying the chip of one embodiment of the present invention, the information terminal 5100 can execute an application using artificial intelligence. Applications using artificial intelligence include, for example, an application that recognizes a conversation and displays the content of the conversation on the display unit 5102. An application displayed on the display portion 5102, an application for performing biometric authentication such as a fingerprint or a voiceprint, and the like can be given.

図28(B)には、ノート型情報端末5200が図示されている。ノート型情報端末5200は、情報端末の本体5201と、表示部5202と、キーボード5203と、を有する。 FIG. 28(B) shows a notebook information terminal 5200 . The notebook information terminal 5200 has an information terminal main body 5201 , a display section 5202 , and a keyboard 5203 .

ノート型情報端末5200は、先述した情報端末5100と同様に、本発明の一態様のチップを適用することで、人工知能を利用したアプリケーションを実行することができる。人工知能を利用したアプリケーションとしては、例えば、設計支援ソフトウェア、文章添削ソフトウェア、献立自動生成ソフトウェアなどが挙げられる。また、ノート型情報端末5200を用いることで、新規の人工知能の開発を行うことができる。 As with the information terminal 5100 described above, the notebook information terminal 5200 can execute an application using artificial intelligence by applying the chip of one embodiment of the present invention. Examples of applications using artificial intelligence include design support software, text correction software, and automatic menu generation software. Also, by using the notebook information terminal 5200, it is possible to develop new artificial intelligence.

なお、上述では、電子機器としてスマートフォン、およびノート型情報端末を例として、それぞれ図28(A)、図28(B)に図示したが、スマートフォン、およびノート型情報端末以外の情報端末を適用することができる。スマートフォン、およびノート型情報端末以外の情報端末としては、例えば、PDA(Personal Digital Assistant)、デスクトップ型情報端末、ワークステーションなどが挙げられる。 In the above description, a smartphone and a notebook information terminal are shown as examples of electronic devices in FIGS. 28A and 28B, respectively. be able to. Examples of information terminals other than smartphones and notebook information terminals include PDAs (Personal Digital Assistants), desktop information terminals, and workstations.

[ゲーム機]
図28(C)は、ゲーム機の一例である携帯ゲーム機5300を示している。携帯ゲーム機5300は、筐体5301、筐体5302、筐体5303、表示部5304、接続部5305、操作キー5306等を有する。筐体5302、および筐体5303は、筐体5301から取り外すことが可能である。筐体5301に設けられている接続部5305を別の筐体(図示せず)に取り付けることで、表示部5304に出力される映像を、別の映像機器(図示せず)に出力することができる。このとき、筐体5302、および筐体5303は、それぞれ操作部として機能することができる。これにより、複数のプレイヤーが同時にゲームを行うことができる。筐体5301、筐体5302、および筐体5303の基板に設けられているチップなどに先の実施の形態に示すチップを組み込むことができる。
[game machine]
FIG. 28C shows a portable game machine 5300 as an example of a game machine. A portable game machine 5300 includes a housing 5301, a housing 5302, a housing 5303, a display portion 5304, a connection portion 5305, operation keys 5306, and the like. Housing 5302 and housing 5303 can be removed from housing 5301 . By attaching the connection portion 5305 provided in the housing 5301 to another housing (not shown), the video output to the display portion 5304 can be output to another video device (not shown). can. At this time, the housing 5302 and the housing 5303 can each function as an operation unit. This allows multiple players to play the game at the same time. The chips described in the above embodiments can be incorporated into the chips or the like provided in the substrates of the housings 5301, 5302, and 5303. FIG.

また、図28(D)は、ゲーム機の一例である据え置き型ゲーム機5400を示している。据え置き型ゲーム機5400には、無線または有線でコントローラ5402が接続されている。 FIG. 28D shows a stationary game machine 5400 as an example of the game machine. A controller 5402 is wirelessly or wiredly connected to the stationary game machine 5400 .

携帯ゲーム機5300、据え置き型ゲーム機5400などのゲーム機に本発明の一態様のGPUまたはチップを適用することによって、低消費電力のゲーム機を実現することができる。また、低消費電力により、回路からの発熱を低減することができるため、発熱によるその回路自体、周辺回路、およびモジュールへの影響を少なくすることができる。 By applying the GPU or chip of one embodiment of the present invention to a game machine such as the portable game machine 5300 or the stationary game machine 5400, a low power consumption game machine can be realized. In addition, the low power consumption can reduce the heat generated from the circuit, thereby reducing the influence of the heat on the circuit itself, the peripheral circuits, and the module.

更に、携帯ゲーム機5300に本発明の一態様のGPUまたはチップを適用することによって、人工知能を有する携帯ゲーム機5300を実現することができる。 Furthermore, by applying the GPU or chip of one embodiment of the present invention to the portable game machine 5300, the portable game machine 5300 having artificial intelligence can be realized.

本来、ゲームの進行、ゲーム上に登場する生物の言動、ゲーム上で発生する現象などの表現は、そのゲームが有するプログラムによって定められているが、携帯ゲーム機5300に人工知能を適用することにより、ゲームのプログラムに限定されない表現が可能になる。例えば、プレイヤーが問いかける内容、ゲームの進行状況、時刻、ゲーム上に登場する人物の言動が変化するといった表現が可能となる。 Originally, the progress of the game, the speech and behavior of creatures appearing in the game, and the expressions that occur in the game are determined by the program of the game. , which enables expressions not limited to game programs. For example, it is possible to express changes in the content of questions asked by the player, the progress of the game, the time, and the speech and behavior of characters appearing in the game.

また、携帯ゲーム機5300で複数のプレイヤーが必要なゲームを行う場合、人工知能によって擬人的にゲームプレイヤーを構成することができるため、対戦相手を人工知能によるゲームプレイヤーとすることによって、1人でもゲームを行うことができる。 In addition, when a game requiring a plurality of players is played on the portable game machine 5300, the game players can be anthropomorphically configured by artificial intelligence. can play games.

図28(C)、図28(D)では、ゲーム機の一例として携帯ゲーム機、および据え置き型ゲーム機を図示しているが、本発明の一態様のGPUまたはチップを適用するゲーム機はこれに限定されない。本発明の一態様のGPUまたはチップを適用するゲーム機としては、例えば、娯楽施設(ゲームセンター、遊園地など)に設置されるアーケードゲーム機、スポーツ施設に設置されるバッティング練習用の投球マシンなどが挙げられる。 FIGS. 28C and 28D illustrate a portable game machine and a stationary game machine as examples of game machines, and these are game machines to which the GPU or chip of one embodiment of the present invention is applied. is not limited to Examples of game machines to which the GPU or chip of one embodiment of the present invention is applied include arcade game machines installed in amusement facilities (game arcades, amusement parks, etc.), pitching machines for batting practice installed in sports facilities, and the like. is mentioned.

[大型コンピュータ]
本発明の一態様のGPUまたはチップは、大型コンピュータに適用することができる。
[Large computer]
A GPU or chip of one aspect of the present invention can be applied to large-scale computers.

図28(E)は、大型コンピュータの一例である、スーパーコンピュータ5500を示す図である。図28(F)は、スーパーコンピュータ5500が有するラックマウント型の計算機5502を示す図である。 FIG. 28E is a diagram showing a supercomputer 5500, which is an example of a large computer. FIG. 28F is a diagram showing a rack-mounted computer 5502 that the supercomputer 5500 has.

スーパーコンピュータ5500は、ラック5501と、複数のラックマウント型の計算機5502と、を有する。なお、複数の計算機5502は、ラック5501に格納されている。また、計算機5502には、複数の基板5504が設けられ、当該基板上に上記実施の形態で説明したGPUまたはチップを搭載することができる。 A supercomputer 5500 has a rack 5501 and a plurality of rack-mount computers 5502 . A plurality of computers 5502 are stored in the rack 5501 . Further, the computer 5502 is provided with a plurality of substrates 5504, and the GPUs or chips described in the above embodiments can be mounted over the substrates.

スーパーコンピュータ5500は、主に科学技術計算に利用される大型コンピュータである。科学技術計算では、膨大な演算を高速に処理する必要があるため、消費電力が高く、チップの発熱が大きい。スーパーコンピュータ5500に本発明の一態様のGPUまたはチップを適用することによって、低消費電力のスーパーコンピュータを実現することができる。また、低消費電力により、回路からの発熱を低減することができるため、発熱によるその回路自体、周辺回路、およびモジュールへの影響を少なくすることができる。 The supercomputer 5500 is a large computer mainly used for scientific and technical calculations. Scientific and technical calculations require high-speed processing of enormous amounts of computation, resulting in high power consumption and high chip heat generation. By applying the GPU or chip of one embodiment of the present invention to the supercomputer 5500, a low power consumption supercomputer can be realized. In addition, the low power consumption can reduce the heat generated from the circuit, thereby reducing the influence of the heat on the circuit itself, the peripheral circuits, and the module.

図28(E)、図28(F)では、大型コンピュータの一例としてスーパーコンピュータを図示しているが、本発明の一態様のGPUまたはチップを適用する大型コンピュータはこれに限定されない。本発明の一態様のGPUまたはチップを適用する大型コンピュータとしては、例えば、サービスを提供するコンピュータ(サーバー)、大型汎用コンピュータ(メインフレーム)などが挙げられる。 Although FIGS. 28E and 28F illustrate a supercomputer as an example of a large computer, the large computer to which the GPU or chip of one embodiment of the present invention is applied is not limited to this. Large computers to which the GPU or chip of one aspect of the present invention is applied include, for example, computers that provide services (servers), large general-purpose computers (mainframes), and the like.

[移動体]
本発明の一態様のGPUまたはチップは、移動体である自動車、および自動車の運転席周辺に適用することができる。
[Moving body]
A GPU or chip of one embodiment of the present invention can be applied to automobiles, which are mobile objects, and to the vicinity of the driver's seat of automobiles.

図28(G)は、移動体の一例である自動車の室内におけるフロントガラス周辺を示す図である。図28(G)では、ダッシュボードに取り付けられた表示パネル5701、表示パネル5702、表示パネル5703の他、ピラーに取り付けられた表示パネル5704を図示している。 FIG. 28G is a diagram showing the vicinity of the windshield in the interior of an automobile, which is an example of a moving object. FIG. 28G illustrates a display panel 5701, a display panel 5702, and a display panel 5703 attached to a dashboard, and a display panel 5704 attached to a pillar.

表示パネル5701乃至表示パネル5703は、スピードメーターやタコメーター、走行距離、給油量、ギア状態、エアコンの設定など、その他様々な情報を提供することができる。また、表示パネルに表示される表示項目やレイアウトなどは、ユーザの好みに合わせて適宜変更することができ、デザイン性を高めることが可能である。表示パネル5701乃至表示パネル5703は、照明装置として用いることも可能である。 Display panels 5701 through 5703 can provide a variety of other information such as speedometer, tachometer, mileage, fuel level, gear status, air conditioner settings, and the like. In addition, the display items and layout displayed on the display panel can be appropriately changed according to the user's preference, and the design can be improved. The display panels 5701 to 5703 can also be used as lighting devices.

表示パネル5704には、自動車に設けられた撮像装置(図示しない。)からの映像を映し出すことによって、ピラーで遮られた視界(死角)を補完することができる。すなわち、自動車の外側に設けられた撮像装置からの画像を表示することによって、死角を補い、安全性を高めることができる。また、見えない部分を補完する映像を映すことによって、より自然に違和感なく安全確認を行うことができる。表示パネル5704は、照明装置として用いることもできる。 The display panel 5704 can complement the field of view (blind spot) blocked by the pillars by displaying an image from an imaging device (not shown) provided in the automobile. That is, by displaying an image from an imaging device provided outside the automobile, blind spots can be compensated for and safety can be enhanced. In addition, by projecting an image that supplements the invisible part, safety confirmation can be performed more naturally and without discomfort. The display panel 5704 can also be used as a lighting device.

本発明の一態様のGPUまたはチップは人工知能の構成要素として適用できるため、例えば、当該チップを自動車の自動運転システムに用いることができる。また、当該チップを道路案内、危険予測などを行うシステムに用いることができる。表示パネル5701乃至表示パネル5704には、道路案内、危険予測などの情報を表示する構成としてもよい。 Since the GPU or chip of one aspect of the present invention can be applied as a component of artificial intelligence, the chip can be used in an automatic driving system for automobiles, for example. In addition, the chip can be used in a system for road guidance, danger prediction, and the like. The display panels 5701 to 5704 may be configured to display information such as road guidance and danger prediction.

なお、上述では、移動体の一例として自動車について説明しているが、移動体は自動車に限定されない。例えば、移動体としては、電車、モノレール、船、飛行体(ヘリコプター、無人航空機(ドローン)、飛行機、ロケット)なども挙げることができ、これらの移動体に本発明の一態様のチップを適用して、人工知能を利用したシステムを付与することができる。 In addition, in the above description, an automobile is described as an example of a mobile object, but the mobile object is not limited to an automobile. For example, moving objects include trains, monorails, ships, flying objects (helicopters, unmanned aerial vehicles (drones), airplanes, rockets), and the like, and the chip of one embodiment of the present invention can be applied to these moving objects. It is possible to give a system using artificial intelligence.

[電化製品]
図28(H)は、電化製品の一例である電気冷凍冷蔵庫5800を示している。電気冷凍冷蔵庫5800は、筐体5801、冷蔵室用扉5802、冷凍室用扉5803等を有する。
[electric appliances]
FIG. 28H shows an electric refrigerator-freezer 5800, which is an example of an electrical appliance. The electric freezer-refrigerator 5800 has a housing 5801, a refrigerator compartment door 5802, a freezer compartment door 5803, and the like.

電気冷凍冷蔵庫5800に本発明の一態様のチップを適用することによって、人工知能を有する電気冷凍冷蔵庫5800を実現することができる。人工知能を利用することによって電気冷凍冷蔵庫5800は、電気冷凍冷蔵庫5800に保存されている食材、その食材の消費期限などを基に献立を自動生成する機能や、電気冷凍冷蔵庫5800に保存されている食材に合わせた温度に自動的に調節する機能などを有することができる。 By applying the chip of one embodiment of the present invention to the electric refrigerator-freezer 5800, the electric refrigerator-freezer 5800 having artificial intelligence can be realized. By using artificial intelligence, the electric freezer-refrigerator 5800 has a function of automatically generating a menu based on the ingredients stored in the electric freezer-refrigerator 5800 and the expiration date of the ingredients, etc. It can have a function of automatically adjusting the temperature according to the ingredients.

電化製品の一例として電気冷凍冷蔵庫について説明したが、その他の電化製品としては、例えば、掃除機、電子レンジ、電子オーブン、炊飯器、湯沸かし器、IH調理器、ウォーターサーバ、エアーコンディショナーを含む冷暖房器具、洗濯機、乾燥機、オーディオビジュアル機器などが挙げられる。 Electric refrigerators and freezers have been described as an example of electrical appliances, but other electrical appliances include, for example, vacuum cleaners, microwave ovens, microwave ovens, rice cookers, water heaters, IH cookers, water servers, and air conditioners. Examples include washing machines, dryers, and audiovisual equipment.

本実施の形態で説明した電子機器、その電子機器の機能、人工知能の応用例、その効果などは、他の電子機器の記載と適宜組み合わせることができる。 The electronic devices, the functions of the electronic devices, the application examples of artificial intelligence, the effects thereof, and the like described in this embodiment can be appropriately combined with the description of other electronic devices.

本実施の形態は、他の実施の形態などに記載した構成と適宜組み合わせて実施することが可能である。 This embodiment can be implemented in appropriate combination with the structures described in other embodiments and the like.

10 基板、12 絶縁体、14 絶縁体、20 領域、22 絶縁体、24 絶縁体、30 領域、32 絶縁体、34 絶縁体、200 トランジスタ、205 導電体、212 絶縁体、214 絶縁体、216 絶縁体、220 絶縁体、221 絶縁体、222 絶縁体、223 絶縁体、224 絶縁体、225 絶縁体、230 酸化物、230a 酸化物、230A 酸化膜、230b 酸化物、230B 酸化膜、230c 酸化物、230C 酸化膜、240 導電体、240a 導電体、240A 導電膜、240b 導電体、240B 導電層、250 絶縁体、250A 絶縁膜、252 絶縁体、252A 絶縁膜、260 導電体、260a 導電体、260A 導電膜、260b 導電体、260B 導電膜、274 絶縁体、274A 絶縁膜、275 絶縁体、280 絶縁体、280A 絶縁膜、282 絶縁体、283 絶縁体、284 絶縁体 10 Substrate 12 Insulator 14 Insulator 20 Region 22 Insulator 24 Insulator 30 Region 32 Insulator 34 Insulator 200 Transistor 205 Conductor 212 Insulator 214 Insulator 216 Insulation body, 220 insulator, 221 insulator, 222 insulator, 223 insulator, 224 insulator, 225 insulator, 230 oxide, 230a oxide, 230A oxide film, 230b oxide, 230B oxide film, 230c oxide, 230C Oxide film 240 Conductor 240a Conductor 240A Conductive film 240b Conductor 240B Conductive layer 250 Insulator 250A Insulating film 252 Insulator 252A Insulating film 260 Conductor 260a Conductor 260A Conductive Film 260b Conductor 260B Conductive film 274 Insulator 274A Insulating film 275 Insulator 280 Insulator 280A Insulating film 282 Insulator 283 Insulator 284 Insulator

Claims (5)

第1の導電層と、a first conductive layer;
前記第1の導電層上の第1の絶縁体と、a first insulator on the first conductive layer;
前記第1の絶縁体上の酸化物半導体層と、an oxide semiconductor layer on the first insulator;
前記酸化物半導体層上の第2の絶縁体と、a second insulator on the oxide semiconductor layer;
前記第2の絶縁体上の第2の導電層と、a second conductive layer on the second insulator;
前記第2の導電層上の第3の絶縁体と、を有し、a third insulator on the second conductive layer;
前記酸化物半導体層は、トランジスタのチャネル形成領域を有し、The oxide semiconductor layer has a channel formation region of a transistor,
前記第1の導電層は、前記トランジスタの第1のゲート電極として機能する領域を有し、the first conductive layer has a region functioning as a first gate electrode of the transistor;
前記第2の導電層は、前記トランジスタの第2のゲート電極として機能する領域を有し、the second conductive layer has a region functioning as a second gate electrode of the transistor;
前記第1の絶縁体は、第1の層と第2の層と第3の層とを有し、the first insulator has a first layer, a second layer and a third layer;
前記第1の層は、前記第1の導電層の上面に接する領域を有し、the first layer has a region in contact with the top surface of the first conductive layer;
前記第3の層は、前記酸化物半導体層の底面に接する領域を有し、the third layer has a region in contact with the bottom surface of the oxide semiconductor layer,
前記第2の絶縁体は、第4の層と第5の層と第6の層とを有し、the second insulator has a fourth layer, a fifth layer, and a sixth layer;
前記第6の層は、前記第2の導電層の底面に接する領域と、前記第2の導電層の側面に接する領域と、を有し、the sixth layer has a region in contact with the bottom surface of the second conductive layer and a region in contact with the side surface of the second conductive layer;
前記第5の層は、前記第6の層の底面に接する領域を有し、The fifth layer has a region in contact with the bottom surface of the sixth layer,
前記第4の層は、前記第5の層の底面に接する領域を有し、The fourth layer has a region in contact with the bottom surface of the fifth layer,
前記第3の絶縁体は、前記第2の導電層の上面に接する領域と、前記第4の層に接する領域と、前記第5の層に接する領域と、前記第6の層に接する領域と、を有し、The third insulator has a region in contact with the upper surface of the second conductive layer, a region in contact with the fourth layer, a region in contact with the fifth layer, and a region in contact with the sixth layer. , and
前記第1の層は、シリコンを含み、the first layer comprises silicon;
前記第2の層は、ガリウムを含み、the second layer comprises gallium;
前記第3の層は、シリコンを含み、the third layer comprises silicon;
前記第4の層は、シリコンを含み、the fourth layer comprises silicon;
前記第5の層は、ガリウムを含み、the fifth layer comprises gallium;
前記第6の層は、シリコンを含む、半導体装置。The semiconductor device, wherein the sixth layer contains silicon.
第1の絶縁体と、a first insulator;
前記第1の絶縁体上の酸化物半導体層と、an oxide semiconductor layer on the first insulator;
前記酸化物半導体層上の第2の絶縁体と、a second insulator on the oxide semiconductor layer;
前記第2の絶縁体上の第2の導電層と、a second conductive layer on the second insulator;
前記第2の導電層上の第3の絶縁体と、を有し、a third insulator on the second conductive layer;
前記酸化物半導体層は、トランジスタのチャネル形成領域を有し、The oxide semiconductor layer has a channel formation region of a transistor,
前記第2の導電層は、前記トランジスタの第2のゲート電極として機能する領域を有し、the second conductive layer has a region functioning as a second gate electrode of the transistor;
前記第2の絶縁体は、第4の層と第5の層と第6の層とを有し、the second insulator has a fourth layer, a fifth layer, and a sixth layer;
前記第6の層は、前記第2の導電層の底面に接する領域と、前記第2の導電層の側面に接する領域と、を有し、the sixth layer has a region in contact with the bottom surface of the second conductive layer and a region in contact with the side surface of the second conductive layer;
前記第5の層は、前記第6の層の底面に接する領域を有し、The fifth layer has a region in contact with the bottom surface of the sixth layer,
前記第4の層は、前記第5の層の底面に接する領域を有し、The fourth layer has a region in contact with the bottom surface of the fifth layer,
前記第3の絶縁体は、前記第2の導電層の上面に接する領域と、前記第4の層に接する領域と、前記第5の層に接する領域と、前記第6の層に接する領域と、を有し、The third insulator has a region in contact with the upper surface of the second conductive layer, a region in contact with the fourth layer, a region in contact with the fifth layer, and a region in contact with the sixth layer. , and
前記第4の層は、シリコンを含み、the fourth layer comprises silicon;
前記第5の層は、ガリウムを含み、the fifth layer comprises gallium;
前記第6の層は、シリコンを含む、半導体装置。The semiconductor device, wherein the sixth layer contains silicon.
請求項2において、
前記第1の絶縁体は、第1の層と第2の層と第3の層とを有し、
前記第1の層は、シリコンを含み、
前記第2の層は、ガリウムを含み、
前記第3の層は、シリコンを含む、半導体装置。
In claim 2,
the first insulator has a first layer, a second layer and a third layer;
the first layer comprises silicon;
the second layer comprises gallium;
The semiconductor device, wherein the third layer contains silicon.
請求項1乃至請求項3のいずれか一において、In any one of claims 1 to 3,
容量素子を有し、having a capacitive element,
前記容量素子は、前記第3の絶縁体の上方に形成される、半導体装置。The semiconductor device, wherein the capacitive element is formed above the third insulator.
請求項1において、In claim 1,
容量素子を有し、having a capacitive element,
前記容量素子は、前記第1の導電層の下方に形成される、the capacitive element is formed below the first conductive layer;
半導体装置。semiconductor equipment.
JP2018114837A 2018-06-15 2018-06-15 Transistors and semiconductor devices Active JP7204353B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2018114837A JP7204353B2 (en) 2018-06-15 2018-06-15 Transistors and semiconductor devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018114837A JP7204353B2 (en) 2018-06-15 2018-06-15 Transistors and semiconductor devices

Publications (3)

Publication Number Publication Date
JP2019220516A JP2019220516A (en) 2019-12-26
JP2019220516A5 JP2019220516A5 (en) 2021-07-26
JP7204353B2 true JP7204353B2 (en) 2023-01-16

Family

ID=69096976

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018114837A Active JP7204353B2 (en) 2018-06-15 2018-06-15 Transistors and semiconductor devices

Country Status (1)

Country Link
JP (1) JP7204353B2 (en)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021144666A1 (en) * 2020-01-16 2021-07-22 株式会社半導体エネルギー研究所 Semiconductor device and method for manufacturing semiconductor device
JPWO2021186297A1 (en) * 2020-03-20 2021-09-23
WO2023199160A1 (en) * 2022-04-14 2023-10-19 株式会社半導体エネルギー研究所 Semiconductor device and method for manufacturing semiconductor device
WO2023203417A1 (en) * 2022-04-19 2023-10-26 株式会社半導体エネルギー研究所 Semiconductor device and method for manufacturing semiconductor device
WO2024013602A1 (en) * 2022-07-13 2024-01-18 株式会社半導体エネルギー研究所 Transistor and transistor fabrication method

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140291669A1 (en) 2013-03-29 2014-10-02 Lg Display Co., Ltd. Thin-Film Transistor, Method for Manufacturing the Same and Display Device Comprising the Same
WO2016189425A1 (en) 2015-05-28 2016-12-01 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP2017045989A (en) 2015-08-26 2017-03-02 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
JP2017050530A (en) 2015-07-08 2017-03-09 株式会社半導体エネルギー研究所 Semiconductor device and method of manufacturing the same
JP2018082102A (en) 2016-11-17 2018-05-24 株式会社半導体エネルギー研究所 Semiconductor device and semiconductor device manufacturing method
JP2018085507A (en) 2016-11-17 2018-05-31 株式会社半導体エネルギー研究所 Semiconductor device and method of manufacturing semiconductor device

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140291669A1 (en) 2013-03-29 2014-10-02 Lg Display Co., Ltd. Thin-Film Transistor, Method for Manufacturing the Same and Display Device Comprising the Same
WO2016189425A1 (en) 2015-05-28 2016-12-01 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP2017050530A (en) 2015-07-08 2017-03-09 株式会社半導体エネルギー研究所 Semiconductor device and method of manufacturing the same
JP2017045989A (en) 2015-08-26 2017-03-02 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
JP2018082102A (en) 2016-11-17 2018-05-24 株式会社半導体エネルギー研究所 Semiconductor device and semiconductor device manufacturing method
JP2018085507A (en) 2016-11-17 2018-05-31 株式会社半導体エネルギー研究所 Semiconductor device and method of manufacturing semiconductor device

Also Published As

Publication number Publication date
JP2019220516A (en) 2019-12-26

Similar Documents

Publication Publication Date Title
JP7204353B2 (en) Transistors and semiconductor devices
JPWO2020003047A1 (en) Semiconductor devices and methods for manufacturing semiconductor devices
JP7297743B2 (en) METHOD FOR MAKING METAL OXIDE
JP7442997B2 (en) semiconductor equipment
US11942370B2 (en) Manufacturing method of semiconductor device
JP7374918B2 (en) semiconductor equipment
JP7235418B2 (en) Manufacturing method of semiconductor device
JP2020009960A (en) Semiconductor device and method of manufacturing the same
JP2023165808A (en) Method for manufacturing semiconductor device
JP7395488B2 (en) semiconductor equipment
JP2022183244A (en) Semiconductor device
US20230329002A1 (en) Semiconductor device, capacitor, and manufacturing method thereof
US20230110947A1 (en) Metal oxide, deposition method of metal oxide, and deposition apparatus for metal oxide
JP7322008B2 (en) semiconductor equipment
JPWO2020049396A1 (en) Semiconductor devices and methods for manufacturing semiconductor devices
CN112913033A (en) Method for manufacturing metal oxide and method for manufacturing semiconductor device
JP2024056943A (en) Method for manufacturing a semiconductor device
JP7372388B2 (en) Semiconductor device and its manufacturing method
JP7391875B2 (en) semiconductor equipment
JP7046692B2 (en) Semiconductor device
JPWO2020049420A1 (en) Semiconductor devices and methods for manufacturing semiconductor devices
JPWO2019207410A1 (en) Semiconductor device
JPWO2019155329A1 (en) Semiconductor devices and methods for manufacturing semiconductor devices

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210607

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210607

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220720

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221206

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221228

R150 Certificate of patent or registration of utility model

Ref document number: 7204353

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150