JP6956086B2 - Cobalt-containing film-forming compositions, their synthesis and use in film precipitation - Google Patents

Cobalt-containing film-forming compositions, their synthesis and use in film precipitation Download PDF

Info

Publication number
JP6956086B2
JP6956086B2 JP2018530087A JP2018530087A JP6956086B2 JP 6956086 B2 JP6956086 B2 JP 6956086B2 JP 2018530087 A JP2018530087 A JP 2018530087A JP 2018530087 A JP2018530087 A JP 2018530087A JP 6956086 B2 JP6956086 B2 JP 6956086B2
Authority
JP
Japan
Prior art keywords
forming composition
sime
containing film
film
silylamide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018530087A
Other languages
Japanese (ja)
Other versions
JP2019503433A (en
JP2019503433A5 (en
Inventor
諭子 ガティノ
諭子 ガティノ
美喜子 木村
美喜子 木村
クリスチャン・デュサラート
ジャン−マルク・ジラード
ニコラス・ブラスコ
Original Assignee
レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード filed Critical レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード
Publication of JP2019503433A publication Critical patent/JP2019503433A/en
Publication of JP2019503433A5 publication Critical patent/JP2019503433A5/ja
Application granted granted Critical
Publication of JP6956086B2 publication Critical patent/JP6956086B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02697Forming conducting materials on a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

関連出願への相互参照
本出願は、全ての目的のために、参照によって全体として本明細書に組み込まれる、2015年12月31日出願の米国特許出願第14/986,286号明細書の利益を請求する。
Cross-references to related applications Benefits of U.S. Patent Application No. 14 / 986,286, filed December 31, 2015, which is incorporated herein by reference in its entirety for all purposes. To charge.

コバルト含有フィルム形成組成物、それらの調製およびそれらのフィルムの蒸着のための使用が開示される。コバルト含有フィルム形成組成物は、シリルアミド含有前駆体、特に、Co[N(SiMe(NMeEt)および/またはCo[N(SiMe(NMeEt)を含んでなる。 Cobalt-containing film-forming compositions, their preparation and their use for vapor deposition of films are disclosed. The cobalt-containing film-forming composition comprises a silylamide-containing precursor, in particular Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et) and / or Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2 ). Become.

化学蒸着(CVD)および原子層析出(ALD)は、半導体デバイス用の薄膜を製造するための主要な析出技術として応用されている。これらの方法は、析出プロセス間のパラメータの微細な調節によって、コンフォーマルフィルム(金属、酸化物、窒化物、ケイ化物など)の達成を可能にする。主にフィルムの成長は、金属含有化合物(前駆体)の化学反応によって制御され、そして最適前駆体の開発は、それらの特性および反応プロセスの予測下で不可欠である。 Chemical vapor deposition (CVD) and atomic layer deposition (ALD) have been applied as major deposition techniques for producing thin films for semiconductor devices. These methods allow the achievement of conformal films (metals, oxides, nitrides, silicides, etc.) by finely adjusting the parameters between the precipitation processes. Primarily film growth is controlled by chemical reactions of metal-containing compounds (precursors), and the development of optimal precursors is essential in anticipation of their properties and reaction process.

特に、マンガン、鉄、コバルトおよびルテニウムの、遷移金属および遷移金属ケイ化物のフィルムは、種々の電子および電気化学的応用のために重要となっている。例えば、コバルト薄膜は、それらの高い透磁率のため、興味深い。半導体デバイスのフロントエンドプロセスにおいて、その低い抵抗性によるオーム接触のため、二ケイ化コバルト(CoSi)を形成するためにコバルト薄膜を使用するという多くの報告書がある。コバルト含有薄膜は、最近、Cu/低kバリア、不動態化層および超大規模集積化デバイスのためのキャッピング層として調査された。 In particular, films of transition metals and transition metal silicides of manganese, iron, cobalt and ruthenium have become important for a variety of electronic and electrochemical applications. Cobalt thin films , for example, are interesting because of their high magnetic permeability. There are many reports that cobalt thin films are used to form cobalt disilicate (CoSi 2 ) in the front-end process of semiconductor devices due to their low resistance to ohm contact. Cobalt-containing thin films have recently been investigated as Cu / low k barriers, passivation layers and capping layers for very large integrated devices.

シリルアミド化合物の合成が報告された(Monatsh.Chem.(1963),94(6),pp.1007−1012;Polyhedron 22(2003)pp.67−73,J.C.S.Chem.Comm.(1972)pp.872−873;Inorg.Chem.(1984)23,4584−4588;米国特許第6969539B2号明細書)。シリルアミド化合物を使用する蒸着フィルム形成も報告された(Chem.Vap.Deposition 1995,1,No.2,49−51;R.G.Gordonら;米国特許出願公開第2009/0053426A1号明細書 Applied Materials;米国特許出願公開第2014/0255606号明細書 Applied Materials)。 Synthesis of silylamide compounds has been reported (Monash. Chem. (1963), 94 (6), pp. 1007-1012; Polyhedron 22 (2003) pp. 67-73, JCS Chem. Comm. ( 1972) pp. 872-873; Inorgan. Chem. (1984) 23,4584-4588; US Pat. No. 6,695,039 B2). Thin-film film formation using silylamide compounds has also been reported (Chem. Vap. Deposition 1995, 1, No. 2, 49-51; RG Gordon et al .; Applied Materials, US Patent Application Publication No. 2009/0053426A1. US Patent Application Publication No. 2014/0255606, Applied Materials).

Gordonらへの米国特許第6,969,539号明細書は、以下を開示する。 US Pat. No. 6,969,539 to Gordon et al. Discloses:

Figure 0006956086
Figure 0006956086

当業者は、Co含有前駆体の液体形態が蒸着法に好ましく、開示された蒸気圧は、薄膜析出のための工業的利用のために非常に低いことを認識するであろう。 Those skilled in the art will recognize that the liquid form of the Co-containing precursor is preferred for deposition methods and the disclosed vapor pressure is very low for industrial use for thin film precipitation.

蒸気相フィルム析出における使用のために十分に安定であるまま、適切に揮発するCo含有前駆体を選択することは商業的実施のために重要であり、かつ常に容易に決定されない。 Choosing a Co-containing precursor that volatilizes properly while remaining stable enough for use in vapor phase film precipitation is important for commercial practice and is not always easily determined.

次式: The following formula:

Figure 0006956086
Figure 0006956086

(式中、MはCoであり;各R、RおよびRは、独立して、水素(H)またはC1−C4炭化水素から選択され;Lは、ピリジン、NMe、NEt、NMeEt、NMeEt、1−Me−ピロリジンまたはPMeから選択される1種または2種の中性付加物であり;かつRおよびRまたはRおよびRは結合して、環式ケイ素含有複素環を形成していてもよい)を有するシリルアミド含有前駆体を含んでなるコバルト含有フィルム形成組成物が開示される。開示されたシリルアミド含有フィルム形成組成物は、次の態様の1つまたはそれ以上を有してもよい:
●各R、RおよびRは、H、メチル、エチル、イソプロピル、n−プロピル、n−ブチルまたはt−ブチルから独立して選択され;
●シリルアミド含有前駆体は、{Co[N(SiMeであり;
●シリルアミド含有前駆体は、Co[N(SiMe(py)であり;
●シリルアミド含有前駆体は、Co[N(SiMe(MeN)であり;
●シリルアミド含有前駆体は、Co[N(SiMe(EtN)であり;
●シリルアミド含有前駆体は、Co[N(SiMe(MeEtN)であり;
●シリルアミド含有前駆体は、Co[N(SiMe(MeEtN)であり;
●シリルアミド含有前駆体は、Co[N(SiMe(1−Me−ピロリジン)であり;
●シリルアミド含有前駆体は、Co[N(SiMe(PMe)であり;
●シリルアミド含有前駆体は、{Co[N(SiMeEt)であり;
●シリルアミド含有前駆体は、Co[N(SiMeEt)(py)であり;
●シリルアミド含有前駆体は、Co[N(SiMeEt)(MeN)であり;
●シリルアミド含有前駆体は、Co[N(SiMeEt)(EtN)であり;
●シリルアミド含有前駆体は、Co[N(SiMeEt)(MeEtN)であり;
●シリルアミド含有前駆体は、Co[N(SiMeEt)(MeEtN)であり;
●シリルアミド含有前駆体は、Co[N(SiMeEt)(1−Me−ピロリジン)であり;
●シリルアミド含有前駆体は、Co[N(SiMeEt)(PMe)であり;
●コバルト含有フィルム形成組成物は、約99%w/w〜約100%w/wのシリルアミド含有前駆体を含んでなり;
●コバルト含有フィルム形成組成物は、50℃において4週間後、約99%w/w〜約100%w/wのシリルアミド含有前駆体を含んでなり;
●コバルト含有フィルム形成組成物は、室温(約23℃)において12週間後、約99%w/w〜約100%w/wのシリルアミド含有前駆体を含んでなり;
●コバルト含有フィルム形成組成物は、1トルのシリルアミド含有前駆体の蒸気圧を生じる温度における2週間の安定性試験後の熱重量分析下で3%未満の残渣質量を生じ;
●コバルト含有フィルム形成組成物は、1トルのシリルアミド含有前駆体の蒸気圧を生じる温度における3週間の安定性試験後の熱重量分析下で3%未満の残渣質量を生じ;
●コバルト含有フィルム形成組成物は、1トルのシリルアミド含有前駆体の蒸気圧を生じる温度における2カ月間の安定性試験後の熱重量分析下で3%未満の残渣質量を生じ;
●コバルト含有フィルム形成組成物は、約95%w/w〜約100%w/wのシリルアミド含有前駆体を含んでなり;
●Co含有フィルム形成組成物は、約5%w/w〜約50%w/wのシリルアミド含有前駆体を含んでなり;
●Co含有フィルム形成組成物は、水を含まず;
●Co含有フィルム形成組成物は、約0%w/w〜約5%w/wの不純物を含んでなり;
●Co含有フィルム形成組成物は、約0.0%w/w〜約2.0%w/wの不純物を含んでなり;
●Co含有フィルム形成組成物は、約0.0%w/w〜約1.0%w/wの不純物を含んでなり;
●不純物は、ハロゲン化物、アルカリ金属、アリル置換シラン、リチウム、ナトリウムまたはカリウムハロゲン化物;THF;エーテル;ペンタン;シクロヘキサン;ヘプタン;ベンゼン;トルエンを含み;
●Co含有フィルム形成組成物は、約0ppbw〜約1ppmwの金属不純物を含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約500ppbwの金属不純物を含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのAlを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのAsを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのBaを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのBeを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのBiを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのCdを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのCaを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのCrを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのCuを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのGaを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのGeを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのHfを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのZrを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのInを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのFeを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのPbを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのLiを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのMgを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのMnを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのWを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのNiを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのKを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのNaを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのSrを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのThを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのSnを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのTiを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのUを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのVを含んでなり;
●Co含有フィルム形成組成物は、約0ppbw〜約100ppbwのZnを含んでなり;
●Co含有フィルム形成組成物は、約0ppmw〜約100ppmwのClを含んでなり;
●Co含有フィルム形成組成物は、約0ppmw〜約100ppmwのBrを含んでなる。
(In the formula, M is Co; each R 1 , R 2 and R 3 is independently selected from hydrogen (H) or C1-C4 hydrocarbons; L is pyridine, NMe 3 , NEt 3 , One or two neutral adducts selected from NMe 2 Et, NMe Et 2 , 1-Me-pyrrolidine or PMe 3 ; and R 1 and R 2 or R 2 and R 3 are attached to the ring. A cobalt-containing film-forming composition comprising a silylamide-containing precursor having a (may form a silicon-containing heterocycle) is disclosed. The disclosed silylamide-containing film-forming composition may have one or more of the following embodiments:
● Each R 1 , R 2 and R 3 is independently selected from H, methyl, ethyl, isopropyl, n-propyl, n-butyl or t-butyl;
● The silylamide-containing precursor is {Co [N (SiMe 3 ) 2 ] 2 } 2 ;
● The silylamide-containing precursor is Co [N (SiMe 3 ) 2 ] 2 (py);
● The silylamide-containing precursor is Co [N (SiMe 3 ) 2 ] 2 (Me 3 N);
● The silylamide-containing precursor is Co [N (SiMe 3 ) 2 ] 2 (Et 3 N);
● The silylamide-containing precursor is Co [N (SiMe 3 ) 2 ] 2 (Me 2 EtN);
● The silylamide-containing precursor is Co [N (SiMe 3 ) 2 ] 2 (MeEt 2 N);
● The silylamide-containing precursor is Co [N (SiMe 3 ) 2 ] 2 (1-Me-pyrrolidine);
● The silylamide-containing precursor is Co [N (SiMe 3 ) 2 ] 2 (PMe 3 );
● The silylamide-containing precursor is {Co [N (SiMe 2 Et) 2 ] 2 } 2 ;
● The silylamide-containing precursor is Co [N (SiMe 2 Et) 2 ] 2 (py);
● The silylamide-containing precursor is Co [N (SiMe 2 Et) 2 ] 2 (Me 3 N);
● The silylamide-containing precursor is Co [N (SiMe 2 Et) 2 ] 2 (Et 3 N);
● The silylamide-containing precursor is Co [N (SiMe 2 Et) 2 ] 2 (Me 2 EtN);
● The silylamide-containing precursor is Co [N (SiMe 2 Et) 2 ] 2 (MeEt 2 N);
● The silylamide-containing precursor is Co [N (SiMe 2 Et) 2 ] 2 (1-Me-pyrrolidine);
● The silylamide-containing precursor is Co [N (SiMe 2 Et) 2 ] 2 (PMe 3 );
● The cobalt-containing film-forming composition comprises from about 99% w / w to about 100% w / w of silylamide-containing precursors;
● The cobalt-containing film-forming composition comprises a silylamide-containing precursor of about 99% w / w to about 100% w / w after 4 weeks at 50 ° C .;
● The cobalt-containing film-forming composition comprises a silylamide-containing precursor of about 99% w / w to about 100% w / w after 12 weeks at room temperature (about 23 ° C.);
● Cobalt-containing film-forming compositions yield less than 3% residual mass under thermogravimetric analysis after a 2-week stability test at temperatures producing vapor pressure of 1 torr of silylamide-containing precursors;
● Cobalt-containing film-forming compositions yield less than 3% residual mass under thermogravimetric analysis after a 3-week stability test at temperatures producing vapor pressure of 1 torr of silylamide-containing precursors;
● Cobalt-containing film-forming compositions yield less than 3% residual mass under thermogravimetric analysis after a 2-month stability test at temperatures producing vapor pressure of 1 torr of silylamide-containing precursors;
● The cobalt-containing film-forming composition comprises from about 95% w / w to about 100% w / w of silylamide-containing precursors;
● The Co-containing film-forming composition comprises from about 5% w / w to about 50% w / w of silylamide-containing precursors;
● The Co-containing film-forming composition does not contain water;
● The Co-containing film-forming composition comprises impurities of about 0% w / w to about 5% w / w;
● The Co-containing film-forming composition comprises impurities of about 0.0% w / w to about 2.0% w / w;
● The Co-containing film-forming composition comprises impurities of about 0.0% w / w to about 1.0% w / w;
● Halides include halides, alkali metals, allyl-substituted silanes, lithium, sodium or potassium halides; THF; ethers; pentane; cyclohexane; heptane; benzene; toluene;
● The Co-containing film-forming composition comprises from about 0 ppbw to about 1 ppmw of metal impurities;
● The Co-containing film-forming composition comprises from about 0 ppbw to about 500 ppbb of metal impurities;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Al;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of As;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb Ba;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Be;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Bi;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Cd;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Ca;
● The Co-containing film-forming composition comprises about 0 ppbb to about 100 ppbb of Cr;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Cu;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Ga;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Ge;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Hf;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Zr;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of In;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Fe;
● The Co-containing film-forming composition comprises from about 0 ppbw to about 100 ppbw of Pb;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Li;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Mg;
● The Co-containing film-forming composition comprises about 0 ppbb to about 100 ppbb of Mn;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of W;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Ni;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of K;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Na;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Sr;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Th;
● The Co-containing film-forming composition comprises Sn of about 0 ppbb to about 100 ppbb;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of Ti;
● The Co-containing film-forming composition comprises from about 0 ppbb to about 100 ppbb of U;
● The Co-containing film-forming composition comprises V from about 0 ppbb to about 100 ppbb;
● The Co-containing film-forming composition comprises about 0 ppbb to about 100 ppbb of Zn;
● The Co-containing film-forming composition comprises from about 0 ppmw to about 100 ppmw of Cl;
● The Co-containing film-forming composition contains Br of about 0 ppmw to about 100 ppmw.

入口導管および出口導管を有するキャニスターを含んでなり、かつ上記で開示されたいずれかのCo含有フィルム形成組成物を含有する、Co含有フィルム形成組成物デリバリーデバイスも開示される。開示されたデバイスは、次の態様の1つまたはそれ以上を有してもよい:
●Co含有フィルム形成組成物は、10ppmw未満の金属汚染物全濃度を有し;
●入口導管の端部は、Co含有フィルム形成組成物の表面上に配置され、かつ出口導管の端部は、Co含有フィルム形成組成物の表面下に配置され;
●入口導管の端部はCo含有フィルム形成組成物の表面下に配置され、かつ出口導管の端部は、Co含有フィルム形成組成物の表面上に配置され;
●入口および出口上にダイヤフラムバルブをさらに含んでなり;
●キャニスターの内面上に1層またはそれ以上のバリア層をさらに含んでなり;
●キャニスターの内面上に1〜4層のバリア層をさらに含んでなり;
●キャニスターの内面上に1層または2層のバリア層をさらに含んでなり;
●各バリア層が、酸化ケイ素層、窒化ケイ素層、酸窒化ケイ素層、炭窒化ケイ素、酸炭窒化ケイ素層またはその組合せを含んでなり;
●各バリア層が、1〜100nmの厚さであり;
●各バリア層が、2〜10nmの厚さであり;
●Co含有フィルム形成組成物が、Co[N(SiMe(NMeEt)を含んでなり;
●Co含有フィルム形成組成物が、Co[N(SiMe(NMeEt)を含んでなる。
Also disclosed is a Co-containing film-forming composition delivery device comprising a canister with an inlet and outlet conduits and containing any of the Co-containing film-forming compositions disclosed above. The disclosed device may have one or more of the following aspects:
● The Co-containing film-forming composition has a total concentration of less than 10 ppmw of metal contaminants;
● the ends of the inlet conduits are disposed on the surface of the Co-containing film-forming composition, and the end of the outlet conduit is disposed below the surface of the Co-containing film-forming composition;
● the ends of the inlet conduits are arranged below the surface of the Co-containing film-forming composition, and the end of the outlet conduit is disposed on the surface of the Co-containing film-forming composition;
● Additional diaphragm valves on the inlet and outlet;
● One or more barrier layers may be further included on the inner surface of the canister;
● An additional 1 to 4 barrier layers are included on the inner surface of the canister;
● One or two additional barrier layers on the inner surface of the canister;
● Each barrier layer comprises a silicon oxide layer, a silicon nitride layer, a silicon nitride layer , a silicon nitride layer, a silicon nitride layer or a combination thereof;
● Each barrier layer is 1 to 100 nm thick;
● Each barrier layer is 2-10 nm thick;
● The Co-containing film-forming composition comprises Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et);
● The Co-containing film-forming composition comprises Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2 ).

基体上にCo含有層を析出する方法も開示される。上記で開示されたいずれかのCo含有フィルム形成組成物の蒸気を、その中に基体が配置された反応器中に導入する。蒸着法を使用して、シリルアミド含有前駆体の少なくとも一部を基体上に析出させ、Co含有層を形成する。開示された方法は、次の態様の1つまたはそれ以上を有してもよい:
●Co含有フィルム形成組成物は、Co[N(SiMe(NMeEt)、Co[N(SiMe(NMeEt)またはその組合せから選択されるシリルアミド含有前駆体を含んでなり;
●シリルアミド含有前駆体は、Co[N(SiMe(NMeEt)であり;
●シリルアミド含有前駆体は、Co[N(SiMe(NMeEt)であり;
●第2の前駆体を含んでなる蒸気を反応器に導入し;
●第2の前駆体の元素が、第2族、第13族、第14族、遷移金属、ランタイドおよびその組合せからなる群から選択され;
●第2の前駆体の元素が、Mg、Ca、Sr、Ba、Zr、Hf、Ti、Nb、Ta、
Al、Si、Ge、Yまたはランタイドから選択され;
●反応物を反応器に導入し;
●反応物が、O、O、HO、H、NO、NO、カルボン酸、そのラジカルおよびその組合せからなる群から選択され;
●反応物が、プラズマ処理酸素であり;
●反応物が、オゾンであり;
●反応物が、H、NH、(SiHN、(SiH、Si、Si、Si10、Si10、Si12などの)ヒドリドシラン、(SiHCl、SiHCl、SiHCl、SiCl、SiHCl、SiClなどの)クロロシランおよびクロロポリシラン、(MeSiH、EtSiH、MeSiH、EtSiHなどの)アルキルシラン、(N、MeHNNH、MeHNNHMeなどの)ヒドラジン、(エタノールまたはメタノールなどの)アルコール、(NMeH、NEtH、NMeH、NEtH、NMe、NEt、(SiMeNHなどの)有機アミン、ピラゾリン、ピリジン、(B、9−ボラビシクロ[3,3,1]ノナン、トリメチルボロン、トリエチルボロン、ボラジンなどの)B含有分子、(トリメチルアルミニウム、トリエチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛などの)アルキル金属、そのラジカル種およびその混合物からなる群から選択され;
●反応物が、H、NH、SiH、Si、Si、SiHMe、SiHEt、N(SiH、その水素ラジカルおよびその混合物からなる群から選択され;
●反応物が、NH、N、N(SiH、N(CH)H、N(C)H、N(CHH、N(CH、N(CH、N(C、(SiMeNH、(CH)HNNH、(CHNNH、その窒素含有ラジカル種およびその混合物からなる群から選択され;
●反応物が、HCDSまたはPCDSであり;
●反応物が、プラズマ処理Nであり;
●蒸着法が、化学蒸着(CVD)プロセスであり;
●蒸着法が、ALDプロセスであり;
●蒸着法が、PEALDプロセスであり;
●蒸着法が、空間的ALDプロセスであり;
●Co含有層が、酸化コバルト層であり;
●Co含有層が、窒化コバルト層であり;
●Co含有層が、Coであり;かつ
●Co含有層が、CoSiである。
Also disclosed is a method of precipitating a Co-containing layer on a substrate. The vapor of any of the Co-containing film-forming compositions disclosed above is introduced into a reactor in which a substrate is placed. A vapor deposition method is used to precipitate at least a portion of the silylamide-containing precursor onto a substrate to form a Co-containing layer. The disclosed method may have one or more of the following aspects:
● The Co-containing film-forming composition is a silylamide-containing precursor selected from Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et), Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2), or a combination thereof. Including;
● The silylamide-containing precursor is Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et);
● The silylamide-containing precursor is Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2 );
● Introduce steam containing the second precursor into the reactor;
● elements of the second precursor, Group 2, Group 13, Group 14, transition metals, selected from the group consisting of lanthanum Roh id and combinations thereof;
● The elements of the second precursor are Mg, Ca, Sr, Ba, Zr, Hf, Ti, Nb, Ta,
Al, Si, Ge, selected from Y or lanthanide Roh id;
● Introduce the reactants into the reactor;
● Reactants are selected from the group consisting of O 2 , O 3 , H 2 O, H 2 O 2 , NO, NO 2 , carboxylic acids, their radicals and combinations thereof;
● The reactant is plasma treated oxygen;
● The reactant is ozone;
reactant, H 2, NH 3, ( SiH 3) 3 N, (SiH 4, Si 2 H 6, Si 3 H 8, Si 4 H 10, Si 5 H 10, Si , such as 6 H 12) hydride Silanes, chlorosilanes and chloropolysilanes (such as SiHCl 3 , SiH 2 Cl 2 , SiH 3 Cl, Si 2 Cl 6 , Si 2 HCl 5 , Si 3 Cl 8, etc.), (Me 2 SiH 2 , Et 2 SiH 2 , MeSiH 3). ) alkyl silanes such as EtSiH 3, (N 2 H 4 , MeHNNH 2, etc.) hydrazine MeHNNHMe, (such as ethanol or methanol) alcohol, (NMeH 2, NEtH 2, NMe 2 H, NEt 2 H, NMe 3 , NEt 3, (SiMe 3), such as 2 NH) organic amines, pyrazoline, pyridine, (B 2 H 6, 9- borabicyclo [3,3,1] nonane, Torimechirubo Ron, triethyl boron, such as borazine) B-containing Selected from the group consisting of molecules, alkylmetals (such as trimethylaluminum, triethylaluminum, dimethylzinc, diethylzinc, etc.), their radical species and mixtures thereof;
● The reaction product is a group consisting of H 2 , NH 3 , SiH 4 , Si 2 H 6 , Si 3 H 8 , SiH 2 Me 2 , SiH 2 Et 2 , N (SiH 3 ) 3 , hydrogen radicals thereof and a mixture thereof. Selected from;
● The reactants are NH 3 , N 2 H 4 , N (SiH 3 ) 3 , N (CH 3 ) H 2 , N (C 2 H 5 ) H 2 , N (CH 3 ) 2 H, N (C 2). H 5 ) 2 H, N (CH 3 ) 3 , N (C 2 H 5 ) 3 , (SiMe 3 ) 2 NH, (CH 3 ) HNNH 2 , (CH 3 ) 2 NNH 2 , its nitrogen-containing radical species and Selected from the group consisting of the mixture;
● The reactant is HCDS or PCDS;
● reactant be a plasma treatment N 2;
● The vapor deposition method is a chemical vapor deposition (CVD) process;
● The vapor deposition method is the ALD process;
● The vapor deposition method is the PEALD process;
● The deposition method is a spatial ALD process;
● The Co-containing layer is the cobalt oxide layer;
● The Co-containing layer is a cobalt nitride layer;
● The Co-containing layer is Co; and ● The Co-containing layer is CoSi.

表記法および命名法
特定の略語、記号および用語は、次の明細および請求項全体で使用され、かつ次のものを含む:
Notation and Nomenclature Certain abbreviations, symbols and terms are used throughout the following items and claims and include:

本明細書で使用される場合、不定冠詞「a」または「an」は、1またはそれ以上を意味する。 As used herein, the indefinite article "a" or "an" means one or more.

本明細書で使用される場合、「約(approximately)」または「約(about)」という用語は、明記された値の±10%を意味する。 As used herein, the term "approximate" or "about" means ± 10% of the specified value.

本明細書に記載されるいずれかの、および全ての範囲は、それらの終点を含む(すなわち、x=1〜4は、x=1、x=4およびx=その間のいずれの数も含む)。 Any and all ranges described herein include their endpoints (ie, x = 1-4 includes any number in between x = 1, x = 4 and x =). ..

本明細書で使用される場合、「アルキル基」という用語は、炭素および水素原子のみを含有する飽和官能基を意味する。さらに、「アルキル基」という用語は、直鎖、分枝鎖または環式アルキル基を意味する。直鎖アルキル基の例としては、限定されないが、メチル基、エチル基、プロピル基、ブチル基などが含まれる。分岐鎖アルキル基の例としては、限定されないが、t−ブチルが含まれる。環式アルキル基の例としては、限定されないが、シクロプロピル基、シクロペンチル基、シクロヘキシル基などが含まれる。 As used herein, the term "alkyl group" means a saturated functional group containing only carbon and hydrogen atoms. In addition, the term "alkyl group" means a linear, branched or cyclic alkyl group. Examples of the linear alkyl group include, but are not limited to, a methyl group, an ethyl group, a propyl group, a butyl group and the like. Examples of branched chain alkyl groups include, but are not limited to, t-butyl. Examples of the cyclic alkyl group include, but are not limited to, a cyclopropyl group, a cyclopentyl group, a cyclohexyl group and the like.

本明細書で使用される場合、「炭化水素」という用語は、水素および炭素原子のみを含有する官能基を意味する。官能基は、飽和であってもよい(単結合のみを含有する)か、または不飽和であってもよい(二重または三重結合を含有する)。 As used herein, the term "hydrocarbon" means a functional group containing only hydrogen and carbon atoms. Functional groups may be saturated (containing only single bonds) or unsaturated (containing double or triple bonds).

本明細書で使用される場合、「複素環」という用語は、その環員として少なくとも2個の異なる元素の原子を有する環式化合物を意味する。 As used herein, the term "heterocycle" means a cyclic compound having at least two different elemental atoms as its ring members.

本明細書で使用される場合、「Me」という略語は、メチル基を意味し;「Et」という略語は、エチル基を意味し;「Pr」という略語は、いずれかのプロピル基(すなわち、n−プロピルまたはイソプロピル)を意味し;「iPr」という略語は、イソプロピル基を意味し;「Bu」という略語は、いずれかのブチル基(n−ブチル、イソブチル、t−ブチル、sec−ブチル)を意味し;「tBu」という略語は、tert−ブチル基を意味し;「sBu」という略語は、sec−ブチル基を意味し;「iBu」という略語は、イソブチル基を意味し;「Ph」という略語は、フェニル基を意味し;「py」という略語は、ピリジン基を意味し;「THF」という略語は、テトラヒドロフランを意味し;そして「Cp」という略語は、シクロペンタジエニル基を意味する。 As used herein, the abbreviation "Me" means a methyl group; the abbreviation "Et" means an ethyl group; the abbreviation "Pr" means any propyl group (ie, that is). (n-propyl or isopropyl); the abbreviation "iPr" means an isopropyl group; the abbreviation "Bu" means any butyl group (n-butyl, isobutyl, t-butyl, sec-butyl). The abbreviation "tBu" means a tert-butyl group; the abbreviation "sBu" means a sec-butyl group; the abbreviation "iBu" means an isobutyl group; "Ph" The abbreviation means phenyl group; the abbreviation "py" means pyridine group; the abbreviation "THF" means tetrahydrofuran; and the abbreviation "Cp" means cyclopentadienyl group. do.

本明細書中、元素の周期表からの元素の標準的な略語が使用される。元素はこれらの略語によって示されてもよいことは理解されるべきである(例えば、Coはコバルトを意味し、Siはケイ素を意味し、Cは炭素を意味する、など)。 In the present specification, standard abbreviations for elements from the Periodic Table of Elements are used. It should be understood that the element may be indicated by these abbreviations (eg, Co means cobalt, Si means silicon, C means carbon, etc.).

酸化コバルトなどのフィルムまたは層が、それらの適切な化学量論を参照せずに、明細書および請求項全体で列挙されることを留意されたい。層は、MがCoであり;かつk、l、m、n、oおよびpが、包括的に1〜6の範囲である、純粋(M)層、ケイ化物(MSi)層、炭化物(M)層、窒化物(M)層、酸化物(M)層またその混合物を含み得る。例えば、ケイ化コバルトは、kおよびlが、それぞれ、0.5〜5の範囲である、CoSiである。同様に、Coは、CoOおよびCoを含み得る。いずれの参照された層も、nが0.5〜1.5の範囲であり、かつmが1.5〜3.5の範囲である、酸化ケイ素層、Siを含んでよい。より好ましくは、酸化ケイ素層はSiOである。酸化ケイ素層は、Applied Materials,Inc.によるBlack Diamond IIまたはIII材料などの炭素ドープされた酸化ケイ素ベースの低k誘電体材料などの酸化ケイ素ベースの誘電体材料であってもよい。代わりに、いずれの参照されたケイ素含有層も、純粋なケイ素であってもよい。いずれのケイ素含有層も、B、C、P、Asおよび/またはGeなどのドーパントを含んでもよい。 Note that films or layers such as cobalt oxide are listed throughout the specification and claims without reference to their appropriate stoichiometry. Layer, M is located in Co; and k, l, m, n, o and p is in a range of comprehensive 1-6, pure (M) layer, silicide (M o Si p) layer, It may contain a carbide (M o C p ) layer, a nitride (M k N l ) layer, an oxide (M n O m ) layer or a mixture thereof. For example, cobalt silicide is, k and l are each in the range of 0.5 to 5, a Co k Si l. Similarly, Co n O m may include CoO and Co 3 O 4. None of the referenced layer, n is in the range of 0.5 to 1.5, and m is in the range of 1.5 to 3.5, silicon oxide layer, may comprise a Si n O m. More preferably, the silicon oxide layer is SiO 2 . Silicon oxide layers are available from Applied Materials, Inc. It may be a silicon oxide-based dielectric material such as a carbon-doped silicon oxide-based low-k dielectric material such as the Black Diamond II or III material according to. Alternatively, any referenced silicon-containing layer may be pure silicon. Any silicon-containing layer may contain dopants such as B, C, P, As and / or Ge.

本発明の性質および目的をさらに理解するために、添付の図面と一緒に、以下の詳細な説明が参照されるべきである。添付の図面では、同様の要素は、同一または類似の参照番号が与えられている。 To further understand the nature and purpose of the invention, the following detailed description should be referred to along with the accompanying drawings. In the accompanying drawings, similar elements are given the same or similar reference numbers.

Co含有フィルム形成組成物デリバリーデバイス1の一実施形態の側面断面図である。FIG. 5 is a side sectional view of an embodiment of a Co-containing film forming composition delivery device 1. Co含有フィルム形成組成物デリバリーデバイス1の第2の実施形態の側面断面図である。FIG. 5 is a side sectional view of a second embodiment of a Co-containing film forming composition delivery device 1. 固体Co含有フィルム形成組成物を昇華させるための固体前駆体昇華器100の例示的な実施形態の側面断面図である。FIG. 5 is a side sectional view of an exemplary embodiment of a solid precursor sublimator 100 for sublimating a solid Co-containing film-forming composition. 温度の増加によるCo[N(SiMe(THF)、Co[N(SiMeEt)(THF)、Co[N(SiMe(py)、Co[N(SiMe(NMeEt)、Co[N(SiMe(NMeEt)、Co[N(SiMe(NEt)、Co[N(SiMe(Me−ピロリジン)およびCo[N(SiMeEt)(NMeEt)の重量損失パーセントを示す、1010ミリバール下での比較オープンカップ熱重量分析(TGA)グラフ。Co [N (SiMe 3 ) 2 ] 2 (THF), Co [N (SiMe 2 Et) 2 ] 2 (THF), Co [N (SiMe 3 ) 2 ] 2 (py), Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et), Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2 ), Co [N (SiMe 3 ) 2 ] 2 (NET 3 ), Co [N (SiMe 3 ) 2 ] 2 (Me-pyrrolidin) and Co [N (SiMe 2 Et) 2 ] 2 (NMe 2 Et) weight loss percent, comparative open cup thermal weight analysis (TGA) graph under 1010 millibars. 温度の増加によるCo[N(SiMeEt)(THF)、Co[N(SiMe(py)、Co[N(SiMe(NMeEt)、Co[N(SiMe(NEt)、Co[N(SiMe(Me−ピロリジン)およびCo[N(SiMeEt)(NMeEt)の重量損失パーセントを示す、20ミリバール下での比較オープンカップTGAグラフ。Co [N (SiMe 2 Et) 2 ] 2 (THF), Co [N (SiMe 3 ) 2 ] 2 (py), Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2 ), Co [ N (SiMe 3 ) 2 ] 2 (NET 3 ), Co [N (SiMe 3 ) 2 ] 2 (Me-pyrrolidine) and Co [N (SiMe 2 Et) 2 ] 2 (NMe 2 Et) weight loss percent Shown, comparative open cup TGA graph under 20 millibars. 150℃における安定性試験の前および1週間後の、温度の増加によるCo[N(SiMe(py)の重量損失パーセントを示す、比較TGAグラフ。Comparative TGA graph showing the percent weight loss of Co [N (SiMe 3 ) 2 ] 2 (py) due to increased temperature before and after the stability test at 150 ° C. 90℃における安定性試験の前、ならびに1、2および3週間後、1および2カ月後の、温度の増加によるCo[N(SiMe(NMeEt)の重量損失パーセントを示す、比較TGAグラフ。Shows the percentage weight loss of Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et) due to increased temperature before and after 1, 2 and 3 weeks and 1 and 2 months of stability testing at 90 ° C. , Comparison TGA graph. 80℃における安定性試験の前、ならびに1、2および3週間後の、温度の増加によるCo[N(SiMe(NMeEt)の重量損失パーセントを示す、比較TGAグラフ。Comparative TGA graph showing the percent weight loss of Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2 ) due to increased temperature before and after 1, 2 and 3 weeks of stability testing at 80 ° C. 110℃における安定性試験の前、ならびに1および2週間後の、温度の増加によるCo[N(SiMe(1−Me−ピロリジン)の重量損失パーセントを示す、比較TGAグラフ。Comparative TGA graph showing the percent weight loss of Co [N (SiMe 3 ) 2 ] 2 (1-Me-pyrrolidin) due to increased temperature before and after 1 and 2 weeks of stability testing at 110 ° C. 120℃における安定性試験の前、ならびに1および2週間後の、温度の増加によるCo[N(SiMeEt)(NMeEt)の重量損失パーセントを示す、比較TGAグラフ。Comparative TGA graph showing the percent weight loss of Co [N (SiMe 2 Et) 2 ] 2 (NMe 2 Et) due to increased temperature before and after 1 and 2 weeks of stability testing at 120 ° C.

次式: The following formula:

Figure 0006956086
Figure 0006956086

(式中、MはCoであり;各R、RおよびRは、独立して、水素(H)またはC1−C4炭化水素から選択され;Lは、ピリジン、NMe、NEt、NMeEt、NMeEt、1−Me−ピロリジンまたはPMeから選択される1種または2種の中性付加物であり;かつRおよびRまたはRおよびRは結合して、環式ケイ素含有複素環を形成していてもよい)を有するシリルアミド含有前駆体を含んでなるCo含有フィルム形成組成物が開示される。各R、RおよびRは、好ましくは、独立して、メチル、エチル、イソプロピル、n−プロピル、n−ブチルまたはt−ブチルである。 (In the formula, M is Co; each R 1 , R 2 and R 3 is independently selected from hydrogen (H) or C1-C4 hydrocarbons; L is pyridine, NMe 3 , NEt 3 , One or two neutral adducts selected from NMe 2 Et, NMe Et 2 , 1-Me-pyrrolidine or PMe 3 ; and R 1 and R 2 or R 2 and R 3 are attached to the ring. A Co-containing film-forming composition comprising a silylamide-containing precursor having a (may form a silicon-containing heterocycle) is disclosed. Each R 1 , R 2 and R 3 is preferably independently methyl, ethyl, isopropyl, n-propyl, n-butyl or t-butyl.

式Iを有する例示的なシリルアミド含有前駆体としては、Co[N(SiMe(py);Co[N(SiMe(MeN);Co[N(SiMe(EtN);Co[N(SiMe(MeEtN);Co[N(SiMe(MeEtN);Co[N(SiMe(1−Me−ピロリジン);Co[N(SiMe(PMe);Co[N(SiMeEt)(py);Co[N(SiMeEt)(MeN);Co[N(SiMeEt)(EtN);Co[N(SiMeEt)(MeEtN);Co[N(SiMeEt)(MeEtN);Co[N(SiMeEt)(1−Me−ピロリジン);Co[N(SiMeEt)(PMe)およびそれらの組合せが含まれる。 Exemplary silylamide-containing precursors with formula I include Co [N (SiMe 3 ) 2 ] 2 (py); Co [N (SiMe 3 ) 2 ] 2 (Me 3 N); Co [N (SiMe 3). ) 2 ] 2 (Et 3 N); Co [N (SiMe 3 ) 2 ] 2 (Me 2 EtN); Co [N (SiMe 3 ) 2 ] 2 (MeEt 2 N); Co [N (SiMe 3 ) 2 ] 2 (1-Me-pyrrolidin); Co [N (SiMe 3 ) 2 ] 2 (PMe 3 ); Co [N (SiMe 2 Et) 2 ] 2 (py); Co [N (SiMe 2 Et) 2 ] 2 (Me 3 N); Co [N (SiMe 2 Et) 2 ] 2 (Et 3 N); Co [N (SiMe 2 Et) 2 ] 2 (Me 2 EtN); Co [N (SiMe 2 Et) 2 ] 2 (MeEt 2 N); Co [N (SiMe 2 Et) 2 ] 2 (1-Me-pyrrolidin); Co [N (SiMe 2 Et) 2 ] 2 (PMe 3 ) and combinations thereof.

式IIを有する例示的なシリルアミド含有前駆体としては、{Co[N(SiMe;{Co[N(SiMeEt)およびそれらの組合せが含まれる。 Illustrative silylamide-containing precursors having formula II include {Co [N (SiMe 3 ) 2 ] 2 } 2 ; {Co [N (SiMe 2 Et) 2 ] 2 } 2 and combinations thereof.

シリルアミド含有前駆体は、テトラヒドロフラン(THF)、エーテル、ペンタン、シクロヘキサン、ヘキサン、ヘプタンまたはトルエンなどの溶媒中、XがCl、BrまたはIであるCoXと、MがLi、NaまたはKであるM(N(SiR)とを反応させることによって合成され得る。ろ過および/または昇華を使用して、溶媒は除去されてよく、そして式IまたはIIの生成物は、塩副生物から単離されてよい。式IのL=THF付加物は、THF含有前駆体のペンタン、ヘプタン、ヘキサンまたはシクロヘキサンなどのアルカン溶液に所望の配位子のプロトン化形態を添加し、そして生成物を抽出することによって置換されてもよい。さらなる詳細は、次の実施例に提供される。 The silylamide-containing precursors are CoX 2 in which X is Cl, Br or I and M in which M is Li, Na or K in a solvent such as tetrahydrofuran (THF), ether, pentane, cyclohexane, hexane, heptane or toluene. It can be synthesized by reacting with (N (SiR 1 R 2 R 3 ) 2). The solvent may be removed using filtration and / or sublimation, and the product of formula I or II may be isolated from the salt by-product. The L = THF adduct of formula I is replaced by adding the protonated form of the desired ligand to an alkane solution of the THF-containing precursor such as pentane, heptane, hexane or cyclohexane and extracting the product. You may. Further details are provided in the following examples.

プロセス信頼度を保証するために、開示されたCo含有フィルム形成組成物は、約95%w/w〜約100%w/w、好ましくは約98%w/w〜約100%w/wの範囲の純度まで、使用前に連続または分別バッチ蒸留、再結晶、または昇華によって精製されてもよい。純度が約99%w/w〜約100%w/wの範囲である場合、Co含有フィルム形成組成物は、シリルアミド含有前駆体から本質的になる。当業者は、マススペクトロメトリーと一緒に、H NMR、あるいは気体または液体クロマトグラフィーによって純度が決定され得ることを認識するであろう。Co含有フィルム形成組成物は、次の不純物のいずれかを含有し得る:ハロゲン化物、アルカリ金属、アルキルアミン、アルキルアミノ置換シラン、ピリジン、1−メチルピロリジン、ピロリジン、THF、エーテル、ペンタン、シクロヘキサン、ヘプタン、トルエン、ハロゲン化金属化合物。好ましくは、不純物の全量は、0.1%w/w未満である。精製された組成物は、再結晶、昇華、蒸留および/または4Åモレキュラーシーブなどの適切な吸着媒に気体または液体を通すことによって製造され得る。 To ensure process reliability, the disclosed Co-containing film-forming compositions are from about 95% w / w to about 100% w / w, preferably from about 98% w / w to about 100% w / w. It may be purified by continuous or fractional batch distillation, recrystallization, or sublimation prior to use to a range of purity. When the purity ranges from about 99% w / w to about 100% w / w, the Co-containing film-forming composition essentially consists of a silylamide-containing precursor. Those skilled in the art will recognize that the purity can be determined by 1 H NMR, or gas or liquid chromatography, along with mass spectrometry. Co-containing film-forming compositions may contain any of the following impurities: halides, alkali metals, alkylamines, alkylamino-substituted silanes, pyridines, 1-methylpyrrolidines, pyrrolidines, THFs, ethers, pentane, cyclohexanes, Heptane, toluene, metal halide compounds. Preferably, the total amount of impurities is less than 0.1% w / w. The purified composition can be prepared by passing a gas or liquid through a suitable adsorption medium such as recrystallization, sublimation, distillation and / or 4 Å molecular sieve.

精製されたCo含有フィルム形成組成物中のTHF、エーテル、ペンタン、シクロヘキサン、ヘプタンおよび/またはトルエンなどのそれぞれの溶媒の濃度は、約0%w/w〜約5%w/w、好ましくは、約0%w/w〜約0.1%w/wの範囲であってよい。溶媒は、Co含有フィルム形成組成物の合成において使用されてよい。両方ともが同様の沸点を有する場合、組成物からの溶媒の分離は困難となり得る。混合物を冷却することで、液体溶媒中に固体前駆体を製造し得、これはろ過によって分離され得る。組成物が、ほぼその分解点を超えて加熱されない場合、真空蒸留も使用されてよい。 The concentration of each solvent such as THF, ether, pentane, cyclohexane, heptane and / or toluene in the purified Co-containing film-forming composition is from about 0% w / w to about 5% w / w, preferably about 5% w / w. It may be in the range of about 0% w / w to about 0.1% w / w. The solvent may be used in the synthesis of Co-containing film-forming compositions. Separation of the solvent from the composition can be difficult if both have similar boiling points. Cooling the mixture can produce a solid precursor in a liquid solvent, which can be separated by filtration. Vacuum distillation may also be used if the composition is not heated approximately beyond its decomposition point.

開示されたCo含有フィルム形成組成物は、5%v/v未満、好ましくは、1%v/v未満、より好ましくは、0.1%v/v未満、そしてさらにより好ましくは、0.01%v/v未満のいずれかのその類似体または他の反応生成物を含有する。本実施形態は、より良好なプロセス再現性を提供し得る。本実施形態は、Co含有フィルム形成組成物の蒸留によって製造され得る。 The disclosed Co-containing film-forming compositions are less than 5% v / v, preferably less than 1% v / v, more preferably less than 0.1% v / v, and even more preferably 0.01. Contains any of its analogs or other reaction products less than% v / v. The present embodiment may provide better process reproducibility. The present embodiment can be produced by distillation of the Co-containing film-forming composition.

代わりに、特に混合物が改善されたプロセスパラメータを提供するか、または標的化合物の単離が非常に困難もしくは高価である場合、開示されたCo含有フィルム形成組成物は、約5%w/w〜約50%w/wの一化合物を含んでなり得、組成物の残りは第2の化合物を含んでなる。例えば、開示されたCo含有フィルム形成組成物は、40/60%w/wのCo[N(SiMe(NMeEt)およびCo[N(SiMe(NMeEt)であり得る。混合物は、蒸着のために適切な、安定な液体組成物を製造し得る。 Alternatively, the disclosed Co-containing film-forming compositions can be from about 5% w / w, especially if the mixture provides improved process parameters or if the isolation of the target compound is very difficult or expensive. It may contain one compound of about 50% w / w and the rest of the composition will contain a second compound. For example, the disclosed Co-containing film-forming compositions are 40/60% w / w Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et) and Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2). ) Can be. The mixture can produce a stable liquid composition suitable for vapor deposition.

精製されたCo含有フィルム形成組成物中の微量金属及びメタロイドの濃度は、それぞれ独立して、約0ppbw〜約100ppbw、より好ましくは、約0ppbw〜約10ppbwの範囲であり得る。これらの金属またはメタロイド不純物としては、限定されないが、アルミニウム(Al)、ヒ素(As)、バリウム(Ba)、ベリリウム(Be)、ビスマス(Bi)、カドミウム(Cd)、カルシウム(Ca)、クロム(Cr)、銅(Cu)、ガリウム(Ga)、ゲルマニウム(Ge)、ハフニウム(Hf)、ジルコニウム(Zr)、インジウム(In)、鉄(Fe)、鉛(Pb)、リチウム(Li)、マグネシウム(Mg)、マンガン(Mn)、タングステン(W)、ニッケル(Ni)、カリウム(K)、ナトリウム(Na)、ストロンチウム(Sr)、トリウム(Th)、スズ(Sn)、
チタン(Ti)、ウラン(U)、バナジウム(V)および亜鉛(Zn)が含まれる。精製されたCo含有フィルム形成組成物中のX(X=Cl、Brである)の濃度は、約0ppmw〜約100ppmw、より好ましくは、約0ppmw〜10ppmwの範囲であり得る。
The concentrations of trace metals and metalloids in the purified Co-containing film-forming composition can independently be in the range of about 0 ppbw to about 100 ppbw, more preferably about 0 ppbw to about 10 ppbw. These metal or metalloid impurities include, but are not limited to, aluminum (Al), arsenic (As), barium (Ba), beryllium (Be), bismuth (Bi), cadmium (Cd), calcium (Ca), chromium ( Cr), copper (Cu), gallium (Ga), germanium (Ge), hafnium (Hf), zirconium (Zr), indium (In), iron (Fe), lead (Pb), lithium (Li), magnesium ( Mg), manganese (Mn), tungsten (W), nickel (Ni), potassium (K), sodium (Na), strontium (Sr), lithium (Th), tin (Sn),
Includes titanium (Ti), uranium (U), vanadium (V) and zinc (Zn). The concentration of X (X = Cl, Br) in the purified Co-containing film-forming composition can range from about 0 ppmw to about 100 ppmw, more preferably from about 0 ppmw to 10 ppmw.

開示されたCo含有フィルム形成組成物の水への曝露は、シリルアミ含有前駆体の分解をもたらし得るため、妨がれるように注意するべきである。 Exposure to water of the disclosed Co-containing film-forming composition, since that may lead to degradation of Shiriruami de-containing precursors, it should be careful to interfere is.

蒸着法のために開示されたCo含有フィルム形成組成物を使用する方法も開示される。開示された方法は、コバルト含有フィルムの析出のためのCo含有フィルム形成組成物の使用を提供する。開示された方法は、半導体、光起電力、LCD−TFT、フラットパネル型デバイス、耐火材料または航空部品の製造において有用であり得る。 Also disclosed is a method using the Co-containing film-forming composition disclosed for the vapor deposition method. The disclosed method provides the use of Co-containing film-forming compositions for precipitation of cobalt-containing films. The disclosed methods can be useful in the manufacture of semiconductors, photovoltaics, LCD-TFTs, flat panel devices, refractory materials or aviation components.

基体上にコバルト含有層を形成するための開示された方法は:基体を反応器に配置すること、反応器中に開示されたCo含有フィルム形成組成物の蒸気を送達すること、および蒸気と基体を接触させて(そして典型的に蒸気を基体に向けて)、基体の表面上にコバルト含有層を形成することを含む。 The disclosed methods for forming a cobalt-containing layer on a substrate are: placing the substrate in a reactor, delivering the vapor of the Co-containing film-forming composition disclosed in the reactor, and the vapor and the substrate. Includes contacting (and typically directing vapor towards the substrate) to form a cobalt-containing layer on the surface of the substrate.

この方法は、特に、xが1〜4であり、かつMが、Ti、Ta、Mn、Al、ランタイド(Erなど)またはその組合せであるCoMNフィルムの析出のため、蒸着プロセスを使用して基体上に二金属含有層を形成することを含み得る。開示された方法は、半導体、光起電力、LCD−TFTまたはフラットパネル型デバイスの製造において有用であり得る。N、NH、ヒドラジン、アミン、そのNラジカルおよびその組合せであるが、好ましくは、NHまたはプラズマ処理NなどのHまたは窒素供給源も反応器に導入されてよい。 This method is particularly, x is 1-4, and M is, Ti, Ta, Mn, Al, Koh Roh id (Er) or for the deposition of CoMn x film combinations thereof, using a vapor deposition process It may include forming a dimetal-containing layer on the substrate. The disclosed methods can be useful in the manufacture of semiconductors, photovoltaics, LCD-TFTs or flat panel type devices. N 2 , NH 3 , hydrazine, amines, their N radicals and combinations thereof, but preferably H 2 or nitrogen sources such as NH 3 or plasma treated N 2 may also be introduced into the reactor.

開示されたCo含有フィルム形成組成物は、当業者に既知のいずれかの析出方法を使用して、コバルト含有フィルムを析出させるために使用されてよい。適切な析出方法の例としては、化学蒸着(CVD)または原子層析出(ALD)が含まれる。例示的なCVD法としては、熱CVD、パルスCVD(PCVD)、減圧CVD(LPCVD)、低大気圧CVD(SACVD)または大気圧CVD(APCVD)、熱線CVD(HWCVD、熱線が析出プロセスのためにエネルギー源の役割を果たす、cat−CVDとしても知られる)、ラジカル関与CVD、限定されないが、流動性PECVDを含むプラズマ強化CVD(PECVD)およびそれらの組合せが含まれる。例示的なALD法としては、熱ALD、プラズマ強化ALD(PEALD)、空間的単離ALD、熱線ALD(HWALD)、ラジカル関与ALDおよびその組合せが含まれる。超臨界流体析出も使用されてよい。析出方法は、適切な段差被覆およびフィルム厚制御を提供するために、好ましくは、ALD、PE−ALDまたは空間的ALDである。 The disclosed Co-containing film-forming composition may be used to precipitate a cobalt-containing film using any of the precipitation methods known to those of skill in the art. Examples of suitable precipitation methods include chemical vapor deposition (CVD) or atomic layer deposition (ALD). Illustrative CVD methods include thermal CVD, pulsed CVD (PCVD), reduced pressure CVD (LPCVD), low atmospheric pressure CVD (SACVD) or atmospheric pressure CVD (APCVD), heat ray CVD (HWCVD, hot wire deposition process). Includes (also known as cat-CVD), which acts as an energy source), radical-involved CVD, plasma-enhanced CVD (PECVD), including, but not limited to, fluid PECVD, and combinations thereof. Exemplary ALD methods include thermal ALDs, plasma-enhanced ALDs (PEALDs), spatially isolated ALDs, heat ray ALDs (HWALDs), radical-involved ALDs and combinations thereof. Supercritical fluid precipitation may also be used. The precipitation method is preferably ALD, PE-ALD or spatial ALD in order to provide appropriate step coating and film thickness control.

Co含有フィルム形成組成物の蒸気が生成し、次いで、基体を含有する反応チャンバーに導入される。反応チャンバー中の温度および圧力ならびに基体の温度は、基体上へのシリルアミ含有前駆体の少なくとも一部の蒸着に適切な条件において保持される。換言すれば、蒸発した組成物を反応チャンバーに導入した後、反応チャンバー内の条件は、前駆体の少なくとも一部が基体上に析出され、Co含有層が形成されるように調節される。当業者は、「前駆体の少なくとも一部が析出する」とは、前駆体のいくつか、または全てが基体と反応するか、あるいは基体に接着することを意味することを認識するであろう。本明細書中、反応物は、Co含有層の形成を助けるために使用されてもよい。 The vapor of the Co-containing film-forming composition is generated and then introduced into the reaction chamber containing the substrate. Temperature of temperature and pressure as well as the substrate in the reaction chamber is maintained under appropriate conditions to at least a portion of deposition of Shiriruami de-containing precursor onto a substrate. In other words, after introducing the evaporated composition into the reaction chamber, the conditions in the reaction chamber are adjusted so that at least a portion of the precursor is precipitated on the substrate to form a Co-containing layer. Those skilled in the art will recognize that "at least a portion of the precursor precipitates" means that some or all of the precursors react with or adhere to the substrate. As used herein, the reactants may be used to aid in the formation of Co-containing layers.

反応チャンバーは、限定されないが、パラレルプレート型反応器、冷壁型反応器、熱壁型反応器、シングルウエハ反応器、マルチウエハ反応器または他のそのような種類の析出システムなどの析出法が実行されるデバイスのいずれかのエンクロージャまたはチャンバーであってよい。これらの全ての例示的な反応チャンバーは、ALDまたはCVD反応チャンバーとして使用することができる。反応チャンバーは、全てのALDおよび低大気圧CVDのために、約0.5ミリトル〜約20トルの範囲の圧力に維持されてよい。低大気圧CVDおよび大気圧CVDの圧力は、760トル(気圧)までの範囲であってよい。加えて、反応チャンバー内の温度は、約20℃〜約600℃の範囲であってよい。当業者は、望ましい結果を達成するために、単なる実験を通して温度が最適化され得ることを認識するであろう。 Reaction chambers include, but are not limited to, precipitation methods such as parallel plate reactors, cold wall reactors, hot wall reactors, single wafer reactors, multi-wafer reactors or other such types of precipitation systems. It may be the enclosure or chamber of any of the devices to be executed. All these exemplary reaction chambers can be used as ALD or CVD reaction chambers. The reaction chamber may be maintained at a pressure in the range of about 0.5 milittle to about 20 torr for all ALD and low atmospheric pressure CVD. The low atmospheric pressure CVD and atmospheric pressure CVD pressures may be in the range up to 760 torr (atmospheric pressure). In addition, the temperature in the reaction chamber may be in the range of about 20 ° C to about 600 ° C. Those of skill in the art will recognize that the temperature can be optimized through mere experimentation to achieve the desired results.

反応器の温度は、基体ホルダーの温度を制御するか、または反応器壁部の温度を制御することによって制御され得る。基体を加熱するために使用されるデバイスは、当該技術において既知である。反応器壁部は、十分な成長速度で、そして望ましい物理的状態および組成物の望ましいフィルムを得るために十分な温度まで加熱される。反応器壁部が加熱され得る非限定的な例示的温度範囲には、約20℃〜約600℃が含まれる。プラズマ析出プロセスが利用される場合、析出温度は、約20℃〜約550℃の範囲であってよい。代わりに、熱プロセスが実行される場合、析出温度は、約300℃〜約600℃の範囲であってよい。 The temperature of the reactor can be controlled by controlling the temperature of the substrate holder or by controlling the temperature of the reactor wall. Devices used to heat the substrate are known in the art. The reactor wall is heated at a sufficient growth rate and to a temperature sufficient to obtain the desired film of the desired physical condition and composition. Non-limiting exemplary temperature ranges in which the reactor wall can be heated include from about 20 ° C to about 600 ° C. When the plasma precipitation process is utilized, the precipitation temperature may be in the range of about 20 ° C to about 550 ° C. Alternatively, if a thermal process is performed, the precipitation temperature may range from about 300 ° C to about 600 ° C.

代わりに、基体は、十分な成長速度で、そして望ましい物理的状態および組成物の望ましいコバルト含有フィルムを得るために十分な温度まで加熱されてよい。基体が加熱され得る非限定的な例示的温度範囲には、約150℃〜約600℃が含まれる。好ましくは、基体の温度は、500℃以下に維持される。 Alternatively, the substrate may be heated at a sufficient growth rate and to a temperature sufficient to obtain the desired cobalt-containing film of the desired physical condition and composition. Non-limiting exemplary temperature ranges in which the substrate can be heated include from about 150 ° C to about 600 ° C. Preferably, the temperature of the substrate is maintained below 500 ° C.

反応器は、フィルムが析出されるであろう1つまたはそれ以上の基体を含有する。基体は、一般に、プロセスが実行される材料として定義される。基体は、半導体、光起電力、フラットパネルまたはLCD−TFTデバイス製造において使用されるいずれかの適切な基体であってよい。適切な基体の例としては、ケイ素、シリカ、ガラスまたはGaAsウエハなどのウエハが含まれる。ウエハは、以前の製造ステップから析出された異なる材料の1つまたはそれ以上の層をその上に有していてもよい。例えば、ウエハは、ケイ素層(結晶質、非晶質、多孔質など)、酸化ケイ素層、窒化ケイ素層、酸窒化ケイ素層、炭素ドープされた酸化ケイ素(SiCOH)層またはその組合せを含んでよい。さらに、ウエハとしては、銅層または貴金属層(例えば、白金、パラジウム、ロジウムまたは金)を含んでもよい。この層は、MIM、DRAMまたはFeRam技術において誘電体材料として使用される酸化物(例えば、ZrOベースの材料、HfOベースの材料、TiOベースの材料、希土類酸化物ベースの材料、酸化ストロンチウムルテニウム[SRO]などの三元酸化物ベースの材料)、あるいは銅および低k層の間の酸素バリアとして使用される窒化物ベースのフィルム(例えば、TaN)を含んでもよい。ウエハは、マンガン、酸化マンガンなどのバリア層を含んでもよい。ポリ(3,4−エチレンジオキシチオフェン)ポリ(スチレンスルホネート)[PEDOT:PSS]などのプラスチック層も使用されてよい。この層は、平面であってもよく、またはパターン化されていてもよい。例えば、この層は、水素化炭素、例えば、xがゼロより大きいCHから製造されたパターン化フォトレジストフィルムであってよい。 The reactor contains one or more substrates from which the film will be deposited. Hypokeimenon is generally defined as the material on which the process is carried out. The substrate may be any suitable substrate used in semiconductor, photovoltaic, flat panel or LCD-TFT device manufacturing. Examples of suitable substrates include wafers such as silicon, silica, glass or GaAs wafers. The wafer may have one or more layers of different materials precipitated from previous manufacturing steps on it. For example, the wafer may include a silicon layer (crystalline, amorphous, porous, etc.), a silicon oxide layer, a silicon nitride layer, a silicon nitride layer, a carbon-doped silicon oxide (SiCOH) layer, or a combination thereof. .. Further, the wafer may include a copper layer or a noble metal layer (eg, platinum, palladium, rhodium or gold). This layer is an oxide used as a dielectric material in MIM, DRAM or FeRam technology (eg, ZrO 2- based material, HfO 2- based material, TiO 2- based material, rare earth oxide-based material, strontium oxide. A ternary oxide-based material such as ruthenium [SRO]) or a nitride-based film (eg, TaN) used as an oxygen barrier between copper and the low k layer may be included. The wafer may include a barrier layer such as manganese or manganese oxide. Plastic layers such as poly (3,4-ethylenedioxythiophene) poly (styrene sulfonate) [PEDOT: PSS] may also be used. This layer may be flat or patterned. For example, this layer may be a patterned photoresist film made from carbon hydride, eg, CH x where x is greater than zero.

開示されたプロセスは、ウエハ上に直接、または(パターン化層が基体を形成する場合)ウエハ上部の層の1つまたはそれ以上の上に直接、コバルト含有層を析出させ得る。基体は、高いアスペクト比を有するビアまたはトレンチを含むようにパターン化されてもよい。例えば、CoSiなどのコンフォーマルCo含有フィルムは、約20:1〜約100:1の範囲のアスペクト比を有するシリコン貫通電極(TSV)上に、いずれかのALD技術を使用して析出され得る。さらに、当業者は、本明細書において使用される「フィルム」または「層」という用語が、表面上に配置されたか、または分布するいくつかの材料の厚さを意味し、かつ表面がトレンチまたはラインであり得ることを認識するであろう。明細書および請求項の全体を通して、ウエハおよびその上のいずれの関連層も基体と呼ばれる。しかしながら、多くの場合、利用される好ましい基体は、炭素ドープされたSiO、TaN、Ta、TiN、RuおよびSi型基体、例えば、ポリシリコンまたは結晶質シリコン基体から選択されてよい。 The disclosed process may deposit a cobalt-containing layer directly on the wafer or directly on one or more of the layers on top of the wafer (if the patterned layer forms a substrate). The substrate may be patterned to include vias or trenches with a high aspect ratio. For example, a conformal Co-containing film such as CoSi 2 can be deposited using any ALD technique on a through silicon via (TSV) having an aspect ratio in the range of about 20: 1 to about 100: 1. .. In addition, one of ordinary skill in the art will appreciate that the term "film" or "layer" as used herein means the thickness of some material placed or distributed on a surface, and the surface is trenched or You will recognize that it can be a line. Throughout the specification and claims, the wafer and any related layers on it are referred to as substrates. However, in many cases, the preferred substrate utilized may be selected from carbon-doped SiO 2 , TaN, Ta, TiN, Ru and Si-type substrates such as polysilicon or crystalline silicon substrates.

開示されたCo含有フィルム形成組成物は、トルエン、エチルベンゼン、キシレン、メシチレン、デカン、ドデカン、オクタン、ヘキサン、ペンタン、第三級アミン、アセトン、テトラヒドロフラン、エタノール、エチルメチルケトン、1,4−ジオキサン、またはその他などの溶媒をさらに含んでなってもよい。開示された組成物は、溶媒中で様々な濃度で存在し得る。例えば、結果として生じる濃度は、約0.05M〜約2Mの範囲であってよい。 The disclosed Co-containing film-forming compositions include toluene, ethylbenzene, xylene, mesitylene, decane, dodecane, octane, hexane, pentane, tertiary amines, acetone, tetrahydrofuran, ethanol, ethylmethyl ketone, 1,4-dioxane, Alternatively, it may further contain a solvent such as others. The disclosed compositions can be present in various concentrations in a solvent. For example, the resulting concentration may range from about 0.05M to about 2M.

Co含有フィルム形成組成物は、Co含有フィルム形成組成物デリバリーデバイスの3つの例示的な実施形態を示す図1〜3のCo含有フィルム形成組成物デリバリーデバイスによって反応器または蒸着チャンバーに送達され得る。 The Co-containing film-forming composition can be delivered to the reactor or vapor deposition chamber by the Co-containing film-forming composition delivery device of FIGS. 1-3 showing three exemplary embodiments of the Co-containing film-forming composition delivery device.

図1は、Co含有フィルム形成組成物反応物デリバリーデバイス1の一実施形態の側面図である。図1中、開示されたCo含有フィルム形成組成物11は、2つの導管、入口導管3および出口導管4を有する容器2内に含まれる。反応物技術における当業者は、容器2、入口導管3および出口導管4が、高温および高圧でもCo含有フィルム形成組成物11の気体形態の漏れを防ぐように製造されることを認識するであろう。 FIG. 1 is a side view of an embodiment of a Co-containing film-forming composition reactant delivery device 1. In FIG. 1, the disclosed Co-containing film-forming composition 11 is contained in a container 2 having two conduits, an inlet conduit 3 and an outlet conduit 4. Those skilled in the art of reactants will recognize that the vessel 2, inlet conduit 3 and outlet conduit 4 are manufactured to prevent leakage of the gaseous form of the Co-containing film-forming composition 11 even at high temperatures and pressures. ..

デリバリーデバイス1の出口導管4は、反応器(示されていない)に、または気体キャビネット、ビアバルブ7などの、デリバリーデバイスと反応器との間の他の構成要素に流体的に連結する。好ましくは、容器2、入口導管3、バルブ6、出口導管4およびバルブ7は、316L EPまたは304ステンレススチール製である。しかしながら、当業者は、本明細書中の教示において、他の非反応性材料も使用されてもよいことを認識するであろう。 The outlet conduit 4 of the delivery device 1 fluidly connects to the reactor (not shown) or to other components between the delivery device and the reactor, such as a gas cabinet, via valve 7. Preferably, the container 2, inlet conduit 3, valve 6, outlet conduit 4 and valve 7 are made of 316L EP or 304 stainless steel. However, those skilled in the art will recognize that other non-reactive materials may also be used in the teachings herein.

図1中、入口導管3の端部8は、Co含有フィルム形成組成物11の表面の上に位置し、それに対して、出口導管4の端部9は、Co含有フィルム形成組成物11の表面の下に位置する。本実施形態において、Co含有フィルム形成組成物11は、好ましくは、液体形態である。限定されないが、窒素、アルゴン、ヘリウムおよびその混合物を含む不活性気体が入口導管3に導入されてよい。液体Co含有フィルム形成組成物11が出口導管4を通って、そして(示されていない)反応器に強制的に送られるように、不活性気体がデリバリーデバイス2を加圧する。反応器は、フィルムが形成されるであろう基体に蒸気を送達するために、ヘリウム、アルゴン、窒素またはその混合物などのキャリア気体の使用の有無にかかわらず、液体Co含有フィルム形成組成物11を蒸気へと変換する蒸発器を含んでもよい。代わりに、液体Co含有フィルム形成組成物10は、ジェットまたはエーロゾルとしてウエハ表面に直接送達されてもよい。 In FIG. 1, the end 8 of the inlet conduit 3 is located on the surface of the Co-containing film-forming composition 11, whereas the end 9 of the outlet conduit 4 is on the surface of the Co-containing film-forming composition 11. Located below. In this embodiment, the Co-containing film-forming composition 11 is preferably in liquid form. An inert gas containing, but not limited to, nitrogen, argon, helium and a mixture thereof may be introduced into the inlet conduit 3. The inert gas pressurizes the delivery device 2 so that the liquid Co-containing film-forming composition 11 is forced through the outlet conduit 4 and into the reactor (not shown). The reactor provides the liquid Co-containing film-forming composition 11 with or without the use of a carrier gas such as helium, argon, nitrogen or a mixture thereof to deliver the vapor to the substrate on which the film will be formed. It may include an evaporator that converts it into steam. Alternatively, the liquid Co-containing film-forming composition 10 may be delivered directly to the wafer surface as a jet or aerosol.

図2は、Co含有フィルム形成組成物デリバリーデバイス1の第2の実施形態の側面図である。図2中、入口導管3の端部8は、Co含有フィルム形成組成物11の表面の下に位置し、それに対して、出口導管4の端部9は、Co含有フィルム形成組成物11の表面の上に位置する。図2は、Co含有フィルム形成組成物11の温度を増加させ得る任意の加熱エレメント14も含む。本実施形態において、Co含有フィルム形成組成物11は、固体または液体形態であり得る。限定されないが、窒素、アルゴン、ヘリウムおよびその混合物を含む不活性気体が入口導管3に導入される。Co含有フィルム形成組成物11を通して不活性気体をバブリングし、そして不活性気体および蒸発したCo含有フィルム形成組成物11の混合物は、出口導管4へ、そして反応器上へ運ばれる。キャリア気体によるバブリングは、Co含有フィルム形成組成物に存在するいずれかの溶解酸素も除去し得る。 FIG. 2 is a side view of a second embodiment of the Co-containing film forming composition delivery device 1. In FIG. 2, the end 8 of the inlet conduit 3 is located below the surface of the Co-containing film-forming composition 11, whereas the end 9 of the outlet conduit 4 is the surface of the Co-containing film-forming composition 11. Located on top of. FIG. 2 also includes any heating element 14 capable of increasing the temperature of the Co-containing film forming composition 11. In this embodiment, the Co-containing film-forming composition 11 can be in solid or liquid form. An inert gas containing, but not limited to, nitrogen, argon, helium and a mixture thereof is introduced into the inlet conduit 3. The inert gas is bubbled through the Co-containing film-forming composition 11, and the mixture of the inert gas and the evaporated Co-containing film-forming composition 11 is carried to the outlet conduit 4 and onto the reactor. Bubbling with a carrier gas can also remove any dissolved oxygen present in the Co-containing film-forming composition.

図1および2は、バルブ6および7を含む。当業者は、バルブ6および7が、それぞれ、導管3および4を通して流動させるために、開または閉位置に配置され得ることを認識するであろう。図1および2中のいずれのデリバリーデバイス1、あるいは存在するいずれかの固体または液体の表面上で単一導管端部を有するより単純なデリバリーデバイスは、Co含有フィルム形成組成物11が蒸気形態にある場合、または固体/液体相上の十分な蒸気圧が存在する場合、使用されてよい。この場合、Co含有フィルム形成組成物11は、図1のバルブ6または図2のバルブ7を単に開放することによって、導管3または4を通して蒸気形態で送達される。デリバリーデバイス1は、例えば、任意の加熱エレメント14の使用によって、Co含有フィルム形成組成物11が蒸気形態で送達されるために十分な蒸気圧を提供するために適切な温度に維持され得る。 1 and 2 include valves 6 and 7. Those skilled in the art will recognize that valves 6 and 7 can be placed in open or closed positions for flow through conduits 3 and 4, respectively. A simpler delivery device having a single conduit end on the surface of any of the delivery devices 1 in FIGS. 1 and 2, or any solid or liquid present, is that the Co-containing film-forming composition 11 is in vapor form. It may be used if there is sufficient vapor pressure on the solid / liquid phase. In this case, the Co-containing film-forming composition 11 is delivered in vapor form through the conduit 3 or 4 by simply opening valve 6 in FIG. 1 or valve 7 in FIG. The delivery device 1 can be maintained at a temperature suitable for providing sufficient vapor pressure for the Co-containing film-forming composition 11 to be delivered in vapor form, for example by the use of any heating element 14.

図1および2は、Co含有フィルム形成組成物デリバリーデバイス1の2つの実施形態を開示するが、当業者は、入口導管3および出口導管4も、本明細書の開示から逸脱することなく、Co含有フィルム形成組成物11の表面の上または下の両方に位置し得ることを認識するであろう。さらに、入口導管3は、充てんポートであってもよい。 Although FIGS. 1 and 2 disclose two embodiments of the Co-containing film-forming composition delivery device 1, those skilled in the art will appreciate that the inlet and outlet conduits 4 also do not deviate from the disclosure herein. You will recognize that it can be located both above or below the surface of the containing film-forming composition 11. Further, the inlet conduit 3 may be a filling port.

Co含有フィルム形成組成物の固体形態の蒸気は、昇華器を使用して反応器に送達されてもよい。図3は、例示的な昇華器100の一実施形態を示す。昇華器100は、容器33を含んでなる。容器33は円筒容器であり得るか、または限定されることなく、いずれかの形状であってもよい。容器33は、限定されることなく、ステンレス鋼、ニッケルおよびその合金、石英、ガラスなどの材料、ならびに他の化学的に適合性のある材料から構成される。特定の例において、容器33は、限定されることなく、別の金属または金属合金から構成される。特定の例において、容器33は、約8センチメートル〜約55センチメートルの内径を有するか、あるいは約8センチメートル〜約30センチメートルの内径を有する。当業者によって理解されるように、別の構造は異なる寸法を有し得る。 The vapor in solid form of the Co-containing film-forming composition may be delivered to the reactor using a sublimator. FIG. 3 shows an embodiment of an exemplary sublimator 100. The sublimator 100 includes a container 33. The container 33 may be a cylindrical container or may have any shape without limitation. The container 33 is composed of, without limitation, materials such as stainless steel, nickel and alloys thereof, quartz, glass, and other chemically compatible materials. In a particular example, the container 33 is composed of, without limitation, another metal or metal alloy. In certain examples, the container 33 has an inner diameter of about 8 centimeters to about 55 centimeters, or an inner diameter of about 8 centimeters to about 30 centimeters. As will be appreciated by those skilled in the art, different structures may have different dimensions.

容器33は、封着可能な上部15、封着要素18およびガスケット20を含んでなる。封着可能な上部15は、外部環境から容器33を封着するように構成される。封着可能な上部15は、容器33への接近を可能にするように構成される。さらに、封着可能な上部15は、容器33への導管の経路のために構成される。代わりに、封着可能な上部15は、容器33への流動を可能にするように構成される。封着可能な上部15は、ディップチューブ92を含んでなる導管を受けて通過し、容器33との流体接触を維持するように構成される。コントロールバルブ90およびフィッティング95を有するディップチューブ92は、容器33中にキャリア気体を流動させるために構成される。特定の例において、ディップチューブ92は、容器33の中心軸の下方に延在する。さらに、封着可能な上部15は、出口チューブ12を含んでなる導管を受けて通過するように構成される。キャリア気体およびCo含有フィルム形成組成物の蒸気は、容器33から出口チューブ12を通って除去される。出口チューブ12は、コントロールバルブ10およびフィッティング5を含んでなる。特定の例において、キャリア気体を昇華器100から反応器まで伝導するために、出口チューブ12は、気体デリバリーマニフォールドに流体的に連結される。 The container 33 comprises a sealable top 15, a sealing element 18 and a gasket 20. The sealable upper portion 15 is configured to seal the container 33 from the external environment. The sealable top 15 is configured to allow access to the container 33. In addition, the sealable top 15 is configured for the route of the conduit to the container 33. Instead, the sealable top 15 is configured to allow flow into the container 33. The sealable top 15 is configured to receive and pass through a conduit comprising a dip tube 92 to maintain fluid contact with the container 33. The dip tube 92 having the control valve 90 and the fitting 95 is configured to allow the carrier gas to flow into the container 33. In a particular example, the dip tube 92 extends below the central axis of the container 33. Further, the sealable top 15 is configured to receive and pass through a conduit comprising an outlet tube 12. The carrier gas and the vapor of the Co-containing film-forming composition are removed from the container 33 through the outlet tube 12. The outlet tube 12 includes a control valve 10 and a fitting 5. In a particular example, the outlet tube 12 is fluidly coupled to a gas delivery manifold to conduct the carrier gas from the sublimator 100 to the reactor.

容器33および封着可能な上部15は、少なくとも2つの封着要素18;あるいは少なくとも約4つの封着要素によって封着される。特定の例において、封着可能な上部15は、容器33に少なくとも約8つの封着要素18によって封着される。当業者によって理解されるように、封着要素18は、封着可能な上部15を容器33に剥離可能に連結させ、そしてガスケット20による気体抵抗封着を形成する。封着要素18は、封着容器33の技術における当業者に既知のいずれかの適切な手段を含んでなり得る。特定の例において、封着要素18は、つまみねじを含んでなる。 The container 33 and the sealable top 15 are sealed by at least two sealing elements 18; or at least about four sealing elements. In a particular example, the sealable top 15 is sealed to the container 33 by at least about eight sealing elements 18. As will be appreciated by those skilled in the art, the sealing element 18 detachably connects the sealable top 15 to the container 33 and forms a gas resistance seal with the gasket 20. The sealing element 18 may include any suitable means known to those of skill in the art in the technique of sealing container 33. In a particular example, the sealing element 18 comprises a thumbscrew.

図3に例示されるように、容器33は、その中に配置された少なくとも1つのディスクをさらに含んでなる。ディスクは、固体材料のためのシェルフまたは水平方向の支持を含んでなる。特定の実施形態において、内部ディスク30は、容器33内に環状に配置され、そしてディスク30は、容器33の内径または内周未満である外径または外周を含み、開口31を形成する。外部ディスク86は、容器33内に周辺に配置され、そしてディスク86は、容器33の内径と同一であるか、またはほぼ同一であるか、または一般に一致する外径または外周を含んでなる。外部ディスク86は、ディスクの中心に配置される開口87を形成する。複数のディスクが容器33内に配置される。ディスクは、交互の様式で積層され、ここで、内部ディスク30、34、36、44は、交互の外部ディスク62、78、82、86と一緒に容器内で垂直に積層される。実施形態において、内部ディスク30、34、36、44は、外方向へ環状に延在し、そして外部ディスク62、78、82、86は、容器33の中心に向かって環状に延在する。図3の実施形態で例示されるように、内部ディスク30、34、36、44は、外部ディスク62、78、82、86と物理的に接触しない。 As illustrated in FIG. 3, the container 33 further comprises at least one disc disposed therein. The disc will include shelves or horizontal supports for solid materials. In certain embodiments, the internal disc 30 is annularly arranged within the container 33, and the disc 30 includes an outer diameter or outer circumference that is less than the inner or inner circumference of the container 33 to form an opening 31. The outer disc 86 is peripherally arranged within the container 33, and the disc 86 comprises an outer diameter or outer circumference that is, is approximately the same as, or generally matches the inner diameter of the container 33. The external disc 86 forms an opening 87 that is located in the center of the disc. A plurality of discs are arranged in the container 33. The discs are stacked in an alternating fashion, where the internal discs 30, 34, 36, 44 are stacked vertically in the container together with the alternating external discs 62, 78, 82, 86. In an embodiment, the inner discs 30, 34, 36, 44 extend outwardly in an annular shape, and the outer discs 62, 78, 82, 86 extend in an annular shape toward the center of the container 33. As illustrated in the embodiment of FIG. 3, the internal discs 30, 34, 36, 44 do not physically contact the external discs 62, 78, 82, 86.

組み立て昇華器100は、整列され、かつ連結された支持レッグ50、内部経路51、同心壁部40、41、42および同心スロット47、48、49を含んでなる、内部ディスク30、34、36、44を含んでなる。内部ディスク30、34、36、44は、垂直に積層され、そしてディップチューブ92に対して環状に配向される。さらに、昇華器は、外部ディスク62、78、82、86を含んでなる。図3に例示されるように、外部ディスク62、78、82、86は、容器33からディスク62、78、82、86へと熱を伝導するための良好な接触のために容器33中にしっかりとはめ込まれるべきである。好ましくは、外部ディスク62、78、82、86は、容器33の内壁に連結するか、または物理的に接触する。 The assembled sublimator 100 comprises internal disks 30, 34, 36, comprising an aligned and connected support leg 50, an internal path 51, concentric walls 40, 41, 42 and concentric slots 47, 48, 49. 44 is included. The internal discs 30, 34, 36, 44 are stacked vertically and oriented cyclically with respect to the dip tube 92. In addition, the sublimator comprises external disks 62, 78, 82, 86. As illustrated in FIG. 3, the external discs 62, 78, 82, 86 are firmly contained in the vessel 33 for good contact to conduct heat from the vessel 33 to the discs 62, 78, 82, 86. Should be fitted. Preferably, the outer discs 62, 78, 82, 86 are connected to or physically in contact with the inner wall of the container 33.

例示されるように、外部ディスク62、78、82、86および内部ディスク30、34、36、44は容器33内部で積層する。昇華器100を形成するように容器33中で組み立てられる場合、内部ディスク30、34、36、44は、組み立て外部ディスク62、78、82、86の間で外部気体経路31、35、37、45を形成する。さらに、外部ディスク62、78、82、86は、内部ディスク30、34、36、44の支持レッグと一緒に、内部気体経路56、79、83、87を形成する。内部ディスク30、34、36、44の壁部40、41、42は、固体前駆体を保持するための溝状スロットを形成する。外部ディスク62、78、82、86は、固体前駆体を保持するための壁部68、69、70を含んでなる。組み立ての間、固体前駆体は、内部ディスク30、34、36、44の環状スロット47、48、49および外部ディスク62、78、82、86の環状スロット64、65、66に装てんされる。 As illustrated, the external discs 62, 78, 82, 86 and the internal discs 30, 34, 36, 44 are laminated inside the container 33. When assembled in the container 33 to form the sublimator 100, the internal discs 30, 34, 36, 44 are assembled between the assembled external discs 62, 78, 82, 86 and the external gas paths 31, 35, 37, 45. To form. Further, the outer discs 62, 78, 82, 86 form the internal gas paths 56, 79, 83, 87 together with the support legs of the inner discs 30, 34, 36, 44. The walls 40, 41, 42 of the internal disks 30, 34, 36, 44 form grooved slots for holding the solid precursor. The external disks 62, 78, 82, 86 include walls 68, 69, 70 for holding the solid precursor. During assembly, the solid precursor is loaded into the annular slots 47, 48, 49 of the inner disks 30, 34, 36, 44 and the annular slots 64, 65, 66 of the outer disks 62, 78, 82, 86.

約1センチメートル未満、または約0.5センチメートル未満、または約0.1センチメートル未満の径の固体粉末および/または粒状粒子は、内部ディスク30、34、36、44の環状スロット47、48、49および外部ディスク62、78、82、86の環状スロット64、65、66に装てんされる。固体前駆体は、環状スロットにおける固体の均一分布に適切ないずれかの方法によって、それぞれのディスクの輪状スロットに装てんされる。適切な方法としては、限定されないが、直接注入、スコップの使用、漏斗の使用、自動測定デリバリーおよび加圧デリバリーが含まれる。固体前駆体材料の化学的性質次第で、装てんは、封着環境において行なわれてもよい。さらに、封着ボックス中の不活性気体雰囲気および/または加圧は、それらの有毒な、揮発性の、酸化可能な、および/または空気感応性固体のために実行されてもよい。容器33中にディスクをセッティングした後、それぞれのディスクを装てんすることができる。より好ましい手順は、容器33中へのディスクのセッティング前に固体を装てんすることである。昇華器に装てんされた固体前駆体の全重量は、装てんプロセスの前および後に昇華器を計量することによって記録されてもよい。さらに、消費される固体前駆体は、蒸発および析出プロセス後に昇華器を計量することによって算出されてもよい。 Solid powders and / or granular particles with a diameter of less than about 1 centimeter, or less than about 0.5 centimeters, or less than about 0.1 centimeters, are annular slots 47, 48 of internal disks 30, 34, 36, 44. , 49 and the annular slots 64, 65, 66 of the external disks 62, 78, 82, 86. The solid precursor is loaded into the ring-shaped slot of each disc by any method suitable for the uniform distribution of solids in the annular slot. Suitable methods include, but are not limited to, direct injection, use of scoops, use of funnels, automated measurement delivery and pressurized delivery. Depending on the chemistry of the solid precursor material, the loading may be performed in a sealing environment. In addition, the inert gas atmosphere and / or pressurization in the sealing box may be performed for their toxic, volatile, oxidizable, and / or air-sensitive solids. After setting the discs in the container 33, each disc can be loaded. A more preferred procedure is to load the solid before setting the disc into the container 33. The total weight of the solid precursor loaded on the sublimator may be recorded by weighing the sublimator before and after the loading process. In addition, the solid precursor consumed may be calculated by weighing the sublimator after the evaporation and precipitation process.

コントロールバルブ90およびフィッティング95を有するディップチューブ92は、内部ディスク30、34、36、44の、整列されかつ連結された支持レッグの中心経路51中に配置される。したがって、ディップチューブ92は、容器33の底部58に向かって垂直に内部経路51を通過する。ディップチューブの端部55は、容器の底部58の近位に/または気体ウインドウ52上に配置される。気体ウインドウ52は、底部内部ディスク44に配置される。気体ウインドウ52は、キャリア気体をディップチューブ92から流動させるために構成される。組み立て昇華器100において、気体経路59は、容器33の底部表面58および底部内部ディスク44によって形成される。特定の例において、気体経路59は、キャリア気体を加熱するために構成される。 The dip tube 92 with the control valve 90 and fitting 95 is located in the central path 51 of the aligned and connected support legs of the internal discs 30, 34, 36, 44. Therefore, the dip tube 92 passes through the internal path 51 perpendicularly to the bottom 58 of the container 33. The end 55 of the dip tube is located proximal to the bottom 58 of the container / or on the gas window 52. The gas window 52 is arranged on the bottom internal disk 44. The gas window 52 is configured to allow the carrier gas to flow from the dip tube 92. In the assembled sublimator 100, the gas path 59 is formed by the bottom surface 58 of the container 33 and the bottom internal disk 44. In a particular example, the gas pathway 59 is configured to heat the carrier gas.

操作中、キャリア気体は、ディップチューブ92を介して容器33中に導入される前に予熱される。代わりに、キャリア気体は、それが底部表面58によって気体経路59を通過する間に加熱され得る。底部表面58は、本明細書の教示と一貫して、外部加熱器によって熱的に連結され、かつ/または加熱される。次いで、キャリア気体は、内部ディスク44の外壁42および外部ディスク62の外壁61によって形成された気体経路45を通過する。気体経路45は、内部ディスク44の上部に導かれる。キャリア気体は、環状スロット47、48および49中に装てんされた固体前駆体の上部を連続的に流動する。環状スロット47、48、49からの昇華された固体蒸気は、キャリア気体と混合され、そして容器33を通して垂直に上方に流動する。 During operation, the carrier gas is preheated before being introduced into the vessel 33 via the dip tube 92. Alternatively, the carrier gas can be heated while it passes through the gas path 59 by the bottom surface 58. The bottom surface 58 is thermally connected and / or heated by an external heater, consistent with the teachings herein. The carrier gas then passes through the gas path 45 formed by the outer wall 42 of the inner disk 44 and the outer wall 61 of the outer disk 62. The gas path 45 is led to the top of the internal disk 44. The carrier gas continuously flows over the top of the solid precursor loaded in the annular slots 47, 48 and 49. The sublimated solid vapor from the annular slots 47, 48, 49 is mixed with the carrier gas and flows vertically upward through the vessel 33.

図3は、いずれの固体Co含有フィルム形成組成物の蒸気でも反応器に送達することができる昇華器の一実施形態を開示するが、当業者は、本明細書中の教示から逸脱することなく、他の昇華器デザインも適切であり得ることを認識するであろう。最終的に、当業者は、開示されたCo含有フィルム形成組成物が本明細書中の教示から逸脱することなく、Jurcikらへの国際公開第2006/059187号パンフレットで開示されたアンプルなどの他のデリバリーデバイスを使用して半導体加工用具に送達され得ることを認識するであろう。 FIG. 3 discloses an embodiment of a sublimator capable of delivering any vapor of a solid Co-containing film-forming composition to a reactor, but those skilled in the art will not deviate from the teachings herein. , You will recognize that other sublimator designs may also be appropriate. Ultimately, one of ordinary skill in the art will appreciate that the disclosed Co-containing film-forming composition does not deviate from the teachings herein, such as the ampoules disclosed in WO 2006/059187 to Jurkik et al. You will recognize that it can be delivered to semiconductor processing tools using the delivery device of.

必要であれば、図1〜3のCo含有フィルム形成組成物デバイスは、Co含有フィルム形成組成物がその液体相にあり、そして十分な蒸気圧を有することが可能となる温度まで加熱されてもよい。デリバリーデバイスは、例えば、0〜150℃の範囲の温度に維持されてよい。当業者は、デリバリーデバイスの温度が、蒸発されるCo含有フィルム形成組成物の量を制御するための周知の様式で調整され得ることを認識する。 If desired, the Co-containing film-forming composition device of FIGS. 1-3 may be heated to a temperature at which the Co-containing film-forming composition is in its liquid phase and is capable of having sufficient vapor pressure. good. The delivery device may be maintained at a temperature in the range 0-150 ° C, for example. Those skilled in the art will recognize that the temperature of the delivery device can be adjusted in a well-known manner to control the amount of Co-containing film-forming composition that evaporates.

開示された前駆体に加えて、反応物も反応器に導入されてよい。反応物は、O、O
、HO、Hの1つなどの酸素;OまたはOH どの酸素含有ラジカル;ギ酸、酢酸、プロピオン酸などのカルボン酸、NO、NOまたはカルボン酸のラジカル種;パラホルムアルデヒド;およびその混合物を含有し得る。好ましくは、酸素含有反応物は、O、O、HO、H、OまたはOHなどのその酸素含有ラジカル、ならびにその混合物からなる群から選択される。好ましくは、ALDプロセスが実行される場合、反応物は、プラズマ処理酸素、オゾンまたはその組合せである。酸素含有反応物が使用される場合、得られるコバルト含有フィルムも酸素を含有するであろう。
In addition to the disclosed precursors, reactants may also be introduced into the reactor. The reactants are O 2 , O 3
, H 2 O, oxygen, such as one of the H 2 O 2; O · or OH · which any oxygen containing radical; formic acid, acetic acid, carboxylic acids such as propionic acid, NO, radical species of NO 2 or carboxylic acid; para It may contain formaldehyde; and mixtures thereof. Preferably, the oxygen-containing reactant is selected from the group consisting of its oxygen-containing radicals such as O 2 , O 3 , H 2 O, H 2 O 2 , O · or OH ·, and mixtures thereof. Preferably, when the ALD process is performed, the reactant is plasma treated oxygen, ozone or a combination thereof. If an oxygen-containing reactant is used, the resulting cobalt-containing film will also contain oxygen.

代わりに、反応物は、H、NH、(SiHN、ヒドリドシラン(例えば、SiH、Si、Si、Si10、Si10、Si12)、クロロシランおよびクロロポリシラン(例えば、SiHCl、SiHCl、SCl、SiCl、SiHCl、SiCl)、アルキルシラン(例えば、(CHSiH、(CSiH、(CH)SiH、(C)SiH)、ヒドラジン(例えば、NH4、MeHNNH、MeHNNHMe)、有機アミン(例えば、N(CH)H、N(C)H、N(CHH、N(CH、N(CH、N(C、(SiMeNH)、ピラゾリン、ピリジン、B含有分子(例えば、B、9−ボラビシクロ[3,3,1]ノナン、トリメチルボロン、トリエチルボロン、ボラジン)、アルキル金属(例えば、トリメチルアルミニウム、トリエチルアルミニウム、ジメチル亜鉛、ジエチル亜鉛)、アルコール(例えば、エタノールまたはメタノール)、そのラジカル種およびその混合物の1種であり得る。好ましくは、反応物は、H、NH、SiH、Si、Si、SiHMe、SiHEt、N(SiH、エタノール、その水素ラジカルおよびその混合物である。好ましくは、反応物は、SiHCI、SiCI、SiHCI、SiCIおよびシクロ−SiCIである。これらの反応物が使用される場合、結果として生じるCo含有フィルムは、純粋なCoであり得る。 Instead, the reactants are H 2 , NH 3 , (SiH 3 ) 3 N, hydride silanes (eg SiH 4 , Si 2 H 6 , Si 3 H 8 , Si 4 H 10 , Si 5 H 10 , Si 6). H 12), chlorosilane and chloropolysilane (e.g., SiHCl 3, SiH 2 Cl 2 , S i H 3 Cl, Si 2 Cl 6, Si 2 HCl 5, Si 3 Cl 8), alkyl silanes (eg, (CH 3) 2 SiH 2, (C 2 H 5) 2 SiH 2, (CH 3) SiH 3, (C 2 H 5) SiH 3), hydrazine (e.g., N 2 H4, MeHNNH 2, MeHNNHMe), organic amines (e.g., N (CH 3 ) H 2 , N (C 2 H 5 ) H 2 , N (CH 3 ) 2 H, N (C 2 H 5 ) 2 H, N (CH 3 ) 3 , N (C 2 H 5 ) 3, (SiMe 3) 2 NH), pyrazoline, pyridine, B-containing molecules (e.g., B 2 H 6, 9-borabicyclo [3,3,1] nonane, Torimechirubo Ron, triethyl boron, borazine), alkali metal (e.g. , Trimethylaluminum, triethylaluminum, dimethylzinc, diethylzinc), alcohols (eg, ethanol or methanol), radical species thereof and mixtures thereof. Preferably, the reactants are H 2 , NH 3 , SiH 4 , Si 2 H 6 , Si 3 H 8 , SiH 2 Me 2 , SiH 2 Et 2 , N (SiH 3 ) 3 , ethanol, hydrogen radicals thereof and their hydrogen radicals thereof. It is a mixture. Preferably, the reactants are SiHCI 3 , Si 2 CI 6 , Si 2 HCI 5 , Si 2 H 2 CI 4 and Cyclo- Si 6 H 6 CI 6 . When these reactants are used, the resulting Co-containing film can be pure Co.

反応物は、反応物をそのラジカル型へと分解するために、プラズマによって処理されてもよい。プラズマで処理される場合、Nは反応物としても利用されてよい。例えば、プラズマは、約50W〜約500W、好ましくは約100W〜約200Wの範囲の出力で発生され得る。プラズマは、発生され得るか、またはそれ自体、反応器内で存在し得る。代わりに、プラズマは、一般に、反応器から取り外された位置、例えば遠位プラズマシステムに存在し得る。当業者は、そのようなプラズマ処理に適切な方法および装置を認識するであろう。 The reactants may be treated with a plasma to decompose the reactants into their radical form. When treated with plasma, N 2 may also be used as a reactant. For example, the plasma can be generated at an output in the range of about 50 W to about 500 W, preferably about 100 W to about 200 W. The plasma can be generated or it can exist in the reactor itself. Instead, the plasma can generally be present at a location removed from the reactor, such as the distal plasma system. Those skilled in the art will recognize suitable methods and equipment for such plasma processing.

開示されたCo含有フィルム形成組成物は、ヘキサクロロジシラン、ペンタクロロジシランまたはテトラクロロジシランなどのハロシランまたはポリハロジシラン、ならびに1種またはそれ以上の反応物と一緒に使用されて、CoSi、CoSiCNまたはCoSiCOHフィルムを形成してもよい。 The disclosed Co-containing film-forming compositions are used with halosilanes or polyhalodisilanes such as hexachlorodisilane, pentachlorodisilane or tetrachlorodisilane, and one or more reactants to be used with CoSi, CoSiCN or CoSiCOH. A film may be formed.

望ましいCo含有フィルムは、例えば、限定されないが、Ti、Mn、Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、ランタイド(Erなど)またはその組合せなどの別の元素も含有する場合、反応物は、限定されないが、Ln(RCp)などのアルキル、Ti(NEtなどのアルキルアミンまたはそのいずれかの組合せから選択される別の前駆体を含み得る。 Preferably Co-containing film, for example, without limitation, Ti, Mn, Ta, Hf , Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Koh Roh id (Er etc. ) Or a combination thereof, the reactants are selected from, but not limited to , alkyls such as Ln (RCp) 3 , alkylamines such as Ti ( NET 2 ) 4, or any combination thereof. Can include other precursors.

Co含有フィルム形成組成物および1種またはそれ以上の反応物は、反応チャンバーに、同時に(例えば、CVD)、経時的に(例えば、ALD)または他の組合せで導入され得る。例えば、Co含有フィルム形成組成物が1パルスで導入され得、そして2種の追加の反応物が、別のパルスで一緒に導入され得る(例えば、変性ALD)。代わりに、反応チャンバーは、Co含有フィルム形成組成物の導入の前に、すでに反応物を含有していてもよい。反応物は、反応チャンバーから局所的なまたは遠位にあるプラズマシステムを通過して、そしてラジカルに分解されてもよい。代わりに、他の反応物はパルスによって導入されるが、Co含有フィルム形成組成物は連続的に反応チャンバーに導入されてもよい(例えば、パルスCVD)。それぞれの例において、パルスは、導入された成分の過剰量を除去するために、パージまたは排気ステップが続いてもよい。それぞれの例において、パルスは、約0.01秒〜約10秒、または約0.3秒〜約3秒、または約0.5秒〜約2秒の範囲の期間継続されてよい。別の選択肢において、Co含有フィルム形成組成物および1種またはそれ以上の反応物は、その下でいくつかのウエハを保持するサセプターがスピンされるシャワーヘッドから同時にスプレーされてもよい(例えば、空間的ALD)。 The Co-containing film-forming composition and one or more reactants can be introduced into the reaction chamber simultaneously (eg, CVD), over time (eg, ALD) or in other combinations. For example, the Co-containing film-forming composition can be introduced in one pulse, and two additional reactants can be introduced together in another pulse (eg, modified ALD). Alternatively, the reaction chamber may already contain the reactants prior to the introduction of the Co-containing film-forming composition. The reactants may pass through a plasma system locally or distally from the reaction chamber and be decomposed into radicals. Alternatively, the Co-containing film-forming composition may be continuously introduced into the reaction chamber (eg, pulse CVD), although the other reactants are introduced by pulse. In each example, the pulse may be followed by a purge or exhaust step to remove the excess amount of introduced components. In each example, the pulse may last for a period ranging from about 0.01 seconds to about 10 seconds, or about 0.3 seconds to about 3 seconds, or about 0.5 seconds to about 2 seconds. In another option, the Co-containing film-forming composition and one or more reactants may be simultaneously sprayed from a shower head under which a susceptor holding several wafers is spun (eg, space). ALD).

1つの非限定的な例示的ALD型プロセスにおいて、Co含有フィルム形成組成物の蒸気相は、反応チャンバー中に導入され、そしてそこで、シリルアミ含有前駆体の少なくとも一部が、Si、SiO、Alなどの適切な基体と反応して、吸着されたコバルト層を形成する。次いで、過剰量の組成物は、反応チャンバーをパージおよび/または排気することによって、反応チャンバーから除去され得る。酸素供給源が反応チャンバーに導入され、そこで、それは自己制限的様式で、吸着されたコバルト層と反応する。いずれの過剰量の酸素供給源も、反応チャンバーをパージおよび/または排気することによって、反応チャンバーから除去される。望ましいフィルムが酸化コバルトフィルムである場合、この2ステッププロセスによって望ましいフィルム厚さが提供され得るか、または必要な厚さを有するフィルムが得られるまで、繰り返されてよい。 In one non-limiting exemplary ALD type process, the vapor phase of the Co-containing film-forming composition is introduced into the reaction chamber, and where, at least a portion of Shiriruami de-containing precursor, Si, SiO 2, It reacts with a suitable substrate such as Al 2 O 3 to form an adsorbed cobalt layer. The excess composition can then be removed from the reaction chamber by purging and / or evacuating the reaction chamber. An oxygen source is introduced into the reaction chamber, where it reacts with the adsorbed cobalt layer in a self-limiting manner. Any excess oxygen source is removed from the reaction chamber by purging and / or evacuating the reaction chamber. If the desired film is a cobalt oxide film, this two-step process may be repeated until the desired film thickness can be provided or a film with the required thickness is obtained.

代わりに、望ましいフィルムが第2の元素を含有する場合(すなわち、xが1〜4であり得、かつMが、Ti、Ta、Hf、Nb、Mg、Al、Sr、Y、Ba、Ca、As、Sb、Bi、Sn、Pb、ランタイド(Erなど)またはその組合せであるCoMN)、上記の2ステッププロセスの後、反応チャンバー中への第2の前駆体の蒸気の導入が実行されてよい。第2の前駆体は、析出されるフィルムの性質に基づいて選択されるであろう。反応チャンバー中への導入後、第2の前駆体は基体と接触する。いずれの過剰量の第2の前駆体も、反応チャンバーをパージおよび/または排気することによって、反応チャンバーから除去される。再び、第2の前駆体と反応させるために、N供給源が反応チャンバーに導入されてよい。過剰量のN供給源は、反応チャンバーをパージおよび/または排気することによって、反応チャンバーから除去される。望ましいフィルム厚さが達成されたら、プロセスは終了されてよい。しかしながら、より厚いフィルムが望ましい場合、全4ステッププロセスが繰り返されてもよい。Co含有フィルム形成組成物、第2の前駆体およびN供給源の供給を代えることによって、望ましい組成物および厚さのフィルムを析出することができる。 Alternatively, if the desired film contains a second element (ie, x can be 1-4 and M is Ti, Ta, Hf, Nb, Mg, Al, Sr, Y, Ba, Ca, As, Sb, Bi, Sn, Pb, Koh Roh id (Er) or CoMn x) a combination thereof, after the above 2-step process, the second introduction of the vapor of the precursor run into the reaction chamber May be done. The second precursor will be selected based on the nature of the film being deposited. After introduction into the reaction chamber, the second precursor contacts the substrate. Any excess of the second precursor is removed from the reaction chamber by purging and / or evacuating the reaction chamber. Again, an N source may be introduced into the reaction chamber to react with the second precursor. Excess N sources are removed from the reaction chamber by purging and / or evacuating the reaction chamber. Once the desired film thickness is achieved, the process may be terminated. However, if a thicker film is desired, the entire 4-step process may be repeated. By substituting the supply of the Co-containing film-forming composition, the second precursor and the N source, a film of the desired composition and thickness can be precipitated.

さらに、パルス数を変更することによって、望ましい化学量論的M:Co比を有するフィルムが得られ得る。例えば、CoMNフィルムは、それぞれのパルスにNH供給源のパルスが続くように、1パルスのCo含有フィルム形成組成物および1パルスの第2の前駆体を有することによって入手され得る。しかしながら、当業者は、望ましいフィルムを得るために必要とされるパルス数が、結果として生じるフィルムの化学量論比と同一とはなり得ないことを認識するであろう。 Further, by changing the number of pulses, a film having a desired stoichiometric M: Co ratio can be obtained. For example, CoMn film, as in each pulse is the pulse of the NH 3 source followed may be obtained by having a second precursor of one pulse of the Co-containing film-forming composition and 1 pulse. However, those skilled in the art will recognize that the number of pulses required to obtain the desired film cannot be the same as the stoichiometric ratio of the resulting film.

上記で議論されたプロセスから結果として生じるコバルト含有フィルムは、Co、CoSi、CoO、CoN、CoMN、CoC、CoON、CoCN、CoCONまたはMCoO(式中、Mは、Hf、Zr、Ti、Nb、TaまたはGeなどの元素であり、かつxは、Mの酸化状態次第で0〜4であり得る)を含み得る。当業者は、適切なCo含有フィルム形成組成物および反応物の公正な選択によって、望ましいフィルム組成物が得られ得ることを認識するであろう。 The cobalt-containing films resulting from the processes discussed above are Co, CoSi 2 , CoO 2 , CoN, CoMN, CoC, CoON, CoCN, CoCON or MCoO x (where M is Hf, Zr, Ti, in the formula). It is an element such as Nb, Ta or Ge, and x can be 0-4 depending on the oxidation state of M). Those skilled in the art will recognize that the desired film composition can be obtained with a fair selection of suitable Co-containing film-forming compositions and reactants.

望ましいフィルムの厚さが得られたら、フィルムは、熱的焼き鈍し、燃焼室焼き鈍し、急速熱的焼き鈍し、UVまたはe−ビーム硬化および/またはプラズマ気体暴露などのさらなるプロセスを受けてもよい。当業者は、これらの追加的プロセスステップを実行するために利用されるシステムおよび方法を認識する。例えば、コバルト含有フィルムは、不活性雰囲気、H含有雰囲気、N含有雰囲気、O含有雰囲気またはその組合せの下、約0.1秒〜約7200秒の範囲の期間、約200℃〜約1000℃の範囲の温度に暴露されてよい。最も好ましくは、温度は、H含有雰囲気下、3600秒未満、600℃である。結果として生じるフィルムは、より少ない不純物を含有し得、したがって、改善された性能特性を有し得る。焼き鈍しステップは、析出プロセスが実行されるものと同じ反応チャンバー中で実行されてよい。代わりに、基体を反応チャンバーから取り出し、焼き鈍し/フラッシュ焼き鈍しプロセスが別の装置で行なわれてもよい。上記の後処理方法のいずれも、特に熱的焼き鈍しは、コバルト含有フィルムの炭素および窒素汚染を減少させるために効果的であることが見出された。 Once the desired film thickness is obtained, the film may undergo additional processes such as thermal annealing, combustion chamber annealing, rapid thermal annealing, UV or e-beam curing and / or plasma gas exposure. One of ordinary skill in the art will be aware of the systems and methods used to perform these additional process steps. For example, the cobalt-containing film is prepared at about 200 ° C. to about 1000 ° C. for a period of about 0.1 seconds to about 7200 seconds under an inert atmosphere, an H-containing atmosphere, an N-containing atmosphere, an O-containing atmosphere or a combination thereof. It may be exposed to a range of temperatures. Most preferably, the temperature is 600 ° C. for less than 3600 seconds under an H-containing atmosphere. The resulting film may contain less impurities and therefore may have improved performance characteristics. The annealing step may be performed in the same reaction chamber in which the precipitation process is performed. Alternatively, the substrate may be removed from the reaction chamber and the annealing / flash annealing process may be performed in a separate device. All of the above post-treatment methods have been found to be particularly effective in reducing carbon and nitrogen contamination of cobalt-containing films.

次の非限定的な実施例は、本発明の実施形態をさらに例示するために提供される。しかしながら、実施例は包括的であるように意図されず、そして本明細書に記載の本発明の範囲を限定するようには意図されない。 The following non-limiting examples are provided to further illustrate embodiments of the present invention. However, the examples are not intended to be inclusive and are not intended to limit the scope of the invention described herein.

実施例1:Co[N(SiMe(THF)の合成
フラスコに、CoCl(7.08g)およびTHF(50ml)を充てんした。−78℃でNaN(SiMe THF溶液(100mL)を滴下し、次いで、混合物を室温(約23℃、RT)まで加温した。室温での一晩の反応後、溶液をCelite(商標)ブランド珪藻土を通してろ過し、そして全ての溶媒を減圧下で除去した。減圧(50ミリトル、70〜80℃)下での昇華によって、薄緑色の化合物を精製した。収率58%。XRD分析のために、生成物を−30℃においてヘキサンから再結晶化した。空気感応性の若草色の結晶が得られた。
H NMR(δ,C)−16.98(s)96.91(brs),DSC 58℃(融点),183℃(分解),蒸気圧 108℃で1トル.
Example 1: Synthesis of Co [N (SiMe 3 ) 2 ] 2 (THF) Flasks were filled with CoCl 2 (7.08 g) and THF (50 ml). A NaN (SiMe 3 ) 2 THF solution (100 mL) was added dropwise at −78 ° C., then the mixture was warmed to room temperature (about 23 ° C., RT). After an overnight reaction at room temperature, the solution was filtered through Celite ™ brand diatomaceous earth and all solvents were removed under reduced pressure. The light green compound was purified by sublimation under reduced pressure (50 milittle, 70-80 ° C.). Yield 58%. The product was recrystallized from hexane at −30 ° C. for XRD analysis. Air-sensitive young grass-colored crystals were obtained.
1 1 H NMR (δ, C 6 D 6 ) -16.98 (s) 96.91 (brs), DSC 58 ° C (melting point), 183 ° C (decomposition), vapor pressure 108 ° C, 1 torr.

実施例2:Co[N(SiMeEt)(THF)の合成
フラスコに、CoClおよびTHFを充てんした。−78℃でNaN(SiMeEt) THF溶液を滴下し、次いで、混合物を室温(約23℃、RT)まで加温した。濃緑色の液体が得られた。
H NMR(δ,C)−34.69(s),−19.67(s)2.11(brs)89.12(brs),DSC 215℃(分解),蒸気圧 100℃で1トル.
Example 2: Synthesis of Co [N (SiMe 2 Et) 2 ] 2 (THF) Flasks were filled with CoCl 2 and THF. A NaN (SiMe 2 Et) 2 THF solution was added dropwise at −78 ° C., then the mixture was warmed to room temperature (about 23 ° C., RT). A dark green liquid was obtained.
1 1 H NMR (δ, C 6 D 6 ) -34.69 (s), -19.67 (s) 2.11 (brs) 89.12 (brs), DSC 215 ° C (decomposition), vapor pressure 100 ° C 1 torr.

実施例3:Co[N(SiMe(付加物)の合成
10等量の付加物配位子(乾燥ピリジン(py.)、ジメチルエチルアミン、ジエチルメチルアミン、トリエチルアミン、1−メチル−ピロリジン)を、窒素下、実施例1と同様に調整されたCo[N(SiMe(THF)(1.0g)のヘキサン溶液(5mL)中に滴下した。一晩の反応後、溶媒および過剰量の付加物配位子を減圧下で除去した。粗製生成物を乾燥ヘキサンで抽出し、そしてPTFEフィルターを通してろ過した。標的化合物は、−30℃での乾燥ヘキサンからの再結晶化によって精製し、そして室温で減圧下、乾燥させた。それぞれの付加化合物は、青色〜緑色固体として単離された。ピリジン付加物(水色、収率78%)、ジメチルエチルアミン付加物(青色、収率87%)、ジエチルメチルアミン付加物(濃緑色、収率56%)、トリエチルアミン付加物(濃緑色、収率93%)、1−メチル−ピロリジン付加物(水色、収率86%)。
Example 3: Synthesis of Co [N (SiMe 3 ) 2 ] 2 (adduct) 10 equal amounts of adduct ligand (dried pyridine (py.), Dimethylethylamine, diethylmethylamine, triethylamine, 1-methyl- Pyrrolidine) was added dropwise to a hexane solution (5 mL) of Co [N (SiMe 3 ) 2 ] 2 (THF) (1.0 g) prepared in the same manner as in Example 1 under nitrogen. After the overnight reaction, the solvent and excess adduct ligand were removed under reduced pressure. The crude product was extracted with dry hexane and filtered through a PTFE filter. The target compound was purified by recrystallization from dry hexane at −30 ° C. and dried at room temperature under reduced pressure. Each addition compound was isolated as a blue to green solid. Ppyridine adduct (light blue, 78% yield), dimethylethylamine adduct (blue, 87% yield), diethylmethylamine adduct (dark green, 56% yield), triethylamine adduct (dark green, 93% yield) %), 1-Methyl-pyrrolidin adduct (light blue, yield 86%).

付加化合物の特徴決定:それぞれの化合物を、H NMRおよびXRDによって分析した。
Py付加物:H NMR(δ,C)−18.84(s)67.04(brs),138.66(brs),DSC 100℃(m.p.),216℃(dec),蒸気圧 153℃で1トル.
ジメチルエチルアミン付加物 H NMR(δ,C)−24.91(s)71.77(brs),DSC 88℃(m.p.),203℃(dec),蒸気圧 88℃で1トル.
ジエチルメチルアミン付加物 H NMR(δ,C)−22.58(brs),59.40(brs),97.71(brs)DSC 90℃(m.p.),210℃(dec),蒸気圧 82℃で1トル.
トリエチルアミン付加物H NMR(δ,C)−17.01(s),96.68(brs),DSC 221℃(dec),蒸気圧 100℃で1トル.
1−メチル−ピロリジン付加物 H NMR(δ,C)−25.07(s),62.06(brs),76.22(brs),DSC 148℃(m.p.),210℃(dec),蒸気圧 114℃で1トル.
Characterizing additional compounds: Each compound was analyzed by 1 H NMR and XRD.
Py adduct: 1 1 H NMR (δ, C 6 D 6 ) -18.84 (s) 67.04 (brs), 138.66 (brs), DSC 100 ° C (mp), 216 ° C (dec) ), 1 torr at vapor pressure of 153 ° C.
Dimethylethylamine adduct 1 1 H NMR (δ, C 6 D 6 ) -24.91 (s) 71.77 (brs), DSC 88 ° C. (mp), 203 ° C. (dec), vapor pressure 88 ° C. 1 torr.
Diethylmethylamine adduct 1 1 H NMR (δ, C 6 D 6 ) -22.58 (brs), 59.40 (brs), 97.71 (brs) DSC 90 ° C (mp), 210 ° C ( dec), 1 torr at a vapor pressure of 82 ° C.
Triethylamine adduct 1 1 H NMR (δ, C 6 D 6 ) -17.01 (s), 96.68 (brs), DSC 221 ° C. (dec), vapor pressure 100 ° C., 1 torr.
1-Methyl-pyrrolidin adduct 1 1 H NMR (δ, C 6 D 6 )-25.07 (s), 62.06 (brs), 76.22 (brs), DSC 148 ° C. (mp), 1 torr at 210 ° C. (dec) and vapor pressure 114 ° C.

実施例4:Co[N(SiMeEt)(ジメチルエチルアミン)の合成
10等量のジメチルエチルアミンを、窒素下、実施例2と同様に調整されたCo[N(SiMeEt)(THF)(1.0g)のヘキサン溶液(5mL)中に滴下した。一晩の反応後、溶媒および過剰量の付加物配位子を減圧下で除去した。粗製生成物を乾燥ヘキサンで抽出し、そしてPTFEフィルターを通してろ過した。標的化合物は、減圧(30ミリトル)下での蒸留によって精製した。濃緑色液体が得られた(収率45%)。
H NMR(δ,C)−20.45(brs),−16.20(s),0.07(s)0.50(q,J=8Hz),0.96(t,J=8Hz),12.75(brs)94.02(brs).DSC 124℃(dec),蒸気圧 124℃で1トル.
Example 4: Synthesis of Co [N (SiMe 2 Et) 2 ] 2 (dimethylethylamine) Co [N (SiMe 2 Et) 2 prepared in the same manner as in Example 2 under nitrogen in an amount of 10 equal amounts of dimethylethylamine. ] 2 (THF) (1.0 g) was added dropwise to a hexane solution (5 mL). After the overnight reaction, the solvent and excess adduct ligand were removed under reduced pressure. The crude product was extracted with dry hexane and filtered through a PTFE filter. The target compound was purified by distillation under reduced pressure (30 milittle). A dark green liquid was obtained (yield 45%).
1 1 H NMR (δ, C 6 D 6 )-20.45 (brs), -16.20 (s), 0.07 (s) 0.50 (q, J = 8Hz), 0.96 (t, J = 8 Hz), 12.75 (brs) 94.02 (brs). 1 torr at DSC 124 ° C. (dec) and vapor pressure 124 ° C.

実施例5:熱分析
窒素(220sccm)下、TGA(熱重量分析、METTLER、TGA/SDTA851)によって揮発度を監視した。温度は10℃/分で増加させた。試験試料を窒素下、アルミパン中で調製した。結果を図4および5に示す。
Example 5: Thermal analysis Under nitrogen (220 sccm), volatility was monitored by TGA (thermogravimetric analysis, METTLER, TGA / SDTA851). The temperature was increased at 10 ° C./min. Test samples were prepared in an aluminum pan under nitrogen. The results are shown in FIGS. 4 and 5.

図4は、1010ミリバールでの測定によるCo[N(SiR(付加物)前駆体の比較オープンカップTGAグラフである。1010ミリバールは、大気圧、あるいは前駆体が貯蔵され得る圧力に近い、または前駆体が貯蔵され得る圧力と等しい。 FIG. 4 is a comparative open cup TGA graph of Co [N (SiR 1 R 2 R 3 ) 2 ] 2 (adduct) precursors measured at 1010 mbar. 1010 millibars is equal to atmospheric pressure, or pressure close to the pressure at which the precursor can be stored, or equal to the pressure at which the precursor can be stored.

図4において見ることができるように、Co[N(SiMe(NMeEt)は、100%に近い蒸発を示し、またCo[N(SiMe(NEt)は、ほとんど残渣を残さない。Co[N(SiMe(Me−ピロリジン)、Co[N(SiMe(NMeEt)およびCo[N(SiMe(THF)は全て、約5〜10%の残渣を残す。Co[N(SiMeEt)(THF)およびCo[N(SiMe(py)は両方とも、約10%の残渣を残す。Co[N(SiMeEt)(NMeEt)は、20%を超える残渣を残す。 As can be seen in FIG. 4, Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et) shows an evaporation close to 100%, and Co [N (SiMe 3 ) 2 ] 2 (NET 3 ). Leaves almost no residue. Co [N (SiMe 3 ) 2 ] 2 (Me-pyrrolidine), Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2 ) and Co [N (SiMe 3 ) 2 ] 2 (THF) are all about 5 to 5 Leave a 10% residue. Both Co [N (SiMe 2 Et) 2 ] 2 (THF) and Co [N (SiMe 3 ) 2 ] 2 (py) leave about 10% residue. Co [N (SiMe 2 Et) 2 ] 2 (NMe 2 Et) leaves a residue of more than 20%.

図5は、20ミリバールでの測定によるCo[N(SiR(付加物)前駆体の比較オープンカップTGAグラフである。20ミリバールは、前駆体が使用され得る圧力に近い、または前駆体が使用され得る圧力を表す。 FIG. 5 is a comparative open cup TGA graph of Co [N (SiR 1 R 2 R 3 ) 2 ] 2 (adduct) precursors measured at 20 millibars. 20 millibars represents a pressure close to the pressure at which the precursor can be used, or the pressure at which the precursor can be used.

図5において見ることができるように、全6種の試験された前駆体(すなわち、Co[N(SiMeEt)(THF)、Co[N(SiMe(py)、Co[N(SiMe(NMeEt)、Co[N(SiMe(NEt)、Co[N(SiMe(Me−ピロリジン)およびCo[N(SiMeEt)(NMeEt)は、0%に近い残渣を残す。 As can be seen in FIG. 5, all six tested precursors (ie, Co [N (SiMe 2 Et) 2 ] 2 (THF), Co [N (SiMe 3 ) 2 ] 2 (py)). , Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2 ), Co [N (SiMe 3 ) 2 ] 2 (NEt 3 ), Co [N (SiMe 3 ) 2 ] 2 (Me-pyrrolidin) and Co [N (SiMe 2 Et) 2 ] 2 (NMe 2 Et) leaves a residue close to 0%.

図4および5において実証されるように、Co[N(SiR(付加物)前駆体系統は、前駆体の構造的類似性にもかかわらず、類似特性を示さない。 As demonstrated in FIGS. 4 and 5, the Co [N (SiR 1 R 2 R 3 ) 2 ] 2 (adduct) precursor line exhibits similar properties despite structural similarities of the precursors. No.

前駆体の選択に関して、揮発度は、反応チャンバーに送達されるために重要である。大気下でのTGAデータは、どの前駆体が使用に適切であり、どの前駆体が使用に不適切であるかを示す。好ましくは、前駆体は、減圧下で明確な蒸発を示す。 With respect to precursor selection, volatility is important for delivery to the reaction chamber. TGA data in the atmosphere show which precursors are suitable for use and which precursors are unsuitable for use. Preferably, the precursor exhibits clear evaporation under reduced pressure.

実施例6:熱応力試験
2週間〜3カ月、1トルの蒸気圧に相当する温度で試料を加熱した。特定の温度で維持された試料に対する、特定の期間でのいずれかの変化を示す1010ミリバール(大気圧)で実行されたTGAグラフを図6〜10に示す。図6は、150で0および1週間のCo[N(SiMe(py)の結果を示す。図7は、90で0;1、2および3週間ならびに1および2カ月のCo[N(SiMe(NMeEt)の結果を示す。図8は、80で0、1、2および3週間のCo[N(SiMe(NEtMe)の結果を示す。図9は、110で0、1および2週間のCo[N(SiMe(1−Me−ピロリジン)の結果を示す。図10は、120で0、1および2週間のCo[N(SiMeEt)(NMeEt)の結果を示す。
Example 6: Thermal stress test The sample was heated at a temperature corresponding to the vapor pressure of 1 torr for 2 weeks to 3 months. Figures 6-10 show TGA graphs performed at 1010 millibar (atmospheric pressure) showing any changes over a particular time period for a sample maintained at a particular temperature. FIG. 6 shows the results of Co [N (SiMe 3 ) 2 ] 2 (py) at 150 ° C. for 0 and 1 week. FIG. 7 shows the results of Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et) at 90 ° C. for 0; 1, 2 and 3 weeks and 1 and 2 months. FIG. 8 shows the results of Co [N (SiMe 3 ) 2 ] 2 (NET 2 Me) at 80 ° C. for 0, 1, 2 and 3 weeks. FIG. 9 shows the results of Co [N (SiMe 3 ) 2 ] 2 (1-Me-pyrrolidin) at 110 ° C. for 0, 1 and 2 weeks. FIG. 10 shows the results of Co [N (SiMe 2 Et) 2 ] 2 (NMe 2 Et) at 120 ° C. for 0, 1 and 2 weeks.

使用温度における前駆体の安定性も重要である。前駆体が使用される場合、十分な蒸気、少なくとも1トルを生じるように、キャニスターを加熱する。高度成長レートを生じるために、キャニスターは、1トル温度よりも高く加熱されてもよい。したがって、前駆体は、予想される蒸気の送達を保持するため、分解を生じることなく、安定でなければならない。 Precursor stability at operating temperature is also important. If precursors are used, heat the canister to produce sufficient steam, at least 1 torr. The canister may be heated above 1 torr temperature to produce a high growth rate. Therefore, the precursor must be stable without degradation in order to retain the expected vapor delivery.

これらの化合物などの低い蒸気圧によって半導体産業で利用される材料は、高温で安定したままでいなければならない。高温は、この圧力が、分配システムを通して、そしてプロセスチャンバー中に材料の適切な供与量を提供するために十分であることがわかっているため、キャニスター中で1トル(133Pa)蒸気/部分圧の前駆体の圧力を提供するよう選択された。キャニスターは、プロセスツールの使用レート/生産性に対応する延長された時間(例えば、数週間または数カ月)の間、高温に保持され得る。そのような高温において、それらの特徴を維持しない材料は、追加的な例外的装置または条件付けなしで、半導体プロセスのための前駆体として有効に利用され得ない。出願者は、驚くべきことに、Co(TMSA)前駆体のNEtMe付加物が、延長された高温曝露後に優れた熱的性質を有することを見出した。 Materials used in the semiconductor industry due to low vapor pressure, such as these compounds, must remain stable at high temperatures. The high temperature is one torr (133 Pa) of steam / partial pressure in the canister, as this pressure has been found to be sufficient to provide the proper supply of material through the distribution system and into the process chamber. Selected to provide precursor pressure. The canister can be kept at a high temperature for an extended period of time (eg, weeks or months) corresponding to the usage rate / productivity of the process tool. At such high temperatures, materials that do not retain their characteristics cannot be effectively utilized as precursors for semiconductor processes without additional exceptional equipment or conditioning. Applicant has surprisingly found that, Co (TMSA) NEtMe 2 adduct of 2 precursor was found to have excellent thermal properties after prolonged exposure to high temperature.

材料安定性は、付加物の揮発性のみに依存しない。NEtMe付加物は、NEtMe付加物より高い揮発性を有する(図4参照)が、3週間後に有意な分解を示す。さらに、前駆体が酸素を含有しないため、それは、純粋なCoフィルムの析出において有用であり得る。 Material stability does not depend solely on the volatility of the adduct. NEtMe 2 adduct has a higher volatility than NEt 2 Me adduct (see FIG. 4) show significant degradation after 3 weeks. In addition, it can be useful in the precipitation of pure Co films, as the precursor does not contain oxygen.

出願者は、その前駆体が類似前駆体の分解を示さないであろうため、NEtMeが、3:1〜50:1、好ましくは、5:1〜10:1の範囲のアスペクト比のホールおよびトレンチ内でのコンフォーマルステップ被覆を可能にするであろうと考える。また出願者は、NEtMe前駆体が、面心立方窒化コバルト(Co4N)の一貫した析出を提供するであろうことを考える。Co4Nは、銅ダマスク構造においてTaNまたはWNと銅シード層との間に強い接着性強化層を提供する。 Applicants have holes with an aspect ratio of NEtMe 2 in the range of 3: 1 to 50: 1, preferably 5: 1 to 10: 1, because the precursor will not exhibit degradation of similar precursors. And believe that it will allow conformal step coating in trenches. The applicant also considers that the NEtMe 2 precursor will provide a consistent precipitation of face-centered cubic cobalt nitride (Co4N). Co4N provides a strong adhesive reinforcement layer between the TaN or WN and the copper seed layer in a copper damask structure.

本発明の性質を説明するために、本明細書において記載され、かつ例示された、詳細、材料、ステップおよび部品の配置における多くの追加的変更が、添付の請求の範囲において明示される本発明の原則および範囲内で、当業者によって実行され得ることは理解されるであろう。したがって、本発明は、上記実施例における特定の実施形態および/または添付の図画に限定されるように意図されない。 To illustrate the nature of the invention, many additional changes in the arrangement of details, materials, steps and parts described and exemplified herein are specified in the appended claims. It will be appreciated that within the principles and scope of the above, it can be carried out by those skilled in the art. Therefore, the present invention is not intended to be limited to the particular embodiments and / or accompanying drawings in the above examples.

Claims (14)

基体上にCo含有層を析出する方法であって、
Co[N(SiMe(NMeEt)、Co[N(SiMe(NMeEt)またはその組合せから選択されるシリルアミド含有前駆体を含んでなるCo含有フィルム形成組成物の蒸気を、その中に基体が配置された反応器中に導入することと、
蒸着法を使用して、前記シリルアミド含有前駆体の少なくとも一部を前記基体上に析出させ、前記Co含有層を形成することと
を含んでなる方法。
A method of precipitating a Co-containing layer on a substrate, which is a method of precipitating a Co-containing layer.
Co-containing film-forming composition comprising a silylamide-containing precursor selected from Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et), Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2) or a combination thereof. Introducing the vapor of an object into a reactor in which a substrate is placed,
A method comprising depositing at least a part of the silylamide-containing precursor on the substrate to form the Co-containing layer using a thin-film deposition method.
前記シリルアミド含有前駆体がCo[N(SiMe(NMeEt)である、請求項1に記載の方法。 The method of claim 1, wherein the silylamide-containing precursor is Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et). 前記シリルアミド含有前駆体がCo[N(SiMe(NMeEt)である、請求項1に記載の方法。 The method according to claim 1, wherein the silylamide-containing precursor is Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2). 前記Co含有層がCoである、請求項1〜3のいずれか一項に記載の方法。 The method according to any one of claims 1 to 3, wherein the Co-containing layer is Co. 前記Co含有層がCoSiである、請求項1〜3のいずれか一項に記載の方法。 The method according to any one of claims 1 to 3, wherein the Co-containing layer is CoSi 2. 前記基体がSiOである、請求項4または5に記載の方法。 The method according to claim 4 or 5, wherein the substrate is SiO 2. 前記基体がSiである、請求項5に記載の方法。 The method according to claim 5, wherein the substrate is Si. 基体上にCo含有層を析出する方法であって、
Co[N(SiMe(NMeEt)、Co[N(SiMe(NMeEt)またはその組合せから選択されるシリルアミド含有前駆体を含んでなるCo含有フィルム形成組成物を含んでなるCo含有フィルム形成組成物デリバリーデバイスを
蒸着チャンバーに流体連結することと、
前記Co含有フィルム形成組成物デリバリーデバイスを、約0.2トル(約27パスカル)〜約1.5トル(約200パスカル)の前記Co含有フィルム形成組成物の蒸気圧を発生する温度まで加熱することと;
Co含有フィルム形成組成物の蒸気を、その中に基体が配置された前記蒸着チャンバー中に送達することと、
蒸着法を使用して、前記シリルアミド含有前駆体の少なくとも一部を前記基体上に析出させ、前記Co含有層を形成することと
を含んでなる方法。
A method of precipitating a Co-containing layer on a substrate, which is a method of precipitating a Co-containing layer.
Co-containing film-forming composition comprising a silylamide-containing precursor selected from Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et), Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2) or a combination thereof. A Co-containing film-forming composition delivery device comprising a substance is fluidly connected to a vapor deposition chamber, and
The Co-containing film-forming composition delivery device is heated to a temperature of about 0.2 torr (about 27 Pascals) to about 1.5 torr (about 200 Pascals) to generate a vapor pressure of the Co-containing film-forming composition. And;
The vapor of the Co-containing film-forming composition is delivered into the vapor deposition chamber in which the substrate is arranged.
A method comprising depositing at least a part of the silylamide-containing precursor on the substrate to form the Co-containing layer using a thin-film deposition method.
前記Co含有フィルム形成組成物デリバリーデバイスを、前記温度に、2週間〜12カ月の期間維持することをさらに含んでなる、請求項8に記載の方法。 The method of claim 8, further comprising maintaining the Co-containing film-forming composition delivery device at the temperature for a period of 2 weeks to 12 months. 前記シリルアミド含有前駆体がCo[N(SiMe(NMeEt)である、請求項8または9に記載の方法。 The method according to claim 8 or 9, wherein the silylamide-containing precursor is Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et). Co[N(SiMe(NMeEt)、Co[N(SiMe(NMeEt)またはその組合せから選択されるシリルアミド含有前駆体を含んでなり、かつ80℃において2週間後に残渣質量が5%未満の熱重量分析によって実証された熱安定性を有するコバルト含有フィルム形成組成物。 Containing a silylamide-containing precursor selected from Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et), Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2 ) or a combination thereof, and at 80 ° C. A cobalt-containing film-forming composition having thermal stability demonstrated by thermogravimetric analysis with a residual mass of less than 5% after 2 weeks. 前記シリルアミド含有前駆体がCo[N(SiMe(NMeEt)であり、かつ90℃において2カ月後に残渣質量が5%未満の熱重量分析によって実証された熱安定性を有する、請求項11に記載のコバルト含有フィルム形成組成物。 The silylamide-containing precursor is Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et) and has thermal stability demonstrated by thermogravimetric analysis with a residual mass of less than 5% after 2 months at 90 ° C. The cobalt-containing film-forming composition according to claim 11. 前記Co含有フィルム形成組成物が、Co[N(SiMe(NMeEt)、Co[N(SiMe(NMeEt)またはその組合せから選択されるシリルアミド含有前駆体から本質的になる、請求項11に記載のコバルト含有フィルム形成組成物。 The Co-containing film-forming composition is a silylamide-containing precursor selected from Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et), Co [N (SiMe 3 ) 2 ] 2 (NMeEt 2), or a combination thereof. The cobalt-containing film-forming composition according to claim 11 , which is essentially composed of. 前記Co含有フィルム形成組成物が、Co[N(SiMe(NMeEt)から本質的になる、請求項11に記載のコバルト含有フィルム形成組成物。 The cobalt-containing film-forming composition according to claim 11 , wherein the Co-containing film-forming composition is essentially composed of Co [N (SiMe 3 ) 2 ] 2 (NMe 2 Et).
JP2018530087A 2015-12-31 2016-12-12 Cobalt-containing film-forming compositions, their synthesis and use in film precipitation Active JP6956086B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/986,286 US9719167B2 (en) 2015-12-31 2015-12-31 Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US14/986,286 2015-12-31
PCT/IB2016/001940 WO2017115138A1 (en) 2015-12-31 2016-12-12 Cobalt-containing film forming compositions, their synthesis, and use in film deposition

Publications (3)

Publication Number Publication Date
JP2019503433A JP2019503433A (en) 2019-02-07
JP2019503433A5 JP2019503433A5 (en) 2020-01-23
JP6956086B2 true JP6956086B2 (en) 2021-10-27

Family

ID=55791519

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018530087A Active JP6956086B2 (en) 2015-12-31 2016-12-12 Cobalt-containing film-forming compositions, their synthesis and use in film precipitation

Country Status (6)

Country Link
US (1) US9719167B2 (en)
EP (1) EP3397790B1 (en)
JP (1) JP6956086B2 (en)
KR (1) KR102653603B1 (en)
CN (1) CN108431295B (en)
WO (1) WO2017115138A1 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180134738A1 (en) 2016-11-01 2018-05-17 Versum Materials Us, Llc Disubstituted alkyne dicobalt hexacarbonyl compounds, method of making and method of use thereof
US10290540B2 (en) 2016-11-01 2019-05-14 Versum Materials Us, Llc Disubstituted alkyne dicobalt hexacarbonyl compounds, method of making and method of use thereof
TW202405220A (en) * 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 Methods of forming a transition metal containing film on a substrate by a cyclical deposition process

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
KR100814980B1 (en) 2000-09-28 2008-03-18 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 Vapor deposition of oxides, silicates, and phosphates
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
KR100539278B1 (en) * 2003-09-22 2005-12-27 삼성전자주식회사 Method for forming cobalt silicide layer and manufacturing semiconductor device having the same
US20060121192A1 (en) 2004-12-02 2006-06-08 Jurcik Benjamin J Liquid precursor refill system
US20080132050A1 (en) * 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
CN103151335B (en) 2007-04-09 2016-09-28 哈佛学院院长等 Cobalt nitride layers and their forming method for copper-connection
TWI426154B (en) * 2007-05-21 2014-02-11 Air Liquide New cobalt precursors for semiconductor applications
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
WO2014052316A1 (en) * 2012-09-25 2014-04-03 Advanced Technology Materials, Inc. Cobalt precursors for low temperature ald or cvd of cobalt-based thin films
JP2016513085A (en) * 2013-01-31 2016-05-12 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Cobalt-containing compounds, their synthesis and their use in the deposition of cobalt-containing films
US9005704B2 (en) 2013-03-06 2015-04-14 Applied Materials, Inc. Methods for depositing films comprising cobalt and cobalt nitrides
US9385033B2 (en) * 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9362228B2 (en) 2013-10-22 2016-06-07 Globalfoundries Inc. Electro-migration enhancing method for self-forming barrier process in copper metalization
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US10011903B2 (en) * 2015-12-31 2018-07-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Manganese-containing film forming compositions, their synthesis, and use in film deposition

Also Published As

Publication number Publication date
US20160115588A1 (en) 2016-04-28
JP2019503433A (en) 2019-02-07
CN108431295B (en) 2021-01-08
KR20180098578A (en) 2018-09-04
WO2017115138A1 (en) 2017-07-06
US9719167B2 (en) 2017-08-01
CN108431295A (en) 2018-08-21
EP3397790A1 (en) 2018-11-07
EP3397790B1 (en) 2023-08-09
KR102653603B1 (en) 2024-04-01

Similar Documents

Publication Publication Date Title
KR101659725B1 (en) Volatile dihydropyrazinyl and dihydropyrazine metal complexes
JP7022752B2 (en) Zirconium, Hafnium, Titanium Precursors and Group 4 Containing Films Using It
KR102371411B1 (en) Vapor Deposition of Niobium-Containing Film Forming Compositions and Niobium-Containing Films
TW201641505A (en) Si-containing film forming compositions and methods of using the same
US10584039B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
JP6934521B2 (en) Sedimentation of zirconium, hafnium, titanium precursors and Group 4 containing films using them
US11168099B2 (en) Titanium-containing film forming compositions for vapor deposition of titanium-containing films
JP6956086B2 (en) Cobalt-containing film-forming compositions, their synthesis and use in film precipitation
JP6941610B2 (en) Manganese-containing film-forming composition, use in synthesis and film precipitation of manganese-containing film-forming composition
US9738971B2 (en) Vapor deposition methods to form group 8-containing films
KR102398823B1 (en) Group 4 transition metal-containing film forming composition for vapor deposition of a group 4 transition metal-containing film

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20180619

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20180620

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20191203

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191203

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20201208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210415

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210914

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20211004

R150 Certificate of patent or registration of utility model

Ref document number: 6956086

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150