JP6952446B2 - 縁部プレナムシャワーヘッドアセンブリを含む堆積装置 - Google Patents

縁部プレナムシャワーヘッドアセンブリを含む堆積装置 Download PDF

Info

Publication number
JP6952446B2
JP6952446B2 JP2016096652A JP2016096652A JP6952446B2 JP 6952446 B2 JP6952446 B2 JP 6952446B2 JP 2016096652 A JP2016096652 A JP 2016096652A JP 2016096652 A JP2016096652 A JP 2016096652A JP 6952446 B2 JP6952446 B2 JP 6952446B2
Authority
JP
Japan
Prior art keywords
gas
group
wall
back plate
injection holes
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016096652A
Other languages
English (en)
Other versions
JP2016223009A (ja
Inventor
ティモシー・スコット・トーマス
カール・リーサー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2016223009A publication Critical patent/JP2016223009A/ja
Application granted granted Critical
Publication of JP6952446B2 publication Critical patent/JP6952446B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

本発明は、基板を処理するための基板処理装置に関し、特に、薄膜を堆積するように動作可能なプラズマ化学気相成長処理装置で使用することができる。
基板処理装置は、エッチング、物理気相成長(PVD)、化学気相成長(CVD)、プラズマ化学気相成長(PECVD)、原子層堆積(ALD)、プラズマ原子層堆積(PEALD)、パルス堆積層(PDL)、プラズマパルス堆積層(PEPDL)、およびレジスト除去を含む技法によって、半導体基板、ガラス基板、またはポリマー基板などの基板を処理するために使用される。基板処理装置の1つのタイプは、プラズマ処理装置であり、これは、上側電極と下側電極を含む反応チャンバを含み、高周波(RF)出力が電極間に印加されて、プロセスガスを励起して、反応チャンバ内での基板の処理用のプラズマにする。
本明細書では、基板を処理するための堆積装置を開示する。この堆積装置は、基板を中で処理し得る処理区域を含む真空チャンバを含む。第1および第2のガス源が、真空チャンバと流体連絡する。第1のガス源は、処理中に第1のガスを真空チャンバ内に供給するように動作可能であり、第2のガス源は、処理中に第2のガスを真空チャンバ内に供給するように動作可能である。シャワーヘッドアセンブリは、表板および裏板を含む。裏板は、第1のガス源と流体連絡する第1のガス入口と、第2のガス源と流体連絡する第2のガス入口とを含む。表板は、下壁と、下壁の外周縁から垂直上方向に延びる外壁とを含む。外壁は、裏板の外周縁に封止され、それにより、表板と裏板との間に内側プレナムおよび縁部プレナムが形成される。表板は、内側プレナムを介して第1のガス入口と流体連絡する第1の通気性領域を含み、それにより、処理中に第1のガスが第1の通気性領域を通して供給されることがあり、また、表板は、縁部プレナムを介して第2のガス入口と流体連絡する第2の通気性領域を含み、それにより、処理中に第2のガスを第2の通気性領域を通して供給することができる。内側プレナムと縁部プレナムは、互いに流体連絡しない。基板ペデスタルアセンブリが、堆積装置内で基板が処理されるときに基板を上面に支持するように構成される。
本明細書ではさらに、基板を処理するための堆積装置のシャワーヘッドアセンブリを開示する。シャワーヘッドアセンブリは、表板および裏板を含む。裏板は、第1のガス入口および第2のガス入口を有する。表板は、下壁と、下壁の外周縁から垂直上方向に延びる外壁とを含む。外壁は、裏板の外周縁に封止され、それにより、表板と裏板との間に内側プレナムおよび縁部プレナムが形成される。表板は、内側プレナムを介して第1のガス入口と流体連絡する第1の通気性領域を含み、それにより、シャワーヘッドアセンブリが堆積装置内に取り付けられたときに、処理中に第1のガス入口に供給される第1のガスが第1の通気性領域を通して供給されることがあり、表板がさらに、縁部プレナムを介して第2のガス入口と流体連絡する第2の通気性領域を含み、それにより、シャワーヘッドアセンブリが堆積装置内に取り付けられたときに、処理中に第2のガス入口に供給される第2のガスを第2の通気性領域を通して供給することができる。内側プレナムと縁部プレナムは、互いに流体連絡しない。
本明細書ではさらに、堆積装置内の基板の上面に材料を堆積する方法を開示する。この方法は、堆積装置の真空チャンバ内に配設された基板ペデスタルアセンブリの上面に基板を支持するステップを含む。第1のガスが、第1のガス源から、シャワーヘッドアセンブリを通して、基板の上面の上方の真空チャンバの内側処理区域に供給される。第1のガスは、シャワーヘッドアセンブリの内側プレナムを通して内側処理区域に供給される。内側プレナムは、第1の群のガス注入穴と流体連絡し、これらのガス注入穴は、シャワーヘッドアセンブリの表板の下壁の上面および下面を通って延び、それにより、第1のガスが基板の上面の上方の内側処理区域に供給される。
同時に、第2のガスが、第2のガス源から、シャワーヘッドアセンブリを通して、基板の上面の上方の真空チャンバの外側処理区域に供給される。第2のガスは、シャワーヘッドアセンブリの縁部プレナムを通して外側処理区域に供給される。縁部プレナムは、第2の群のガス注入穴と流体連絡し、これらのガス注入穴は、下壁の外周縁から垂直上方向に延びる外壁の上面と、シャワーヘッドアセンブリの表板の下壁の下面とを通って延び、それにより、第2のガスが基板の上面の上方の外側処理区域に供給される。第1のガス、または第1と第2のガスが励起されてプラズマになり、ここで、第1のガスから発生されるプラズマは、第2のガスの供給によって局所的に修正され、材料は、基板の上面に一様に堆積される。
本明細書ではさらに、堆積装置内の基板の上面に材料を堆積する方法を開示する。この方法は、堆積装置の真空チャンバ内に配設された基板ペデスタルアセンブリの上面に基板を支持するステップを含む。第1のガスが、真空チャンバの内側区域に供給される。第1のガスは、励起されてプラズマになる。第2のガスが、真空チャンバの縁部区域に供給されて、処理される基板の縁部領域の近傍でプラズマを調整して、基板の縁部領域での材料の堆積速度を変える。
本明細書で開示する実施形態による堆積装置の概要を示す概略図である。
本明細書で開示する堆積装置のシャワーヘッドアセンブリの一実施形態を示す図である。
本明細書で開示する堆積装置のシャワーヘッドアセンブリの一実施形態を示す図である。
本明細書で開示する堆積装置のシャワーヘッドアセンブリの一実施形態を示す図である。
図3Aの細部Aを示す図である。
本明細書で開示する堆積装置のシャワーヘッドアセンブリの一実施形態を示す図である。
本明細書で開示する実施形態による表板の下壁の露出面の一部分を示す図である。 本明細書で開示する実施形態による表板の下壁の露出面の一部分を示す図である。
以下の詳細な開示では、本明細書で開示する装置および方法を理解できるように例示的実施形態を述べる。しかし、当業者には明らかなように、例示的実施形態は、これらの特定の詳細を伴わずに、または代替の要素もしくはプロセスを使用することによって実施されてよい。なお、開示する本発明の実施形態を不要に曖昧にしないように、よく知られているプロセス、手順、および/または構成要素は詳細には述べていない。図中の同様の参照符号は、同様の要素を表す。本明細書で使用するとき、用語「約」は、±10%を表す。
本明細書では、処理される基板の外縁部でのガス組成を変えるように動作可能な縁部プレナムシャワーヘッドアセンブリを開示する。本明細書で使用するとき、ガスは、1つ以上のガスもしくは蒸気、および/またはガス/蒸気混合物を含む。縁部プレナムシャワーヘッドアセンブリは、内側プレナムおよび縁部プレナムを含み、これらのプレナムはそれぞれ、プロセスガス、チューニングガス、パージガス、および/またはそれらの組合せを供給するように動作可能な第1および第2のガス源と流体連絡している。好ましくは、第1のガス源は、プラズマ堆積プロセスが表面の上面で行われ得るように、堆積装置の真空チャンバ内でプラズマになるように励起されてよいガス(例えば、気体状または蒸気混合物)を提供するように動作可能である。好ましくは、第2のガス源は、不活性チューニングガスまたはガス混合物など第2のガスを縁部プレナムに供給するように動作可能であり、第2のガスは、真空チャンバのプラズマ処理区域の縁部でのプラズマおよび/またはプラズマ化学反応を改良または抑制してよい。第2のガス源によって供給され得るガスは、限定はしないが、ヘリウム、アルゴン、窒素、酸素、キセノン、クリプトン、ネオン、およびそれらの混合物を含む。
内側プレナムは、処理される基板の中央部の上方の内側処理区域に第1のガスを供給するように動作可能であり、縁部プレナムは、処理される基板の縁部の上方の外側(縁部)処理区域にガスを供給するように動作可能である。このようにすると、堆積プロセス中、内側処理区域と外側処理区域に供給されるガスの比を制御することによって、基板の縁部の近傍での被膜特性が制御され得る。さらに、シャワーヘッドアセンブリを通して供給されるガス流の特性、ガスが励起されてプラズマを生成する場合のプラズマ特性、および位置に応じた反応性ガス濃度を制御するために、内側処理区域と外側処理区域にそれぞれ別々のガスの組成および流量が供給されてよい。好ましくは、第2のガスは、真空チャンバの縁部区域に供給されて、処理される基板の縁部領域の近傍でプラズマを調整する。本明細書で使用するとき、プラズマの調整は、プラズマおよび/またはイオン、中性原子、ラジカル、もしくはそれらの構成成分のイオン流束、エネルギー、または種を変えることを含む。
本明細書で開示する実施形態は、好ましくは、プラズマ化学気相成長装置などの堆積装置(すなわち、PECVD装置、PEALD装置、またはPEPDL装置)で実施されるが、それらに限定されない。図1は、本明細書で開示する実施形態を実施するように構成された基板プラズマ処理装置の様々な構成要素を示す単純なブロック図である。図示されるように、基板プラズマ処理装置300は、キャパシタタイプのシステムによって発生されるプラズマを処理区域内に閉じ込める働きをする真空チャンバ324を含み、真空チャンバ324は、上側RF電極(図示せず)を中に有するシャワーヘッドアセンブリ314を含み、シャワーヘッドアセンブリ314は、下側RF電極(図示せず)を中に有する基板ペデスタルアセンブリ320と協働する。少なくとも1つのRF発生器は、真空チャンバ324内の基板316の上面の上方の処理区域内にRFエネルギーを供給するように動作可能であり、真空チャンバ324の処理区域内に供給されたガスを励起してプラズマにし、それにより、真空チャンバ324内でプラズマ堆積プロセスが実施され得る。例えば、高周波RF発生器302および低周波RF発生器304がそれぞれマッチングネットワーク306に接続されてよく、マッチングネットワーク306は、シャワーヘッドアセンブリ314の上側RF電極に接続され、それにより、真空チャンバ324内の基板の上方の処理区域にRFエネルギーが供給され得る。
マッチングネットワーク306によって真空チャンバ324の内部に供給されるRFエネルギーの出力および周波数は、ガスからプラズマを発生させるのに十分なものである。一実施形態では、高周波RF発生器302と低周波RF発生器304の両方が使用され、代替実施形態では、高周波RF発生器302のみが使用される。プロセス中、高周波RF発生器302は、約2〜100MHzの周波数、好ましい実施形態では13.56MHzまたは27MHzで動作されてよい。低周波RF発生器304は、約50kHz〜2MHz、好ましい実施形態では約350〜600kHzで動作されてよい。プロセスパラメータは、チャンバ体積、基板サイズ、および他の因子に基づいてスケーリングされてよい。同様に、ガスの流量は、真空チャンバまたは処理区域の自由体積に依存することがある。
基板ペデスタルアセンブリ320の上面は、真空チャンバ324内での処理中に基板316を支持する。基板ペデスタルアセンブリ320は、堆積および/またはプラズマ処理プロセスの前、途中、および/または後に、基板を保持するためのチャックおよび/または、基板を昇降させるためのリフトピンを含むことができる。代替実施形態では、基板ペデスタルアセンブリ320は、堆積および/またはプラズマ処理プロセスの前、途中、および/または後に基板を昇降させるためのキャリアリングを含むことができる。チャックは、静電チャック、機械的チャック、または産業および/または研究における使用のために入手可能な様々な他のタイプのチャックでよい。静電チャックを含む基板ペデスタルアセンブリ用のリフトピンアセンブリの詳細は、全体を参照により本明細書に援用する、本願と同じ譲受人に譲渡された米国特許第8,840,754号で見ることができる。基板ペデスタルアセンブリ用のキャリアリングの詳細は、全体を参照により本明細書に援用する、本願と同じ譲受人に譲渡された米国特許第6,860,965号で見ることができる。背面ガス供給源341が、伝熱ガスまたはパージガスを、基板ペデスタルアセンブリ320を通して、処理中の基板の下面の下方の領域に供給するように動作可能である。基板ペデスタルアセンブリ320は、下側RF電極を中に含むことができ、下側RF電極は、好ましくは処理中に接地されるが、代替実施形態では、下側RF電極は、処理中にRFエネルギーを供給されてよい。
基板プラズマ処理装置300の真空チャンバ324内で基板を処理するために、ガスが、ガス源362から入口312およびシャワーヘッドアセンブリ314を通して真空チャンバ324内に導入され、ここで、ガスはRFエネルギーによってプラズマにされ、それにより被膜が基板の上面に堆積され得る。一実施形態では、ガス源362は、加熱式マニホールド308に接続された複数のガスライン310を含むことができる。ガスは、予混合されてよく、またはチャンバに個別に供給されてもよい。基板処理中に適正なガスがシャワーヘッドアセンブリ314を通して送給されることを保証するために、適切な弁設定および質量流量制御メカニズムが採用される。処理中、基板ペデスタルアセンブリ320上に支持された基板の下面の下方の領域に、背面伝熱ガスまたはパージガスが供給される。好ましくは、処理は、化学気相成長処理、プラズマ化学気相成長処理、原子層堆積処理、プラズマ原子層堆積処理、パルス堆積層処理、またはプラズマパルス堆積層処理の少なくとも1つである。
特定の実施形態では、システム制御装置162が、堆積中、堆積後処理中、および/または他のプロセス操作中にプロセス条件を制御するために採用される。制御装置162は、典型的には、1つまたは複数のメモリデバイスと、1つまたは複数のプロセッサとを含む。プロセッサは、CPUまたはコンピュータ、アナログおよび/またはデジタル入出力接続、ステッパモータ制御装置回路板などを含んでいてよい。
特定の実施形態では、制御装置162は、装置の活動すべてを制御する。システム制御装置162はシステム制御ソフトウェアを実行し、システム制御ソフトウェアは、処理操作のタイミング、低周波RF発生器304および高周波RF発生器302の動作の周波数および出力、前駆体および不活性ガスの流量および温度ならびにそれらの相対混合比、基板ペデスタルアセンブリ320の上面に支持された基板316とシャワーヘッドアセンブリ314のプラズマ露出面との温度、真空チャンバ324の圧力、ならびに特定のプロセスの他のパラメータを制御するための命令のセットを含む。いくつかの実施形態では、制御装置に関連付けられたメモリデバイスに記憶された他のコンピュータプログラムが採用されてよい。
典型的には、制御装置162に関連付けられたユーザインターフェースがある。ユーザインターフェースは、表示画面、装置および/またはプロセス条件のグラフィカルソフトウェアディスプレイ、ならびにユーザ入力デバイス、例えばポインティングデバイス、キーボード、タッチ画面、マイクロフォンなどを含んでいてよい。
非一時的なコンピュータ機械可読媒体が、装置を制御するためのプログラム命令を備えることができる。処理操作を制御するためのコンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語、例えばアセンブリ言語、C、C++、Pascal、Fortranなどで書くことができる。プログラムで識別されるタスクを行うために、コンパイルされたオブジェクトコードまたはスクリプトがプロセッサによって実行される。
制御装置パラメータは、例えば処理ステップのタイミング、前駆体および不活性ガスの流量および温度、基板の温度、チャンバの圧力、ならびに特定のプロセスの他のパラメータなどのプロセス条件に関係する。これらのパラメータは、レシピの形でユーザに提供され、ユーザインターフェースを利用して入力されてよい。
プロセスを監視するための信号が、システム制御装置のアナログおよび/またはデジタル入力接続によって提供されてよい。プロセスを制御するための信号が、装置のアナログおよびデジタル出力接続で出力される。
システムソフトウェアは、多くの異なる様式で設計または構成されてよい。例えば、堆積プロセスを実施するのに必要なチャンバ構成要素の動作を制御するために、様々なチャンバ構成要素サブルーチンまたは制御オブジェクトが書かれてよい。このためのプログラムまたはプログラムの一部の例は、処理ステップの基板タイミングのコード、前駆体および不活性ガスの流量および温度のコード、ならびに真空チャンバ324の圧力に関するコードである。
図2Aおよび図2Bは、本明細書で開示する縁部プレナムシャワーヘッドアセンブリ(シャワーヘッドアセンブリ)314の実施形態の断面図を示す。図2Aと図2Bの両方を参照すると、シャワーヘッドアセンブリ314は、表板240と裏板230を含む。裏板230は、第1のガス入口278と第2のガス入口279を有し、入口278および279を通して、それぞれ第1のガスおよび第2のガスがシャワーヘッドアセンブリ314に供給されてよい。表板240は、下壁241と、下壁241の外周縁から垂直上方向に延びる外壁242とを有する。外壁242は、裏板230の外周縁232に封止することができ、それにより、表板240と裏板230との間に内側プレナム250および縁部プレナム255が形成される。裏板230の外周縁232は、好ましくは、表板240の外壁242に冶金的に結合(すなわち、溶接、ろう付け、拡散接合など)される。外壁242は、下壁241に冶金的に結合(すなわち、溶接、ろう付け、拡散接合など)されてよく、または代替として、表板240を一部片として作製することができる。
表板240は、内側プレナム250を介して第1のガス入口278と流体連絡する第1の通気性領域214を含み、それにより、基板の処理中に第1のガスを第1の通気性領域214を通して供給することができり、また、表板240は、縁部プレナム255を介して第2のガス入口279と流体連絡する第2の通気性領域215を含み、それにより、基板の処理中に第2のガスを第2の通気性領域215を通して供給することができる。一実施形態では、図2Aに示されるように、第1の通気性領域214および第2の通気性領域215は、表板240の下壁241に形成され、ここで、下壁241は、多孔性金属材料、多孔性半導体材料、または多孔性セラミック材料から形成され、それにより、下壁241と流体連絡する内側プレナムおよび縁部プレナムにそれぞれ供給される第1のガスおよび第2のガスが、下壁241を通して供給され得る。一実施形態では、下壁241は、外壁242に冶金的に結合された多孔性アルミニウムから形成することができる。
代替実施形態では、図2Bに示されるように、第1の通気性領域214は、下壁241の上面245および下面254を通って延びる第1の群のガス注入穴243を含むことができ、第2の通気性領域215は、外壁242の上面および下壁241の下面254を通って延びる第2の群のガス注入穴244を含むことができる。裏板230の第1のガス入口278は、内側プレナム250を介して第1の群のガス注入穴243と流体連絡し、第2のガス入口279は、縁部プレナム255を介して第2の群のガス注入穴244と流体連絡する。内側プレナム250と縁部プレナム255は、互いに流体連絡せず、第1の群のガス注入穴243と第2の群のガス注入穴244は、空間的に織り交ぜられない。
一実施形態では、図2Aに示されるように、第2のガス入口279は、裏板230の外周縁232に位置されてよく、それにより、第2のガスが縁部プレナム255に供給され得る。一実施形態では、第2のガスは、2つ以上の第2のガス入口279によって縁部プレナム255に供給されてよい。代替実施形態では、図2Bに示されるように、第2のガス入口279は、裏板230の外周縁232の横方向内側に位置されてよく、裏板230は、第2のガス入口279および縁部プレナム255と流体連絡する少なくとも1つの横方向に延びるガス通路231を含む。好ましくは、この実施形態では、第2のガス入口279は、拡散器270によって、少なくとも4つの横方向に延びるガス通路231と流体連絡し、拡散器270は、ガスが第2のガス入口279を通して裏板230の横方向に延びるガス通路231に供給されるときに、横方向に延びるガス通路231それぞれを通って流れるガスの間の圧力差を減少させるように動作可能である。
一実施形態では、図2Aに示されるように、表板240の外壁242は、その上面に環状チャネル285を含むことができ、縁部プレナム255は、環状チャネル285の表面と、裏板230の外側下面233との間に形成される。代替実施形態では、図2Bに示されるように、表板240の外壁242は、その上面に環状チャネル285を含むことができ、裏板230は、その外側下面233に、対向する環状チャネル295を含むことができ、縁部プレナム255は、対向する環状チャネル285、295の表面間に環状空間を備える。
図3A、図3B、および図4は、本明細書で開示する縁部プレナムシャワーヘッドアセンブリ(シャワーヘッドアセンブリ)314の実施形態の断面図であり、ここで、縁部プレナムシャワーヘッドアセンブリ314は、ステム220を含む。シャワーヘッドアセンブリ314のステム220は、そこを通って垂直に延びる第1のガス通路221を有し、第1のガス通路221は、裏板230の第1のガス入口278と流体連絡する。一実施形態では、ステム220は、そこを通って垂直に延びる第2のガス通路222も含み、第2のガス通路222は、裏板230の第2のガス入口279と流体連絡する。シャワーヘッドアセンブリ314が堆積装置の真空チャンバ内に取り付けられるとき、第1のガス通路221は、第1のガス源362aと流体連絡し、それにより、第1のガスがシャワーヘッドアセンブリ314を通して真空チャンバに供給され得て、第2のガス通路222は、第2のガス源362bと流体連絡し、それにより、第2のガスがシャワーヘッドアセンブリ314を通して真空チャンバに供給され得る。
裏板230は、ステム220の下端部から横方向外側に延びる。一実施形態では、裏板230とステム220をモノリシック部品として形成することができ、または代替として、裏板230がステム220の下端部に冶金的に結合(すなわち、溶接、ろう付け、または拡散接合)され、ここで、裏板230は、ステム220の第1のガス通路221と流体連絡する第1のガス入口278を含む。裏板230は、第2のガス入口279を介してステム210の第2のガス通路222と流体連絡する少なくとも1つの横方向に延びるガス通路231を含む。好ましくは、裏板230は、少なくとも4つの横方向に延びるガス通路231、少なくとも6つの横方向に延びるガス通路231、少なくとも8つの横方向に延びるガス通路、または少なくとも10個の横方向に延びるガス通路231を含む。好ましくは、横方向に延びるガス通路231は、裏板230の周りに等間隔に配置される。
シャワーヘッドアセンブリ314は、下壁241と、下壁241の外周縁から垂直上方向に延びる外壁242とを含む表板240を含み、ここで、外壁は内面247を含む。外壁242の軸方向厚さ(すなわち高さ)は、外壁242の内側の下壁241の軸方向厚さよりも大きい。好ましくは、外壁242の軸方向厚さは、少なくとも、外壁242の内側の下壁241の軸方向厚さの2倍よりも大きい。下壁241は、好ましくは、外壁242の内側で均一な軸方向厚さを有する。外壁242は、裏板230の外周縁232に封止され、それにより、表板240と裏板230との間に内側プレナム250および縁部プレナム255が形成される。好ましくは、外壁242は、裏板230の外周縁232に冶金的に結合される。表板240は、下壁241の下面254および上面245を通って延びる第1の群のガス注入穴243と、外壁242の上面および下壁241の下面254を通って延びる第2の群のガス注入穴244とを含む。ステム220の第1のガス通路221は、内側プレナム250を介して第1の群のガス注入穴243と流体連絡し、少なくとも1つの横方向に延びるガス通路231は、縁部プレナム255を介して第2の群のガス注入穴244と流体連絡する。内側プレナム250は、縁部プレナム255と流体連絡しない。
内側プレナム250は、裏板230の下面233と、表板240の下壁241の上面245と、表板240の外壁242の内面247の下側内面246との間に位置する。図3Bを参照すると、外壁242は、その上面に環状チャネル285を含むことができ、縁部プレナム255は、環状チャネル285の表面と、裏板230の外側下面233との間に形成される。代替実施形態では、裏板230は、その外側下面233に、対向する環状チャネル286を含むことができ、縁部プレナム255は、対向する環状チャネル285、286の表面間に環状空間を備える。
さらなる実施形態では、図4に示されるように、外壁242の内面247は、上側垂直面298と、下側垂直面246aと、それらの間に延びる水平面297とを含むことができる。縁部プレナム255は、裏板295の外面と、カバープレート296の下面と、水平面297と、上側垂直面298との間に形成される。一実施形態では、外壁242の下側内面246は、フランジ247aを形成するように下側垂直面246aの横方向外側でよく、フランジ247aは、裏板230の下部234と対合し、下部234と冶金的に結合(例えば溶接)されてよい。カバープレート260は、外壁242の上端部248と、裏板230の外周縁232の上部235とに封止することができる。好ましくは、カバープレート260は、外壁242の上端部248と、裏板230の外周縁232の上部235とに冶金的に結合(例えば溶接)される。
図3A、図3B、および図4を再び参照すると、第2の群のガス注入穴244の各ガス注入穴の上部249aは、それぞれの下部249bよりも大きい直径を有する。一実施形態では、第2の群のガス注入穴244の各ガス注入穴のそれぞれの下部249bの長さは、第1の群のガス注入穴243の各ガス注入穴の長さと少なくともほぼ同じでよい。一実施形態では、第2の群のガス注入穴244の各ガス注入穴のそれぞれの下部249bの直径は、第1の群のガス注入穴243のそれぞれのガス注入穴の直径と少なくともほぼ同じでよい。
表板240は、好ましくは、内側プレナム250内に、下壁241から垂直上方向に延在する複数のポスト291を含み、ここで、ポスト291の上端部は、裏板230の対応する開口292(図4参照)に溶接され、開口292内にポスト291の上端部が位置される。バッフル280が、好ましくは、シャワーヘッドアセンブリ314の内側プレナム250内に配設される。バッフル280は、シャワーヘッドアセンブリ314に供給されるガスを内側プレナム250全体にわたって一様に分散するように動作可能である。表板240、裏板230、およびステム220は、好ましくは、アルミニウムから形成される。
ここで図4を参照すると、裏板230は、その下面233にある凹部に冶金的に結合(例えば溶接)された拡散器(ディフューザ)270を含むことができる。拡散器270は、ステム220の第2のガス通路222、および裏板230の横方向に延びるガス通路231と流体連絡する。拡散器270は、ステム220の第2のガス通路222から、横方向に延びるガス通路231にガスを一様に供給するように動作可能であり、ガスがステム220の第2のガス通路222から裏板230の横方向に延びるガス通路231に供給されるときに、横方向に延びるガス通路231それぞれを通って流れるガス間の圧力差を減少させる。
拡散器270は、ステム220の第2のガス通路222と流体連絡する一群の内側ガス開口272と、裏板230の横方向に延びるガス通路231とそれぞれ流体連絡する一群の外側ガス開口273とを有する上面を含む。一群の内側ガス開口272は、拡散器の上面から垂直上方向に延びる壁274によって、一群の外側ガス開口273から離隔される。一群の内側ガス開口272は、一群の外側ガス開口273の上面よりも下にある拡散器270のチャネル275を介して、一群の外側ガス開口273と流体連絡する。一群の内側ガス開口272および一群の外側ガス開口273とは、ガスがステム220の第2のガス通路222から裏板230の横方向に延びるガス通路231に供給されるときに、横方向に延びるガス通路231それぞれを通って流れるガス間の圧力差を減少させるように構成される。
一実施形態では、拡散器270はC字形リングでよい。C字形リングの向かい合う端部間の空間が、温度プローブを中に含む裏板230の部分を取り囲むように配置される。好ましくは、温度プローブを中に含む裏板230の部分は、ソケット290を形成し、このソケット290は、中に溶接されたポスト291の上部を含む。温度プローブは、ポスト291の上部と熱的に連絡し、それにより、下壁241の温度が測定され得る。一実施形態では、内側プレナム250内に配設されたバッフル280は、裏板230のソケット290を取り囲むくり抜き部294を含むことができる。
一実施形態では、表板240の下壁241にある第1の群のガス注入穴243は、同心円列で配置されてよい(図1参照)。好ましい実施形態では、図5Aに示されるように、表板240の下壁241にある第1の群のガス注入穴243は、六角形パターンで配置されてよい。第2の群のガス注入穴244は、1つまたは複数の同心円列で配置されてよい。代替実施形態では、図5Bに示されるように、第2の群のガス注入穴244を六角形パターンで配置することができる。第1の群のガス注入穴243および第2の群のガス注入穴244のガス注入穴パターン、ガス注入穴面密度、およびガス注入穴寸法は、実施すべき所与のプロセスに関して予め決定することができる。一実施形態では、第1の群のガス注入穴243は、約3000個〜20000個以上のガス注入穴を含み、第2の群のガス注入穴244は、約100個〜約2000個以上のガス注入穴を含む。
本明細書では、堆積装置内の基板の上面に材料を堆積する方法をさらに開示する。この方法は、堆積装置の真空チャンバ内に配設された基板ペデスタルアセンブリの上面に基板を支持するステップを含む。第1のガスが、第1のガス源から、シャワーヘッドアセンブリを通して、基板の上面の上方の真空チャンバの内側処理区域に供給される。第1のガスは、シャワーヘッドアセンブリの内側プレナムを通して処理区域に供給される。内側プレナムは、第1の群のガス注入穴と流体連絡し、これらのガス注入穴は、シャワーヘッドアセンブリの表板の下壁の上面および下面を通って延び、それにより、第1のガスを基板の上面の上方の内側処理区域に供給することができる。
同時に、第2のガスが、第2のガス源から、シャワーヘッドアセンブリを通して、基板の上面の上方の真空チャンバの処理区域に供給される。第2のガスは、シャワーヘッドアセンブリの縁部プレナムを通して外側処理区域に供給される。縁部プレナムは、第2の群のガス注入穴と流体連絡し、これらのガス注入穴は、下壁の外周縁から垂直上方向に延びる外壁の上面と、シャワーヘッドアセンブリの表板の下壁の下面とを通って延び、それにより、第2のガスを基板の上面の上方の外側処理区域の外側領域に供給することができる。第1のガス、または第1と第2のガスが励起されてプラズマになり、ここで、第1のガスから発生されるプラズマは、第2のガスの供給によって局所的に修正され、材料は、基板の上面に一様に堆積される。第2のガスの供給によって、第1のガスから発生されるプラズマを外側処理区域内で局所的に修正することは、好ましくは、1種もしくは複数種の不活性ガスを外側処理区域に供給することまたは不活性チューニングガスを外側処理区域に供給することによって、外側処理区域内で発生されたプラズマを改良または抑制することを含む。
本明細書ではさらに、堆積装置内の基板の上面に材料を堆積する方法を開示する。この方法は、堆積装置の真空チャンバ内に配設された基板ペデスタルアセンブリの上面に基板を支持するステップを含む。第1のガスが、真空チャンバの内側区域に供給される。第1のガスは、励起されてプラズマになる。第2のガスが、真空チャンバの縁部区域に供給されて、処理される基板の縁部領域の近傍でプラズマを調整して、基板の縁部領域での材料の堆積速度を変える。好ましくは、プラズマの調整の効果の大半は、基板の横方向範囲の外側25%の範囲内で生じる。より好ましくは、プラズマは、基板の横方向範囲の外側20%の上方で調整される。プラズマの調整は、プラズマおよび/またはイオン、中性原子、ラジカル、またはそれらの成分のイオンフラックス、エネルギー、または種を変えることを含む。
本明細書で開示する実施形態を、好ましい実施形態を参照して述べてきた。しかし、本発明の精神から逸脱することなく、上述した以外の特定の形態で本発明を具現化することが可能であることが、当業者には容易に明らかであろう。好ましい実施形態は例示であり、何ら限定とみなすべきでない。

Claims (19)

  1. 基板を処理するための堆積装置であって、
    基板を中で処理し得る処理区域を含む真空チャンバと、
    前記真空チャンバと流体連絡する第1のガス源および第2のガス源とを備え、前記第1のガス源が、処理中に第1のガスを前記真空チャンバ内に供給するように動作可能であり、前記第2のガス源が、処理中に第2のガスを前記真空チャンバ内に供給するように動作可能であり、
    堆積装置がさらに、
    シャワーヘッドアセンブリを備え、前記シャワーヘッドアセンブリが、表板および裏板を含み、前記裏板が、前記第1のガス源と流体連絡する第1のガス入口と、前記第2のガス源と流体連絡する第2のガス入口とを含み、前記表板が、下壁と、前記下壁の外周縁から垂直上方向に延びる外壁とを含み、前記外壁が、前記裏板の外周縁に封止され、それにより、前記表板と前記裏板との間に内側プレナムおよび縁部プレナムが形成され、前記表板が、前記内側プレナムを介して前記第1のガス入口と流体連絡する第1の通気性領域を含み、それにより、処理中に前記第1の通気性領域を通して前記第1のガスを供給することができ、前記表板がさらに、前記縁部プレナムを介して前記第2のガス入口と流体連絡する第2の通気性領域を含み、それにより、処理中に前記第2の通気性領域を通して第2のガスを供給することができ、前記内側プレナムと前記縁部プレナムとが互いに流体連絡せず、前記第1の通気性領域が、前記下壁の上面および下面を通って延びる第1の群のガス注入穴を備え、前記第2の通気性領域が、前記外壁の上面および前記下壁の前記下面を通って延びる第2の群のガス注入穴を備え、
    前記第1の群のガス注入穴が、六角形パターンで配置され、
    前記第2の群のガス注入穴が、六角形パターンで配置され、
    前記第1の群のガス注入穴が、約3000個〜20000個以上のガス注入穴を含み、または
    前記第2の群のガス注入穴が、約100個〜約2000個のガス注入穴を含み、
    堆積装置がさらに、
    前記堆積装置内で基板が処理されるときに、基板を上面に支持するように構成された基板ペデスタルアセンブリを備える
    堆積装置。
  2. 請求項1に記載の堆積装置であって、
    (a)前記第1の通気性領域が、前記下壁の上面および下面を通って延びる第1の群のガス注入穴を備え、前記第2の通気性領域が、前記外壁の上面および前記下壁の前記下面を通って延びる第2の群のガス注入穴を備える、または
    (b)前記第1および第2の通気性領域が、前記下壁に形成され、前記下壁が、多孔性金属材料、多孔性半導体材料、もしくは多孔性セラミック材料から形成され、それにより、前記内側プレナムおよび前記縁部プレナムにそれぞれ供給される第1のガスおよび第2のガスが、前記下壁を通して供給され得る
    堆積装置。
  3. 請求項1に記載の堆積装置であって、
    (a)前記シャワーヘッドアセンブリがさらにステムを備え、前記裏板が、前記ステムの下端部から横方向外側に延在し、前記ステムが、前記ステムを通って垂直に延び、前記第1のガス入口と流体連絡する第1のガス通路を有し、もしくは前記ステムが、前記ステムを通って垂直に延び、前記第1のガス入口と流体連絡する第1のガス通路と、前記ステムを通って垂直に延び、前記第2のガス入口と流体連絡する第2のガス通路とを有し、前記裏板が、前記第2のガス入口を介して前記第2のガス通路と流体連絡する少なくとも1つの横方向に延びるガス通路を含む、または
    (b)前記裏板が、前記第2のガス入口と流体連絡する少なくとも1つの横方向に延びるガス通路を含み、前記第2のガス入口が、前記少なくとも1つの横方向に延びるガス通路を介して前記縁部プレナムと流体連絡する
    堆積装置。
  4. 請求項1に記載の堆積装置であって、
    前記内側プレナムが、前記裏板の下面と、前記表板の上面と、前記表板の前記外壁の下側内面との間にあり、
    (a)前記外壁が、下側垂直面と、上側垂直面と、前記下側垂直面と前記上側垂直面との間に延びる水平面とを含み、前記縁部プレナムが、前記裏板の面と、前記外壁の上端部と結合されているカバープレートの下面と、前記水平面と、前記上側垂直面との間に形成される、
    (b)前記外壁が、その上面に環状溝部を含み、前記縁部プレナムが、前記環状溝部の表面と、前記裏板の外側縁部下面との間に形成される、または
    (c)前記外壁が、その前記上面に環状溝部を含み、前記裏板が、その外側下面に、対向する環状溝部を含み、前記縁部プレナムが、前記対向する環状溝部の表面の間に環状空間を備える
    堆積装置。
  5. 請求項1に記載の堆積装置であって、
    (a)前記処理区域内で前記第1のガスおよび/または前記第2のガスを励起してプラズマ状態にするように適合されたRFエネルギー源、
    (b)前記堆積装置によって実施されるプロセスを制御するように動作可能なシステム制御装置、
    (c)前記堆積装置を制御するためのプログラム命令を備える非一時的なコンピュータ機械可読媒体、および/または
    (d)前記処理区域から前記第1および第2のガスを排気するための、前記処理区域と流体連絡する真空源
    を含む、堆積装置。
  6. 請求項1に記載の堆積装置アセンブリであって、
    (a)前記第2の群のガス注入穴の各ガス注入穴の上部が、垂直に延び、前記ガス注入穴のそれぞれの下部よりも大きい直径を有し、前記それぞれの下部の長さが、前記第1の群のガス注入穴の各ガス注入穴の長さと少なくともほぼ同じであり、前記それぞれの下部の直径が、前記第1の群のガス注入穴のそれぞれのガス注入穴の直径と少なくともほぼ同じである、
    (b)前記第2の群のガス注入穴それぞれの上部が、前記ガス注入穴の下部よりも大きい直径を有する、
    (d)前記第1の群のガス注入穴が、同心円列で配置される、または、
    (e)前記第2の群のガス注入穴が、1つまたは複数の同心円列で配置される、
    堆積装置アセンブリ。
  7. 請求項1に記載の堆積装置であって、
    前記裏板が、少なくとも4つの横方向に延びるガス通路と、前記裏板の前記第2のガス入口および前記少なくとも4つの横方向に延びるガス通路と流体連絡する拡散器とを含み、前記拡散器が、ガスが前記第2のガス入口を通して前記裏板の前記横方向に延びるガス通路に供給されるときに、前記横方向に延びるガス通路それぞれを通って流れるガス間の圧力差を減少させるように構成されている、堆積装置。
  8. 請求項に記載の堆積装置であって、
    前記裏板が、少なくとも4つの横方向に延びるガス通路と、前記裏板の前記第2のガス入口および前記少なくとも4つの横方向に延びるガス通路と流体連絡する拡散器とを含み、前記拡散器が、ガスが前記第2のガス入口を通して前記裏板の前記横方向に延びるガス通路に供給されるときに、前記横方向に延びるガス通路それぞれを通って流れるガス間の圧力差を減少させるように構成され、
    前記拡散器が、前記ステムの前記第2のガス通路と流体連絡する一群の内側ガス開口と、前記裏板の前記少なくとも4つの横方向に延びるガス通路と流体連絡する一群の外側ガス開口とを有する上面を含み、前記一群の内側ガス開口が、壁によって前記一群の外側ガス開口から隔離され、前記一群の内側ガス開口が、前記一群の外側ガス開口の上面よりも下にある前記拡散器のチャネルを介して前記一群の外側ガス開口と流体連絡し、前記一群の内側ガス開口および前記一群の外側ガス開口が、ガスが前記ステムの前記第2のガス通路から前記裏板の前記横方向に延びるガス通路に供給されるときに、前記横方向に延びるガス通路それぞれを通って流れるガス間の圧力差を減少させるように構成され、前記拡散器がC字形リングであり、前記C字形リングの向かい合う端部が、温度プローブを中に有する裏板の部分を取り囲むように配置される、堆積装置。
  9. 請求項1に記載の堆積装置であって、
    (a)前記表板が、その前記下壁から垂直上方向に延在する複数のポストを前記内側プレナム内に含み、前記ポストの上端部が、前記裏板を貫通する対応する開口に溶接され、前記開口内に前記ポストの前記上端部が位置される、
    (b)前記表板が、中に埋め込まれたRF電極を含む、
    (c)バッフルが、前記シャワーヘッドアセンブリの前記内側プレナム内に配設され、前記バッフルが、前記シャワーヘッドアセンブリに供給されるガスを前記内側プレナム全体にわたって一様に分散するように動作可能である、
    (d)前記外壁の軸方向厚さが、少なくとも、前記外壁の内側の前記下壁の軸方向厚さの2倍よりも大きい、および/または
    (e)前記表板の前記外壁が、前記裏板の前記外周縁に冶金的に結合される
    堆積装置。
  10. 基板を処理するための堆積装置のシャワーヘッドアセンブリであって、
    表板および裏板を備え、
    前記裏板が、第1のガス入口および第2のガス入口を含み、
    前記表板が、下壁と、前記下壁の外周縁から垂直上方向に延びる外壁とを含み、前記外壁が、前記裏板の外周縁に封止され、それにより、前記表板と前記裏板との間に内側プレナムおよび縁部プレナムが形成され、前記表板が、前記内側プレナムを介して前記第1のガス入口と流体連絡する第1の通気性領域を含み、それにより、前記シャワーヘッドアセンブリが堆積装置内に取り付けられたときに、処理中に前記第1のガス入口に供給される第1のガスを前記第1の通気性領域を通して供給することができ、前記表板がさらに、前記縁部プレナムを介して前記第2のガス入口と流体連絡する第2の通気性領域を含み、それにより、前記シャワーヘッドアセンブリが堆積装置内に取り付けられたときに、処理中に第2のガス入口に供給される第2のガスを前記第2の通気性領域を通して供給することができ、前記内側プレナムと前記縁部プレナムとが互いに流体連絡せず、 前記第1の通気性領域が、前記下壁の上面および下面を通って延びる第1の群のガス注入穴を備え、前記第2の通気性領域が、前記外壁の上面および前記下壁の前記下面を通って延びる第2の群のガス注入穴を備え、
    前記第1の群のガス注入穴が、六角形パターンで配置され、
    前記第2の群のガス注入穴が、六角形パターンで配置され、
    前記第1の群のガス注入穴が、約3000個〜20000個以上のガス注入穴を含み、または
    前記第2の群のガス注入穴が、約100個〜約2000個のガス注入穴を含む、シャワーヘッドアセンブリ。
  11. 請求項10に記載のシャワーヘッドアセンブリであって、
    (a)前記第1の通気性領域が、前記下壁の上面および下面を通って延びる第1の群のガス注入穴を備え、前記第2の通気性領域が、前記外壁の上面および前記下壁の前記下面を通って延びる第2の群のガス注入穴を備える、または
    (b)前記第1および第2の通気性領域が、前記下壁に形成され、前記下壁が、多孔性金属材料、多孔性半導体材料、もしくは多孔性セラミック材料から形成され、それにより、前記内側プレナムおよび前記縁部プレナムにそれぞれ供給される第1のガスおよび第2のガスが、前記下壁を通して供給され得る
    シャワーヘッドアセンブリ。
  12. 請求項10に記載のシャワーヘッドアセンブリであって、
    (a)前記シャワーヘッドアセンブリがさらにステムを備え、前記裏板が、前記ステムの下端部から横方向外側に延在し、前記ステムが、前記ステムを通って垂直に延び、前記第1のガス入口と流体連絡する第1のガス通路を有し、もしくは前記ステムが、前記ステムを通って垂直に延び、前記第1のガス入口と流体連絡する第1のガス通路と、前記ステムを通って垂直に延び、前記第2のガス入口と流体連絡する第2のガス通路とを有し、前記裏板が、前記第2のガス入口を介して前記第2のガス通路と流体連絡する少なくとも1つの横方向に延びるガス通路を含む、または
    (b)前記裏板が、前記第2のガス入口と流体連絡する少なくとも1つの横方向に延びるガス通路を含み、前記第2のガス入口が、前記少なくとも1つの横方向に延びるガス通路を介して前記縁部プレナムと流体連絡する
    シャワーヘッドアセンブリ。
  13. 請求項10に記載のシャワーヘッドアセンブリであって、
    前記内側プレナムが、前記裏板の下面と、前記表板の前記下壁の上面と、前記表板の前記外壁の下側内面との間にあり、
    (a)前記外壁が、下側垂直面と、上側垂直面と、前記下側垂直面と前記上側垂直面との間に延びる水平面とを含み、前記縁部プレナムが、前記裏板の外面と、前記外壁の上端部と結合されているカバープレートの下面と、前記水平面と、前記上側垂直面との間に形成される、
    (b)前記外壁が、その前記上面に環状チャネルを含み、前記縁部プレナムが、前記環状チャネルの表面と、前記裏板の外側下面との間に形成される、または
    (c)前記外壁が、その前記上面に環状チャネルを含み、前記裏板が、その外側下面に、対向する環状チャネルを含み、前記縁部プレナムが、前記対向する環状チャネルの表面間に環状空間を備える
    シャワーヘッドアセンブリ。
  14. 請求項10に記載のシャワーヘッドアセンブリであって、
    (a)前記第2の群のガス注入穴の各ガス注入穴の上部が、垂直に延び、前記ガス注入穴のそれぞれの下部よりも大きい直径を有し、前記それぞれの下部の長さが、前記第1の群のガス注入穴の各ガス注入穴の長さと少なくともほぼ同じであり、前記それぞれの下部の直径が、前記第1の群のガス注入穴のそれぞれのガス注入穴の直径と少なくともほぼ同じである、
    (b)前記第2の群のガス注入穴それぞれの上部が、前記ガス注入穴の下部よりも大きい直径を有する、
    (c)前記第1の群のガス注入穴が、六角形パターンで配置される、
    (d)前記第1の群のガス注入穴が、同心円列で配置される、または、
    (e)前記第2の群のガス注入穴が、1つまたは複数の同心円列で配置される、
    シャワーヘッドアセンブリ。
  15. 請求項10に記載のシャワーヘッドアセンブリであって、
    前記裏板が、少なくとも4つの横方向に延びるガス通路と、前記裏板の前記第2のガス入口および前記少なくとも4つの横方向に延びるガス通路と流体連絡する拡散器とを含み、前記拡散器が、ガスが前記第2のガス入口を通して前記裏板の前記横方向に延びるガス通路に供給されるときに、前記横方向に延びるガス通路それぞれを通って流れるガス間の圧力差を減少させるように構成されている、シャワーヘッドアセンブリ。
  16. 請求項12に記載のシャワーヘッドアセンブリであって、
    前記裏板が、少なくとも4つの横方向に延びるガス通路と、前記裏板の前記第2のガス入口および前記少なくとも4つの横方向に延びるガス通路と流体連絡する拡散器とを含み、前記拡散器が、ガスが前記第2のガス入口を通して前記裏板の前記横方向に延びるガス通路に供給されるときに、前記横方向に延びるガス通路それぞれを通って流れるガス間の圧力差を減少させるように構成され、
    前記拡散器が、前記ステムの前記第2のガス通路と流体連絡する一群の内側ガス開口と、前記裏板の前記少なくとも4つの横方向に延びるガス通路と流体連絡する一群の外側ガス開口とを有する上面を含み、前記一群の内側ガス開口が、壁によって前記一群の外側ガス開口から隔離され、前記一群の内側ガス開口が、前記一群の外側ガス開口の上面よりも下にある前記拡散器のチャネルを介して前記一群の外側ガス開口と流体連絡し、前記一群の内側ガス開口および前記一群の外側ガス開口が、ガスが前記ステムの前記第2のガス通路から前記裏板の前記横方向に延びるガス通路に供給されるときに、前記横方向に延びるガス通路それぞれを通って流れるガス間の圧力差を減少させるように構成され、前記拡散器が、C字形リングであり、前記C字形リングの向かい合う端部が、温度プローブを中に受け取るように構成された裏板の部分を取り囲むように配置される、シャワーヘッドアセンブリ。
  17. 請求項10に記載のシャワーヘッドアセンブリであって、
    (a)前記表板が、その前記下壁から垂直上方向に延在する複数のポストを前記内側プレナム内に含み、前記ポストの上端部が、前記裏板の対応する開口に溶接され、前記開口内に前記ポストの前記上端部が位置される、
    (b)前記表板が、中に埋め込まれたRF電極を含む、
    (c)バッフルが、前記シャワーヘッドアセンブリの前記内側プレナム内に配設され、前記バッフルが、前記シャワーヘッドアセンブリに供給されるガスを前記内側プレナム全体にわたって一様に分散するように動作可能である、
    (d)前記外壁の軸方向厚さが、少なくとも、前記外壁の内側の前記下壁の軸方向厚さの2倍よりも大きい、および/または
    (e)前記表板の前記外壁が、前記裏板の前記外周縁に冶金的に結合される
    シャワーヘッドアセンブリ。
  18. 堆積装置内の基板の上面に材料を堆積する方法であって、
    前記堆積装置の真空チャンバ内に配設された基板ペデスタルアセンブリの上面に基板を支持するステップと、
    第1のガスを、第1のガス源から、シャワーヘッドアセンブリを通して、前記基板の上面の上方の前記真空チャンバの内側処理区域に供給するステップであって、前記第1のガスが、前記シャワーヘッドアセンブリの内側プレナムを通して前記内側処理区域に供給され、前記内側プレナムが、第1の群のガス注入穴と流体連絡し、前記第1の群のガス注入穴が、前記シャワーヘッドアセンブリの表板の下壁の上面および下面を通って延び、それにより、前記第1のガスが前記基板の前記上面の上方の前記内側処理区域に供給されるステップと、
    同時に、第2のガスを、第2のガス源から、前記シャワーヘッドアセンブリを通して、前記基板の前記上面の上方の前記真空チャンバの処理区域に供給するステップであって、前記第2のガスが、前記シャワーヘッドアセンブリの縁部プレナムを通して外側処理区域に供給され、前記縁部プレナムが、第2の群のガス注入穴と流体連絡し、前記第2の群のガス注入穴が、前記下壁の外周縁から垂直上方向に延びる外壁の上面と、前記シャワーヘッドアセンブリの前記表板の前記下壁の前記下面とを通って延び、それにより、前記第2のガスが前記基板の前記上面の上方の前記外側処理区域に供給されるステップと、
    前記第1のガス、または前記第1と第2のガスを励起してプラズマにするステップと、
    前記第2のガスの前記供給によって、前記第1のガスから発生されるプラズマを前記外側処理区域内で局所的に修正するステップと、
    前記基板の前記上面に材料を一様に堆積するステップと
    を含む方法。
  19. 請求項18に記載の方法であって、
    前記第2のガスの前記供給によって、前記第1のガスから発生される前記プラズマを前記外側処理区域内で局所的に修正するステップが、1種もしくは複数種の不活性ガスを前記外側処理区域に供給することによって、前記外側処理区域内で発生された前記プラズマを改良または抑制するステップを含み、および/または、前記第1のガスから発生される前記プラズマを前記外側処理区域内で局所的に修正するステップが、不活性チューニングガスを前記外側処理区域に供給するステップを含む、方法。
JP2016096652A 2015-05-22 2016-05-13 縁部プレナムシャワーヘッドアセンブリを含む堆積装置 Active JP6952446B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/720,584 2015-05-22
US14/720,584 US10253412B2 (en) 2015-05-22 2015-05-22 Deposition apparatus including edge plenum showerhead assembly

Publications (2)

Publication Number Publication Date
JP2016223009A JP2016223009A (ja) 2016-12-28
JP6952446B2 true JP6952446B2 (ja) 2021-10-20

Family

ID=57324370

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016096652A Active JP6952446B2 (ja) 2015-05-22 2016-05-13 縁部プレナムシャワーヘッドアセンブリを含む堆積装置

Country Status (4)

Country Link
US (1) US10253412B2 (ja)
JP (1) JP6952446B2 (ja)
KR (1) KR20160137403A (ja)
TW (1) TWI708860B (ja)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10119191B2 (en) * 2016-06-08 2018-11-06 Applied Materials, Inc. High flow gas diffuser assemblies, systems, and methods
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10954596B2 (en) * 2016-12-08 2021-03-23 Applied Materials, Inc. Temporal atomic layer deposition process chamber
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
KR102404061B1 (ko) 2017-11-16 2022-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR102538177B1 (ko) 2017-11-16 2023-05-31 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US10903054B2 (en) * 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102560283B1 (ko) * 2018-01-24 2023-07-26 삼성전자주식회사 샤워 헤드를 설계하고 제조하는 장치 및 방법
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
KR102501472B1 (ko) * 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US11189502B2 (en) 2018-04-08 2021-11-30 Applied Materials, Inc. Showerhead with interlaced gas feed and removal and methods of use
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10472716B1 (en) * 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
US20190376184A1 (en) * 2018-06-12 2019-12-12 Lam Research Corporation Chemical vapor deposition shower head for uniform gas distribution
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11535936B2 (en) * 2018-07-23 2022-12-27 Lam Research Corporation Dual gas feed showerhead for deposition
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
KR20200015264A (ko) 2018-08-03 2020-02-12 삼성전자주식회사 웨이퍼 접합 방법 및 웨이퍼 접합 시스템
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210155812A (ko) * 2019-05-31 2021-12-23 어플라이드 머티어리얼스, 인코포레이티드 기판들 상에 막들을 형성하기 위한 방법들 및 시스템들
KR20210016946A (ko) * 2019-08-06 2021-02-17 삼성전자주식회사 샤워헤드 및 이를 구비하는 기판 처리장치
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
JP2023500726A (ja) * 2019-11-08 2023-01-10 アプライド マテリアルズ インコーポレイテッド ガス伝達調節のためのチャンバ部品
CN115461493A (zh) * 2020-04-28 2022-12-09 朗姆研究公司 用于控制晶片斜边/边缘上的沉积的喷头设计
DE102020123076A1 (de) 2020-09-03 2022-03-03 Aixtron Se Gaseinlassorgan eines CVD-Reaktors mit zwei Einspeisestellen
WO2022093273A1 (en) * 2020-10-30 2022-05-05 Applied Materials, Inc. Rf delivery and feedthrough assembly to a processing chamber
CN112853316B (zh) * 2020-12-31 2023-03-14 拓荆科技股份有限公司 镀膜装置及其承载座
US20230074149A1 (en) * 2021-09-09 2023-03-09 Applied Materials, Inc. Atomic layer deposition part coating chamber
US20230374660A1 (en) * 2022-05-17 2023-11-23 Applied Materials, Inc. Hardware to uniformly distribute active species for semiconductor film processing

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4960488A (en) * 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
DE69222110T2 (de) * 1991-10-18 1998-03-05 Koninkl Philips Electronics Nv Verfahren zum Herstellen einer Halbeiteranordnung, wobei auf der Oberfläche einer Halbleiterscheibe aus einem Prozessgas eine Materialschicht abgeschieden wird
US5849092A (en) * 1997-02-25 1998-12-15 Applied Materials, Inc. Process for chlorine trifluoride chamber cleaning
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
JP4236882B2 (ja) * 2001-08-01 2009-03-11 東京エレクトロン株式会社 ガス処理装置およびガス処理方法
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US8317968B2 (en) * 2004-04-30 2012-11-27 Lam Research Corporation Apparatus including gas distribution member supplying process gas and radio frequency (RF) power for plasma processing
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US8163087B2 (en) * 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US8475625B2 (en) * 2006-05-03 2013-07-02 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8197636B2 (en) * 2007-07-12 2012-06-12 Applied Materials, Inc. Systems for plasma enhanced chemical vapor deposition and bevel edge etching
US20090159002A1 (en) * 2007-12-19 2009-06-25 Kallol Bera Gas distribution plate with annular plenum having a sloped ceiling for uniform distribution
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
MY179709A (en) 2009-09-10 2020-11-11 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
US9540731B2 (en) * 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
WO2011159690A2 (en) * 2010-06-15 2011-12-22 Applied Materials, Inc. Multiple precursor showerhead with by-pass ports
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
US20120118510A1 (en) * 2010-11-15 2012-05-17 Applied Materials, Inc. Method for debonding components in a chamber
US9695510B2 (en) * 2011-04-21 2017-07-04 Kurt J. Lesker Company Atomic layer deposition apparatus and process
US9388494B2 (en) * 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
JP6078354B2 (ja) * 2013-01-24 2017-02-08 東京エレクトロン株式会社 プラズマ処理装置
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
SG10201810178TA (en) * 2014-05-16 2018-12-28 Applied Materials Inc Showerhead design
US10253412B2 (en) * 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly

Also Published As

Publication number Publication date
TW201712145A (zh) 2017-04-01
US20160340781A1 (en) 2016-11-24
JP2016223009A (ja) 2016-12-28
TWI708860B (zh) 2020-11-01
US10253412B2 (en) 2019-04-09
KR20160137403A (ko) 2016-11-30

Similar Documents

Publication Publication Date Title
JP6952446B2 (ja) 縁部プレナムシャワーヘッドアセンブリを含む堆積装置
JP6890963B2 (ja) シャワーヘッドアセンブリ
KR102641003B1 (ko) 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법
JP7320563B2 (ja) 高温基板台座モジュール及びその構成要素
TWI654333B (zh) 具有均勻性折流板之半導體基板處理設備
TW201841208A (zh) 基板處理設備
TW201713794A (zh) 具有減少的背側電漿點火的噴淋頭
US20070218701A1 (en) Semiconductor-processing apparatus with rotating susceptor
JP2021128956A (ja) 載置台、プラズマ処理装置及びクリーニング処理方法
JP2021523556A (ja) 中心からエッジへの圧力の変化を制御するための圧力スキューシステム
JP2019075516A (ja) プラズマ処理装置及びガス流路が形成される部材
JPH04329626A (ja) 半導体素子の製造装置
KR20160093389A (ko) 원자층 증착장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190510

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200427

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200602

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200901

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210202

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210607

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210831

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210928

R150 Certificate of patent or registration of utility model

Ref document number: 6952446

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150