JP6860515B2 - 回路記述生成装置および回路記述生成方法 - Google Patents

回路記述生成装置および回路記述生成方法 Download PDF

Info

Publication number
JP6860515B2
JP6860515B2 JP2018048998A JP2018048998A JP6860515B2 JP 6860515 B2 JP6860515 B2 JP 6860515B2 JP 2018048998 A JP2018048998 A JP 2018048998A JP 2018048998 A JP2018048998 A JP 2018048998A JP 6860515 B2 JP6860515 B2 JP 6860515B2
Authority
JP
Japan
Prior art keywords
circuit
shift register
reduction
register group
extracted
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018048998A
Other languages
English (en)
Other versions
JP2019160127A (ja
Inventor
祐一郎 宮岡
祐一郎 宮岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Toshiba Electronic Devices and Storage Corp
Original Assignee
Toshiba Corp
Toshiba Electronic Devices and Storage Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, Toshiba Electronic Devices and Storage Corp filed Critical Toshiba Corp
Priority to JP2018048998A priority Critical patent/JP6860515B2/ja
Priority to US16/125,296 priority patent/US10515171B2/en
Publication of JP2019160127A publication Critical patent/JP2019160127A/ja
Application granted granted Critical
Publication of JP6860515B2 publication Critical patent/JP6860515B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking
    • G06F30/3323Design verification, e.g. functional simulation or model checking using formal methods, e.g. equivalence checking or property checking
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C19/00Digital stores in which the information is moved stepwise, e.g. shift registers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C19/00Digital stores in which the information is moved stepwise, e.g. shift registers
    • G11C19/28Digital stores in which the information is moved stepwise, e.g. shift registers using semiconductor elements

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)

Description

本発明の実施形態は、回路記述生成装置および回路記述生成方法に関する。
半導体装置の設計において、入力および出力のスループットを高効率に動作させるための構造として、パイプライン回路がある。
このパイプライン回路は、複数の動作回路を並行に処理する複数段の回路構造を有し、並行に動作している各回路は、演算結果を、パイプラインレジスタと呼ばれる中間記憶領域に保存して後段の回路へ受け渡すことにより、高性能な動作を実現する。
複数段の回路構造を有して並行に動作する回路における途中の段の回路で演算には用いられない値は、後段の回路で用いるために、同じ値を1段または複数段先のパイプラインレジスタにコピーすることになる。このような、値が変わらずに複数段にわたるレジスタに値が複製される回路構造をシフトレジスタと呼ぶ。
特開2007−323606号公報
設計対象の回路のシフトレジスタの段数を削減することが可能な回路記述生成装置を提供する。
実施形態における回路記述生成装置は、シフトレジスタを含む、設計対象の回路を記述する回路情報から、同じ信号を入力する複数段のシフトレジスタでなる複数のシフトレジスタ群を抽出し、前記抽出した複数のシフトレジスタ群に対する入力信号の値のパターンを示す検証ベクタに基づいて、前記抽出した複数のシフトレジスタ群のそれぞれに対する入力信号の波形を生成し、所定期間内における前記生成した波形で示される入力信号の値が変化するまでのサイクル数の最小値に基づいて、前記複数のシフトレジスタ群のうち、シフトレジスタを削減する候補であるシフトレジスタ群を抽出する削減候補抽出部を有する。実施形態における回路記述生成装置は、前記削減候補抽出部により抽出したシフトレジスタ群に含まれるシフトレジスタのうち前記最小値に基づいて決定した段数のシフトレジスタを削減した回路を記述する回路情報を生成し、前記生成した回路情報で示される回路と、前記決定した段数のシフトレジスタを削減する前の回路との間の信号出力の等価性の有無を検証し、前記等価性があることが検証されたときに、前記決定した段数のシフトレジスタを削減した回路を記述する回路情報を修正後の回路情報として生成する削減回路生成部を有する。
図1は、本実施の形態に係わる回路記述生成装置の構成例を示すブロック図である。 図2は、本実施の形態に係わる回路記述生成装置の削減候補抽出部による処理動作の一例を示すフローチャートである。 図3は、本実施の形態に係わる回路記述生成装置の削減候補抽出部により抽出したシフトレジスタの一例を示す図である。 図4は、本実施の形態に係わる回路記述生成装置の削減候補抽出部により抽出したシフトレジスタの一例を示す図である。 図5は、本実施の形態に係わる回路記述生成装置の削減候補抽出部により抽出したシフトレジスタの一例を示す図である。 図6は、本実施の形態に係わる回路記述生成装置の削減候補抽出部により生成した、入力信号の値の波形の一例を示す図である。 図7は、本実施の形態に係わる回路記述生成装置の削減回路生成部による処理動作の一例を示すフローチャートである。 図8は、本実施の形態に係わる回路記述生成装置の削減回路生成部による削減前の回路の一例を示す図である。 図9は、本実施の形態に係わる回路記述生成装置の削減回路生成部による削減後の回路の一例を示す図である。
以下、実施形態について図面を用いて説明する。
図1は、本実施の形態に係わる回路記述生成装置の構成を示すブロック図である。
回路記述生成装置10は、コンピュータ装置であり、入出力装置、記憶装置、CPU(中央処理装置)等からなる。具体的には、回路記述生成装置10は、回路設計者であるユーザからの入力操作、例えばRTL記述にかかる操作を受け付ける、キーボード等の入力装置11と、各種データの表示等を行う画面を有するモニタ等の表示装置12と、CPUとを含み、各種処理を実行するデータ処理装置13と、各種データを記憶する記憶装置14とを含んで構成されている。
記憶装置14は、不揮発性メモリなどにより実現でき、設計対象である半導体装置の構成を記述したRTL言語によるプログラム、すなわちRTL記述を記憶する記憶領域部14aを含む。
データ処理装置13は、削減候補抽出部13aと、削減回路生成部(削減回路記述生成部)13bとを含む。
削減候補抽出部13aは、RTL読込部13a1と、シフトレジスタ抽出部13a2と、波形生成部13a3と、削減候補出力部13a4とを含む。
削減回路生成部13bは、前段読込部13b1、制約確認部13b2、削減RTL生成部13b3、等価性検証部13b4を含む。データ処理装置13内の各部は、主としてソフトウエアにより実現されている。
削減候補抽出部13aの各部について説明する。
削減候補抽出部13aのRTL読込部13a1は、設計対象である半導体装置の回路のRTL記述を記憶装置14の記憶領域部14aから読み込む。
シフトレジスタ抽出部13a2は、RTL読込部13a1が読み込んだRTL記述から入力信号の種別(例えば、信号Sig_A、信号Sig_B、信号Sig_C)ごとに区分されるシフトレジスタ群(グループ)を抽出する。個々のシフトレジスタ群は、同じ入力信号を入力する複数段のシフトレジスタでなる。
波形生成部13a3は、入力信号の値のパターンを示す検証ベクタを記憶装置14の記憶領域部14aから読み込み、この検証ベクタに基づいて、シフトレジスタ抽出部13a2が抽出したシフトレジスタ群のそれぞれに対する入力信号の値の波形を生成する。
削減候補出力部13a4は、波形生成部13a3により生成した波形で示される、入力信号の値が変化するまでのサイクル数を演算し、各シフトレジスタ群に対応する波形ごとに、所定時間内における最小のサイクル数を求める。また、削減候補出力部13a4は、この求めた最小のサイクル数が1である波形パターンを除いた波形パターンを、レジスタを削減できる可能性がある波形パターンとして解析し、この波形にかかるシフトレジスタ群の情報を、当該波形にかかる最小のサイクル数とともに、削減候補情報として出力する。削減候補のシフトレジスタ群とは、この削減候補のシフトレジスタ群を構成する複数段のうち一部の段のシフトレジスタが削減できる可能性がある構成を指す。
次に、削減回路生成部13bの各部について説明する。
削減回路生成部13bの前段読込部13b1は、削減候補抽出部13aの削減候補出力部13a4が出力した削減候補情報で示されるシフトレジスタ群から、処理対象となる1つのシフトレジスタ群を取り出し、このシフトレジスタ群の前段に接続されるモジュールのRTL記述を記憶装置14の記憶領域部14aから読み込む。
制約確認部13b2は、前段読込部13b1が読み込んだRTL記述で示されるモジュールについて、前段読込部13b1により取り出したシフトレジスタ群にかかる最小のサイクル数を、上記のモジュールへの入力信号の制約条件として、この条件下で当該モジュールが正常に動作するか否かを確認する。この確認の結果、モジュールが正常に動作しないと確認したときは、制約確認部13b2は、上記の最小のサイクル数を、2を下限値として減らし、この減らした条件下で当該モジュールが正常に動作するか否かを再度確認する。
削減RTL生成部13b3は、制約確認部13b2が、上記のモジュールの正常な動作を確認したときの条件における最小サイクル数に応じて、削減候補出力部13a4が出力した削減候補のシフトレジスタ群におけるシフトレジスタの段数を削減させた回路を生成する。
等価性検証部13b4は、削減RTL生成部13b3による削減前の回路における出力と、削減後の回路における出力との等価性の有無を検証する。
図2は、本実施の形態に係わる回路記述生成装置の削減候補抽出部による処理動作の一例を示すフローチャートである。
まず、削減候補抽出部13aのRTL読込部13a1は、設計対象である半導体装置の回路のRTL記述を記憶装置14の記憶領域部14aから読み込む(S11)。
シフトレジスタ抽出部13a2は、RTL読込部13a1が読み込んだRTL記述から入力信号の種別ごとのシフトレジスタ群を抽出する(S12)。
図3、図4、図5は、本実施の形態に係わる回路記述生成装置の削減候補抽出部により抽出したシフトレジスタの一例を示す図である。
例えば、シフトレジスタ抽出部13a2は、図3に示す、信号Sig_Aを入力するシフトレジスタ群である第1のシフトレジスタ群、図4に示す、信号Sig_Bを入力するシフトレジスタ群である第2のシフトレジスタ群、図5に示す、信号Sig_Cを入力するシフトレジスタ群である第3のシフトレジスタ群をそれぞれ抽出できる。
図3に示す第1のシフトレジスタ群は2段のシフトレジスタが直列に接続されてなり、図4に示す第2のシフトレジスタ群は3段のシフトレジスタが直列に接続されてなり、図5に示す第3のシフトレジスタ群は5段のシフトレジスタが直列に接続されてなる。
波形生成部13a3は、入力信号の値のパターンを示す検証ベクタを記憶装置14の記憶領域部14aから読み込み(S13)、この検証ベクタに基づいて、シフトレジスタ抽出部13a2が抽出した、入力信号の種別ごとのシフトレジスタ群のそれぞれに対する入力信号の値の波形を生成する(S14)。
図6は、本実施の形態に係わる回路記述生成装置の削減候補抽出部により生成した、入力信号の値の波形の一例を示す図である。
例えば、波形生成部13a3は、図6に示すように、クロック信号に同期した、図3に示す第1のシフトレジスタ群に対する入力信号の値の波形、図4に示す第2のシフトレジスタ群に対する入力信号の値の波形、図5に示す第3のシフトレジスタ群に対する入力信号の値の波形をそれぞれ生成できる。
削減候補出力部13a4は、波形生成部13a3により生成した波形で示される、入力信号の値が変化するまでのサイクル数を演算し、各シフトレジスタ群に対応する波形ごとに、所定時間内における最小のサイクル数を求める。
例えば、削減候補出力部13a4は、図6に示す、第1のシフトレジスタ群に対する入力信号の値の波形について最小のサイクル数を「7」と求め、図6に示す、第2のシフトレジスタ群に対する入力信号の値の波形について最小のサイクル数を「1」と求め、図6に示す、第3のシフトレジスタ群に対する入力信号の値の波形について最小のサイクル数を「4」と求めることができる。
また、削減候補出力部13a4は、この求めた最小のサイクル数が1である波形パターンを除いた波形パターンを、レジスタの段数を削減できる可能性がある波形パターンとして解析し、この波形にかかるシフトレジスタ群の情報を、当該波形にかかる最小のサイクル数とともに、削減候補情報として出力する(S15)。
例えば、削減候補出力部13a4は、図6に示す波形パターンのうち、最小のサイクル数が「1」である、第2のシフトレジスタ群に対する入力信号の値の波形を除いた、第1のシフトレジスタ群に対する入力信号の値の波形と、第3のシフトレジスタ群に対する入力信号の値の波形とをそれぞれ選択する。
削減候補出力部13a4は、図3に示した第1のシフトレジスタ群の情報を、このシフトレジスタ群について生成した波形にかかる最小サイクル数「7」とともに、第1の削減候補情報として出力する。また、削減候補出力部13a4は、図5に示した第3のシフトレジスタ群の情報を、このシフトレジスタ群について生成した波形にかかる最小サイクル数「4」とともに、第2の削減候補情報として出力する。
図7は、本実施の形態に係わる回路記述生成装置の削減回路生成部による処理動作の一例を示すフローチャートである。
まず、削減回路生成部13bの前段読込部13b1は、削減候補抽出部13aの削減候補出力部13a4が出力した削減候補情報で示されるシフトレジスタ群から、処理対象となる1つのシフトレジスタ群を取り出し、このシフトレジスタ群の前段に接続されるモジュールのRTL記述を記憶装置14の記憶領域部14aから読み込む(S21)。
制約確認部13b2は、前段読込部13b1が読み込んだRTL記述で示されるモジュールについて、前段読込部13b1により取り出したシフトレジスタ群にかかる最小のサイクル数を、上記のモジュールへの入力信号の制約条件として、この条件下で当該モジュールが正常に動作するか否かを確認する(S22)。この確認の結果、モジュールが正常に動作しないと確認したときは、制約確認部13b2は、上記の最小のサイクル数を、2を下限値として減らし、この減らした条件下で当該モジュールが正常に動作するか否かを再度確認する。
例えば、処理対象となるシフトレジスタ群が、図5に示した第3のシフトレジスタ群である場合、最小サイクル数は4であるので、当初は、制約確認部13b2は、この4を制約条件として、前段のモジュール(RTL記述は、例えば「Sig_C!=$past(Sig_C)|=>$stable(Sig_C)」である)が正常に動作するか否かを確認する。この確認の結果、モジュールが正常に動作しないと確認したときは、制約確認部13b2は、上記の最小のサイクル数を3に減らし、この減らした条件下で前段のモジュールが正常に動作するか否かを再度確認する。以後、前段のモジュールが正常に動作すると確認できるまで処理を繰り返す。
前段のモジュールが正常に動作することが確認できた場合、削減RTL生成部13b3は、制約確認部13b2が、上記のモジュールの正常な動作を確認したときの条件における最小サイクル数に応じて、削減候補出力部13a4が出力した削減候補のシフトレジスタ群におけるシフトレジスタの段数を削減させた回路を示すRTL記述を、削減が試行された回路を示す修正後RTL記述として生成する(S23)。
例えば、処理対象となるシフトレジスタ群が図5に示した第3のシフトレジスタ群である場合で、上記の正常な動作を制約確認部13b2が確認したときの条件における最小サイクル数が4である場合、削減RTL生成部13b3は、図5に示した4段のシフトレジスタを1段のシフトレジスタに置き換えることができる可能性があるとみなし、上記の段数である4(置き換え前の段数)から1(置き換え後の段数)を減算した3を、削減できるシフトレジスタの段数として計算し、この計算に従い、図5に示した第3のシフトレジスタ群における3段のシフトレジスタを削減した回路を示すRTL記述を生成する。
等価性検証部13b4は、削減RTL生成部13b3による削減前の回路における出力と、削減後の回路における出力との等価性の有無を検証する(S24)。
図8は、本実施の形態に係わる回路記述生成装置の削減回路生成部による削減前の回路の一例を示す図である。図9は、本実施の形態に係わる回路記述生成装置の削減回路生成部による削減後の回路の一例を示す図である。
例えば、図8に示すように、削減前の回路が、図5に示す第3のシフトレジスタ群を含む回路であるとき、この削減前の回路では第3のシフトレジスタ群に含まれるシフトレジスタの段数が5であるのに対し、上記のように、第3のシフトレジスタ群における3段のシフトレジスタを削減することで、図9に示すように、削減後の回路では該当のシフトレジスタ群に含まれるシフトレジスタの段数は2である。
S24の結果、削減前の回路における出力と、削減後の回路における出力とが等価でない場合(S25のNO)、シフトレジスタの削減段数の他候補、つまり、等価性の有無の再度の検証のための、削減の段数を減らした(シフトレジスタ群における削減後のシフトレジスタの段数を増やす)回路の候補があれば(S26のNO)、等価性検証部13b4は、この削減の段数を減らした回路を、新たな削減後の回路として、S24における等価性の有無を再度検証する。
例えば、図9に示すように、当初の削減後の回路が上記のように、図5に示した構成から3段のシフトレジスタを削減した回路であって、削減前の回路との等価性の無い場合、等価性検証部13b4は、図5に示した構成から2段または1段のシフトレジスタを削減した回路を、新たな削減後の回路として、この回路と削減前の回路との間の等価性の有無を再度検証することができる。
S25またはS26により「YES」と判断した場合で、S15で削減候補抽出部13aの削減候補出力部13a4が出力した削減候補のシフトレジスタ群のうち、等価性の確認の処理対象として取り出していないシフトレジスタ群がある場合は(S27のNO)、S21に戻って、前段読込部13b1は、別の処理対象となる1つのシフトレジスタ群を取り出し、同様の処理がなされる。
また、S25またはS26により「YES」と判断した場合で、S15で削減候補抽出部13aの削減候補出力部13a4が出力した削減候補のシフトレジスタ群の全てについて上記の等価性の有無の確認を実施済みである場合は(S27のYES)、修正済みのRTLを確定し(S28)、処理が終了する。
以上説明したように、本実施の形態に係わる回路記述生成装置は、回路構成を記述するRTL記述および検証ベクタに基づいて、削減候補のシフトレジスタ群を出力し、この削減候補のシフトレジスタ群についてのシフトレジスタ数の削減を試行し、削減前の回路と削減後の回路の等価性の確認を行なうことで、修正後のRTL記述を確定するので、設計対象の回路のシフトレジスタを適切に削減することが可能になる。
なお、上記の実施の形態に記載した回路記述生成装置による手法は、コンピュータに実行させることのできるプログラムとして、磁気ディスク(フロッピー(登録商標)ディスク、ハードディスクなど)、光ディスク(CD−ROM、DVDなど)、光磁気ディスク(MO)、半導体メモリなどの記憶媒体に格納して頒布することもできる。
また、この記憶媒体としては、プログラムを記憶でき、かつコンピュータが読み取り可能な記憶媒体であれば、その記憶形式は何れの形態であっても良い。
また、記憶媒体からコンピュータにインストールされたプログラムの指示に基づきコンピュータ上で稼働しているOS(オペレーティングシステム)や、データベース管理ソフト、ネットワークソフト等のMW(ミドルウェア)等が上記実施の形態を実現するための各処理の一部を実行しても良い。
さらに、実施の形態における記憶媒体は、コンピュータと独立した媒体に限らず、LANやインターネット等により伝送されたプログラムをダウンロードして記憶または一時記憶した記憶媒体も含まれる。
また、記憶媒体は1つに限らず、複数の媒体から上記の実施の形態における処理が実行される場合も本発明における記憶媒体に含まれ、媒体構成は何れの構成であっても良い。なお、実施の形態におけるコンピュータは、記憶媒体に記憶されたプログラムに基づき、上記の実施の形態における各処理を実行するものであって、パソコン等の1つからなる装置、複数の装置がネットワーク接続されたシステム等の何れの構成であっても良い。
また、実施の形態におけるコンピュータとは、パソコンに限らず、情報処理機器に含まれる演算処理装置、マイコン等も含み、プログラムによって本発明の機能を実現することが可能な機器、装置を総称している。
本発明のいくつかの実施形態を説明したが、これらの実施形態は、例として提示したものであり、発明の範囲を限定することは意図していない。これら新規な実施形態は、その他の様々な形態で実施されることが可能であり、発明の要旨を逸脱しない範囲で、種々の省略、置き換え、変更を行うことができる。これら実施形態やその変形は、発明の範囲や要旨に含まれるとともに、特許請求の範囲に記載された発明とその均等の範囲に含まれる。
10…回路記述生成装置、11…入力装置、12…表示装置、13…データ処理装置、14…記憶装置。

Claims (5)

  1. シフトレジスタを含む、設計対象の回路を記述する回路情報から、同じ信号を入力する複数段のシフトレジスタでなる複数のシフトレジスタ群を抽出し、前記抽出した複数のシフトレジスタ群に対する入力信号の値のパターンを示す検証ベクタに基づいて、前記抽出した複数のシフトレジスタ群のそれぞれに対する入力信号の波形を生成し、所定期間内における前記生成した波形で示される入力信号の値が変化するまでのサイクル数の最小値に基づいて、前記複数のシフトレジスタ群のうち、シフトレジスタを削減する候補であるシフトレジスタ群を抽出する削減候補抽出部と、
    前記削減候補抽出部により抽出したシフトレジスタ群に含まれるシフトレジスタのうち前記最小値に基づいて決定した段数のシフトレジスタを削減した回路を記述する回路情報を生成し、前記生成した回路情報で示される回路と、前記決定した段数のシフトレジスタを削減する前の回路との間の信号出力の等価性の有無を検証し、前記等価性があることが検証されたときに、前記決定した段数のシフトレジスタを削減した回路を記述する回路情報を修正後の回路情報として生成する削減回路生成部と
    を備える、回路記述生成装置。
  2. 前記削減回路生成部は、
    前記設計対象の回路を記述する回路情報から、前記削減候補抽出部により抽出したシフトレジスタ群の前段の回路を抽出し、前記前段の回路に対する入力信号の値が変化するまでのサイクル数が前記最小値である条件で前記前段の回路が正常に動作するか否かを確認し、
    前記前段の回路が正常に動作することが確認されたときに、前記削減候補抽出部により抽出したシフトレジスタ群に含まれるシフトレジスタのうち前記最小値に基づいて決定した段数のシフトレジスタを削減した回路を記述する回路情報を生成する、
    請求項1に記載の回路記述生成装置。
  3. 前記削減回路生成部は、
    前記等価性がないことが検証されたときに、前記削減候補抽出部により抽出したシフトレジスタ群に含まれるシフトレジスタのうち前記最小値に基づいて決定した段数より少ない段数のシフトレジスタを削減した回路を記述する回路情報を生成し、
    この生成した回路情報で示される回路と、前記決定した段数のシフトレジスタを削減する前の回路との間の出力の等価性の有無を検証する、
    請求項1に記載の回路記述生成装置。
  4. 前記削減候補抽出部は、
    前記抽出した複数のシフトレジスタ群のうち、前記生成した波形で示される入力信号の値が変化するまでのサイクル数の最小値が1であるシフトレジスタ群を除くシフトレジスタ群を、シフトレジスタを削減する候補であるシフトレジスタ群として抽出する、
    請求項1に記載の回路記述生成装置。
  5. コンピュータ装置により実現される回路記述生成方法であって、
    シフトレジスタを含む、設計対象の回路を記述する回路情報から、同じ信号を入力する複数段のシフトレジスタでなる複数のシフトレジスタ群を抽出し、前記抽出した複数のシフトレジスタ群に対する入力信号の値のパターンを示す検証ベクタに基づいて、前記抽出した複数のシフトレジスタ群のそれぞれに対する入力信号の波形を生成し、所定期間内における前記生成した波形で示される入力信号の値が変化するまでのサイクル数の最小値に基づいて、前記複数のシフトレジスタ群のうち、シフトレジスタを削減する候補であるシフトレジスタ群を抽出する処理と、
    前記抽出したシフトレジスタ群に含まれるシフトレジスタのうち前記最小値に基づいて決定した段数のシフトレジスタを削減した回路を記述する回路情報を生成し、前記生成した回路情報で示される回路と、前記決定した段数のシフトレジスタを削減する前の回路との間の信号出力の等価性の有無を検証し、前記等価性があることが検証されたときに、前記決定した段数のシフトレジスタを削減した回路を記述する回路情報を修正後の回路情報として生成する処理と、
    を実行する回路記述生成方法。
JP2018048998A 2018-03-16 2018-03-16 回路記述生成装置および回路記述生成方法 Active JP6860515B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2018048998A JP6860515B2 (ja) 2018-03-16 2018-03-16 回路記述生成装置および回路記述生成方法
US16/125,296 US10515171B2 (en) 2018-03-16 2018-09-07 Circuit description generation apparatus and circuit description generation method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018048998A JP6860515B2 (ja) 2018-03-16 2018-03-16 回路記述生成装置および回路記述生成方法

Publications (2)

Publication Number Publication Date
JP2019160127A JP2019160127A (ja) 2019-09-19
JP6860515B2 true JP6860515B2 (ja) 2021-04-14

Family

ID=67904050

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018048998A Active JP6860515B2 (ja) 2018-03-16 2018-03-16 回路記述生成装置および回路記述生成方法

Country Status (2)

Country Link
US (1) US10515171B2 (ja)
JP (1) JP6860515B2 (ja)

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW557574B (en) 1997-07-03 2003-10-11 Matsushita Electric Ind Co Ltd Functional module model, pipelined circuit synthesis and pipelined circuit device
US7149996B1 (en) * 2003-07-11 2006-12-12 Xilinx, Inc. Reconfigurable multi-stage crossbar
US7126381B1 (en) * 2004-02-14 2006-10-24 Herman Schmit VPA interconnect circuit
JP4580827B2 (ja) 2005-06-17 2010-11-17 株式会社東芝 動作合成装置及び自動動作合成方法
JP2007323606A (ja) 2006-06-05 2007-12-13 Toshiba Corp 動作合成装置
US8141024B2 (en) * 2008-09-04 2012-03-20 Synopsys, Inc. Temporally-assisted resource sharing in electronic systems
CA2782142A1 (en) * 2009-12-01 2011-06-09 Queen's University At Kingston Method and system for a run-time reconfigurable computer architecture
JP3177218U (ja) 2012-05-11 2012-07-26 株式会社タイガークラウン 調理用トング

Also Published As

Publication number Publication date
US20190286778A1 (en) 2019-09-19
US10515171B2 (en) 2019-12-24
JP2019160127A (ja) 2019-09-19

Similar Documents

Publication Publication Date Title
JP2020520499A (ja) ブロックチェーンにおけるスナップショットを管理する方法、コンピュータ・プログラム、スナップショット・ノード、オーディタ・ノードおよびシステム
JP2007034584A (ja) 高位合成装置、自動高位合成方法、高位合成プログラム及びゲートネットリスト自動検証方法
JP6309795B2 (ja) 情報処理装置、情報処理方法およびプログラム
JP6860515B2 (ja) 回路記述生成装置および回路記述生成方法
JP5301411B2 (ja) 類似性算出装置、類似性算出方法、類似性算出プログラム、及び類似性解析装置
JP2018124901A (ja) プログラム分析装置、プログラム分析方法及びプログラム分析プログラム
JP5025542B2 (ja) プロパティ抽出装置及び方法
JP2008129914A (ja) ソフトウェア検証用モデル生成装置、及びソフトウェア検証用モデル生成方法
JP6364332B2 (ja) 仕様生成方法、仕様生成装置、及びプログラム
JP2018136640A (ja) 検出方法、検出装置および検出プログラム
JP6173571B2 (ja) 回路設計装置および回路設計プログラム
JP6242170B2 (ja) 回路設計支援装置及びプログラム
KR101559651B1 (ko) 동적 분석 방법 및 장치
JP5755861B2 (ja) テストケース生成装置、テストケース生成方法およびテストケース生成プログラム
JP5871298B2 (ja) 情報処理装置、情報処理方法及び情報処理プログラム
JP4702357B2 (ja) 動作レベル記述とレジスタ転送レベル記述間の等価性検証方法及び装置並びにプログラム
CN111080433A (zh) 一种信用风险评估方法与装置
WO2022070422A1 (ja) 計算機システム及び文字認識方法
JP5367627B2 (ja) データ処理装置及びプログラム
JP6497271B2 (ja) テストデータ生成装置、方法、及びプログラム
JP5262678B2 (ja) 動作合成システム、動作合成方法、及び動作合成用プログラム
JP6012414B2 (ja) 情報処理装置及び情報処理方法及びプログラム
JP2023157310A (ja) モデル検査装置、及びモデル検査方法
JP2012118835A (ja) 動作合成システム、動作合成方法、動作合成用プログラム及び半導体装置
JP6545406B2 (ja) 高位合成装置、高位合成方法および高位合成プログラム

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200109

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210204

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210224

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210326

R150 Certificate of patent or registration of utility model

Ref document number: 6860515

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150