JP6602910B2 - Semiconductor structure, integrated circuit structure, and manufacturing method thereof - Google Patents

Semiconductor structure, integrated circuit structure, and manufacturing method thereof Download PDF

Info

Publication number
JP6602910B2
JP6602910B2 JP2018077178A JP2018077178A JP6602910B2 JP 6602910 B2 JP6602910 B2 JP 6602910B2 JP 2018077178 A JP2018077178 A JP 2018077178A JP 2018077178 A JP2018077178 A JP 2018077178A JP 6602910 B2 JP6602910 B2 JP 6602910B2
Authority
JP
Japan
Prior art keywords
gate
gate structure
edge isolation
edge
fin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018077178A
Other languages
Japanese (ja)
Other versions
JP2018129533A (en
Inventor
クレア ウェブ、ミルトン
ボーア、マーク
ガーニ、タヒア
エス. リャオ、ズヤ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to JP2018077178A priority Critical patent/JP6602910B2/en
Publication of JP2018129533A publication Critical patent/JP2018129533A/en
Priority to JP2019185955A priority patent/JP6973813B2/en
Application granted granted Critical
Publication of JP6602910B2 publication Critical patent/JP6602910B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

本発明の複数の実施形態は半導体デバイス及び加工の分野に関し、具体的には、自己整合ゲートエッジ及びローカルインターコネクト構造、並びに自己整合ゲートエッジ及びローカルインターコネクト構造を製造する方法に関する。   Embodiments of the present invention relate to the field of semiconductor devices and processing, and in particular, to self-aligned gate edges and local interconnect structures, and methods for fabricating self-aligned gate edges and local interconnect structures.

過去数十年の間、集積回路における構造のスケーリングは、常に成長する半導体産業を後押しする原動力であった。ますます微細な構造へとスケーリングすることは、半導体チップの限られた面積上で機能ユニットの密度増大を可能にする。例えば、トランジスタ寸法を縮小することにより、数が増加したメモリ又はロジックデバイスをチップ上に組み込みことが可能となり、収容力を増大させた製品の製造をもたらす。しかしながら、さらに多くの収容力を目指すには問題が無いわけではない。各デバイスの性能を最適化する必要性は、ますます重要になっている。   During the past decades, scaling of structures in integrated circuits has been the driving force behind the ever-growing semiconductor industry. Scaling to increasingly fine structures allows for increased density of functional units over a limited area of a semiconductor chip. For example, by reducing transistor dimensions, an increased number of memory or logic devices can be built on the chip, resulting in the manufacture of products with increased capacity. However, aiming for more capacity is not without problems. The need to optimize the performance of each device is becoming increasingly important.

集積回路デバイスの製造において、トライゲートトランジスタなどのマルチゲートトランジスタは、デバイス寸法が縮小を続けるにつれて、より広く用いられるようになった。従来のプロセスにおいて、トライゲートトランジスタは概して、バルクシリコン基板又はシリコン・オン・インシュレータ基板のいずれかに製造される。いくつかの例において、バルクシリコン基板が、そのより低いコストに起因して、また複雑でないトライゲート製造プロセスを可能にするという理由で好ましい。   In the manufacture of integrated circuit devices, multi-gate transistors such as tri-gate transistors have become more widely used as device dimensions continue to shrink. In conventional processes, tri-gate transistors are generally fabricated on either a bulk silicon substrate or a silicon-on-insulator substrate. In some examples, a bulk silicon substrate is preferred because of its lower cost and because it allows an uncomplicated tri-gate manufacturing process.

しかしながら、マルチゲートトランジスタのスケーリングは、何も考えずにできるものではなかった。超小型電子回路のこれらの基本構成単位の寸法が縮小され、かつ所定の領域に製造される非常に多くの基本構成単位が増大するにつれて、これらの構成単位をパターニングするのに使用されるリソグラフィプロセスに対する制約は手に負えないものになった。具体的には、半導体スタックにパターニングされる構造の最小寸法(限界寸法)と、そのような構造の間の間隔との間には、トレードオフが存在し得る。   However, scaling of a multi-gate transistor has not been possible without thinking about it. Lithographic processes used to pattern these building blocks as the dimensions of these building blocks of microelectronic circuits are reduced and the number of basic building blocks produced in a given area increases. The restrictions on are now out of control. In particular, there may be a trade-off between the minimum dimensions (critical dimensions) of structures that are patterned into the semiconductor stack and the spacing between such structures.

エンドツーエンド間隔を含む複数のフィンベースの半導体デバイスを含む、レイアウトの平面図を示す。FIG. 3 shows a plan view of a layout including a plurality of fin-based semiconductor devices including end-to-end spacing.

従来のfinFET又はトライゲートプロセスの製造手法において重要な処理工程の断面図を示す。Sectional drawing of the important process process in the manufacturing method of the conventional finFET or a tri-gate process is shown. 従来のfinFET又はトライゲートプロセスの製造手法において重要な処理工程の断面図を示す。Sectional drawing of the important process process in the manufacturing method of the conventional finFET or a tri-gate process is shown. 従来のfinFET又はトライゲートプロセスの製造手法において重要な処理工程の断面図を示す。Sectional drawing of the important process process in the manufacturing method of the conventional finFET or a tri-gate process is shown. 従来のfinFET又はトライゲートプロセスの製造手法において重要な処理工程の断面図を示す。Sectional drawing of the important process process in the manufacturing method of the conventional finFET or a tri-gate process is shown.

本発明の一実施形態に従って、finFET又はトライゲートデバイスのための、自己整合ゲートエッジプロセスの製造手法において重要な処理工程の断面図を示す。FIG. 4 shows a cross-sectional view of important process steps in a self-aligned gate edge process fabrication approach for a finFET or tri-gate device, according to one embodiment of the present invention. 本発明の一実施形態に従って、finFET又はトライゲートデバイスのための、自己整合ゲートエッジプロセスの製造手法において重要な処理工程の断面図を示す。FIG. 4 shows a cross-sectional view of important process steps in a self-aligned gate edge process fabrication approach for a finFET or tri-gate device, according to one embodiment of the present invention. 本発明の一実施形態に従って、finFET又はトライゲートデバイスのための、自己整合ゲートエッジプロセスの製造手法において重要な処理工程の断面図を示す。FIG. 4 shows a cross-sectional view of important process steps in a self-aligned gate edge process fabrication approach for a finFET or tri-gate device, according to one embodiment of the present invention. 本発明の一実施形態に従って、finFET又はトライゲートデバイスのための、自己整合ゲートエッジプロセスの製造手法において重要な処理工程の断面図を示す。FIG. 4 shows a cross-sectional view of important process steps in a self-aligned gate edge process fabrication approach for a finFET or tri-gate device, according to one embodiment of the present invention.

本発明の別の実施形態に従って、finFET又はトライゲートデバイスのための、別の自己整合ゲートエッジプロセスの製造手法における、処理工程の断面図及び対応する上面図を示す。FIG. 4 shows a cross-sectional view and corresponding top view of processing steps in another self-aligned gate edge process fabrication approach for a finFET or tri-gate device, according to another embodiment of the present invention. 本発明の別の実施形態に従って、finFET又はトライゲートデバイスのための、別の自己整合ゲートエッジプロセスの製造手法における、処理工程の断面図及び対応する上面図を示す。FIG. 4 shows a cross-sectional view and corresponding top view of processing steps in another self-aligned gate edge process fabrication approach for a finFET or tri-gate device, in accordance with another embodiment of the present invention. 本発明の別の実施形態に従って、finFET又はトライゲートデバイスのための、別の自己整合ゲートエッジプロセスの製造手法における、処理工程の断面図及び対応する上面図を示す。FIG. 4 shows a cross-sectional view and corresponding top view of processing steps in another self-aligned gate edge process fabrication approach for a finFET or tri-gate device, in accordance with another embodiment of the present invention. 本発明の別の実施形態に従って、finFET又はトライゲートデバイスのための、別の自己整合ゲートエッジプロセスの製造手法における、処理工程の断面図及び対応する上面図を示す。FIG. 4 shows a cross-sectional view and corresponding top view of processing steps in another self-aligned gate edge process fabrication approach for a finFET or tri-gate device, in accordance with another embodiment of the present invention. 本発明の別の実施形態に従って、finFET又はトライゲートデバイスのための、別の自己整合ゲートエッジプロセスの製造手法における、処理工程の断面図及び対応する上面図を示す。FIG. 4 shows a cross-sectional view and corresponding top view of processing steps in another self-aligned gate edge process fabrication approach for a finFET or tri-gate device, in accordance with another embodiment of the present invention. 本発明の別の実施形態に従って、finFET又はトライゲートデバイスのための、別の自己整合ゲートエッジプロセスの製造手法における、処理工程の断面図及び対応する上面図を示す。FIG. 4 shows a cross-sectional view and corresponding top view of processing steps in another self-aligned gate edge process fabrication approach for a finFET or tri-gate device, according to another embodiment of the present invention. 本発明の別の実施形態に従って、finFET又はトライゲートデバイスのための、別の自己整合ゲートエッジプロセスの製造手法における、処理工程の断面図及び対応する上面図を示す。FIG. 4 shows a cross-sectional view and corresponding top view of processing steps in another self-aligned gate edge process fabrication approach for a finFET or tri-gate device, in accordance with another embodiment of the present invention.

本発明の1つの実施形態に従って、ピッチ分割でパターニングされたローカルインターコネクト(LI)と共に自己整合ゲート/トレンチコンタクトエンドキャップ(SAGE)を有する半導体デバイスの一部分の断面図を示す。FIG. 4 illustrates a cross-sectional view of a portion of a semiconductor device having a self-aligned gate / trench contact end cap (SAGE) with a local interconnect (LI) patterned with pitch division according to one embodiment of the present invention.

本発明の別の実施形態に従って、自己整合ローカルインターコネクト(SAGELI)と共に自己整合ゲート/トレンチコンタクトエンドキャップ(SAGE)を有する半導体デバイスの一部分の断面図を示す。FIG. 4 illustrates a cross-sectional view of a portion of a semiconductor device having a self-aligned gate / trench contact end cap (SAGE) with a self-aligned local interconnect (SAGELI), in accordance with another embodiment of the present invention.

本発明の一実施形態に従って、自己整合ゲートエンドキャップの製造における様々な工程の斜めから見た3次元断面図を示す。FIG. 3 shows a three-dimensional cross-sectional view from various angles of various steps in the manufacture of a self-aligned gate end cap, according to one embodiment of the present invention. 本発明の一実施形態に従って、自己整合ゲートエンドキャップの製造における様々な工程の斜めから見た3次元断面図を示す。FIG. 3 shows a three-dimensional cross-sectional view from various angles of various steps in the manufacture of a self-aligned gate end cap, according to one embodiment of the present invention. 本発明の一実施形態に従って、自己整合ゲートエンドキャップの製造における様々な工程の斜めから見た3次元断面図を示す。FIG. 3 shows a three-dimensional cross-sectional view from various angles of various steps in the manufacture of a self-aligned gate end cap, according to one embodiment of the present invention. 本発明の一実施形態に従って、自己整合ゲートエンドキャップの製造における様々な工程の斜めから見た3次元断面図を示す。FIG. 3 shows a three-dimensional cross-sectional view from various angles of various steps in the manufacture of a self-aligned gate end cap, according to one embodiment of the present invention. 本発明の一実施形態に従って、自己整合ゲートエンドキャップの製造における様々な工程の斜めから見た3次元断面図を示す。FIG. 3 shows a three-dimensional cross-sectional view from various angles of various steps in the manufacture of a self-aligned gate end cap, according to one embodiment of the present invention. 本発明の一実施形態に従って、自己整合ゲートエンドキャップの製造における様々な工程の斜めから見た3次元断面図を示す。FIG. 3 shows a three-dimensional cross-sectional view from various angles of various steps in the manufacture of a self-aligned gate end cap, according to one embodiment of the present invention. 本発明の一実施形態に従って、自己整合ゲートエンドキャップの製造における様々な工程の斜めから見た3次元断面図を示す。FIG. 3 shows a three-dimensional cross-sectional view from various angles of various steps in the manufacture of a self-aligned gate end cap, according to one embodiment of the present invention. 本発明の一実施形態に従って、自己整合ゲートエンドキャップの製造における様々な工程の斜めから見た3次元断面図を示す。FIG. 3 shows a three-dimensional cross-sectional view from various angles of various steps in the manufacture of a self-aligned gate end cap, according to one embodiment of the present invention.

本発明の一実施形態に従って、ローカルインターコネクト製造用の基礎を提供するための様々な構造的オプションの斜めから見た3次元断面図を示す。FIG. 2 shows a three-dimensional cross-sectional view from the perspective of various structural options for providing a basis for manufacturing a local interconnect, according to one embodiment of the present invention. 本発明の一実施形態に従って、ローカルインターコネクト製造用の基礎を提供するための様々な構造的オプションの斜めから見た3次元断面図を示す。FIG. 2 shows a three-dimensional cross-sectional view from the perspective of various structural options for providing a basis for manufacturing a local interconnect, according to one embodiment of the present invention. 本発明の一実施形態に従って、ローカルインターコネクト製造用の基礎を提供するための様々な構造的オプションの斜めから見た3次元断面図を示す。FIG. 2 shows a three-dimensional cross-sectional view from the perspective of various structural options for providing a basis for manufacturing a local interconnect, according to one embodiment of the present invention. 本発明の一実施形態に従って、ローカルインターコネクト製造用の基礎を提供するための様々な構造的オプションの斜めから見た3次元断面図を示す。FIG. 2 shows a three-dimensional cross-sectional view from the perspective of various structural options for providing a basis for manufacturing a local interconnect, according to one embodiment of the present invention.

本発明の一実施形態に従って、自己整合ゲートエッジ分離を有する非プレーナ型半導体デバイスの断面図を示す。FIG. 4 illustrates a cross-sectional view of a non-planar semiconductor device having self-aligned gate edge isolation according to an embodiment of the present invention.

本発明の一実施形態に従って、図8Aの半導体デバイスのa−a'軸に沿った作られた平面図を示す。FIG. 8B shows a top view made along the aa ′ axis of the semiconductor device of FIG. 8A, in accordance with one embodiment of the present invention.

本発明の1つの実装によるコンピューティングデバイスを示す。1 illustrates a computing device according to one implementation of the invention.

自己整合ゲートエッジ及びローカルインターコネクト構造、並びに、自己整合ゲートエッジ及びローカルインターコネクト構造を製造する方法が説明される。以下の記載において、本発明の複数の実施形態の十分な理解を提供すべく、具体的な統合及び材料形態など、多数の具体的な詳細が説明される。これらの具体的な詳細が無くとも本発明の複数の実施形態が実施され得ることは、当業者には明らかであろう。他の複数の例において、集積回路設計レイアウトなどのよく知られた特徴は、本発明の複数の実施形態を不必要に不明瞭としないように、詳細には説明されていない。さらに、複数の図面に示される様々な実施形態は例示的に表現したものであって、必ずしも原寸に比例して描かれてはいないことが理解されるべきである。   A self-aligned gate edge and local interconnect structure and a method of manufacturing the self-aligned gate edge and local interconnect structure are described. In the following description, numerous specific details are set forth, such as specific integrations and material forms, in order to provide a thorough understanding of embodiments of the present invention. It will be apparent to those skilled in the art that multiple embodiments of the invention may be practiced without these specific details. In other instances, well-known features such as integrated circuit design layout have not been described in detail so as not to unnecessarily obscure embodiments of the present invention. In addition, it should be understood that the various embodiments shown in the drawings are illustrative and are not necessarily drawn to scale.

本発明の1又は複数の実施形態は、複数の半導体構造又はデバイスの複数のゲート電極のうち1又は複数のゲートエッジ構造(例えば、複数のゲート分離領域として)を有する複数の半導体構造又はデバイスに関する。1又は複数の実施形態は、そのようなゲート電極構造のためのローカルインターコネクトの製造に関する。更に、自己整合方式でゲートエッジ分離構造を製造する複数の方法、及び/又は、ローカルインターコネクトを製造する複数の方法も説明される。1又は複数の実施形態において、自己整合ゲートエッジ構造及び/又はローカルインターコネクトは、相補型金属酸化物半導体(CMOS)デバイスに基づいたロジックトランジスタ用に製造される。   One or more embodiments of the present invention relate to a plurality of semiconductor structures or devices having one or more gate edge structures (eg, as a plurality of gate isolation regions) among a plurality of gate electrodes of the plurality of semiconductor structures or devices. . One or more embodiments relate to the fabrication of local interconnects for such gate electrode structures. In addition, methods for fabricating gate edge isolation structures in a self-aligned manner and / or methods for fabricating local interconnects are also described. In one or more embodiments, self-aligned gate edge structures and / or local interconnects are fabricated for logic transistors based on complementary metal oxide semiconductor (CMOS) devices.

状況を説明すると、ゲートエンドキャップ領域及びトレンチコンタクト(TCN)エンドキャップ領域のスケーリングは、トランジスタレイアウト面積及び密度の向上に対して重要な寄与因子である。ゲートエンドキャップ領域及びTCNエンドキャップ領域は、半導体デバイスの拡散領域/フィンからはみ出したゲート及びTCNを指す。一例として、図1は、エンドツーエンド間隔を含むフィンベースの半導体デバイスを含むレイアウト100の平面図を示す。図1を参照すると、第1の半導体デバイス102及び第2の半導体デバイス104は、それぞれ、半導体フィン106及び108に基づいている。各デバイス102及び104は、それぞれ、ゲート電極110または112を有している。更に、各デバイス102及び104は、それぞれフィン106及び108のソース及びドレイン領域において、それぞれトレンチコンタクト(TCN)114及び116を有している。ゲート電極110及び112、並びに、TCN114及び116は、それぞれエンドキャップ領域を有し、エンドキャップ領域は、それぞれ対応するフィン106及び108から離れて配置されている。   To explain the situation, scaling of the gate endcap region and trench contact (TCN) endcap region is an important contributor to improving transistor layout area and density. The gate end cap region and the TCN end cap region refer to the gate and TCN protruding from the diffusion region / fin of the semiconductor device. As an example, FIG. 1 shows a top view of a layout 100 that includes fin-based semiconductor devices that include end-to-end spacing. Referring to FIG. 1, the first semiconductor device 102 and the second semiconductor device 104 are based on semiconductor fins 106 and 108, respectively. Each device 102 and 104 has a gate electrode 110 or 112, respectively. In addition, each device 102 and 104 has a trench contact (TCN) 114 and 116, respectively, in the source and drain regions of the fins 106 and 108, respectively. The gate electrodes 110 and 112 and the TCNs 114 and 116 each have an end cap region, and the end cap regions are disposed away from the corresponding fins 106 and 108, respectively.

改めて図1を参照すると、通常、ゲートエンドキャップ及びTCNエンドキャップの寸法は、最悪ケースのマスクの位置合わせずれでもロバストなトランジスタ動作を保証すべく、マスクの位置合わせ誤差分の余裕を含む必要があり、その結果、エンドツーエンド間隔118が残る。従って、トランジスタレイアウト密度の向上に欠かせない別の重要な設計ルールは、互いに向き合う2つの隣接したエンドキャップの間の間隔である。しかしながら、「エンドキャップ×2+エンドツーエンド間隔」というパラメータは、新たな技術のためのスケーリング要件を満たすべく、リソグラフィパターニングを用いてスケーリングするのがますます難しくなっている。具体的には、マスクの位置合わせ誤差を考慮するのに必要とされる付加的なエンドキャップ長は、TCNとゲート電極との間の重なり合いの長さがより長くなることに起因して、ゲート静電容量の値も増加させ、それによって、製品の動的エネルギー消費を増やして性能を劣化させる。従来の複数の解決法は、エンドキャップの寸法及びエンドキャップ間の間隔の両方の縮小を可能にすべく、位置合わせ余裕度の改善とパターニング又は解像度の向上に重点が置かれていた。   Referring again to FIG. 1, the gate end cap and TCN end cap dimensions typically need to include a margin for mask alignment errors to ensure robust transistor operation even in the worst case mask misalignment. As a result, an end-to-end interval 118 remains. Therefore, another important design rule that is essential for improving transistor layout density is the spacing between two adjacent end caps facing each other. However, the parameter “end cap × 2 + end-to-end spacing” is becoming increasingly difficult to scale using lithographic patterning to meet the scaling requirements for new technologies. Specifically, the additional end cap length required to account for mask alignment errors is due to the longer overlap between the TCN and the gate electrode. Capacitance values are also increased, thereby increasing the dynamic energy consumption of the product and degrading performance. Prior solutions have focused on improving alignment margins and increasing patterning or resolution to allow for a reduction in both end cap dimensions and end cap spacing.

本発明の一実施形態に従って、マスクの位置合わせを何ら考慮する必要もなく、半導体フィンからはみ出た自己整合ゲートエンドキャップ及びTCNのために提供するアプローチが説明される。1つのそのような実施形態において、半導体フィンのエッジ上に使い捨てのスペーサが製造され、これがゲートエンドキャップ及びコンタクトのはみ出し寸法を決定する。スペーサで画定されたエンドキャッププロセスは、ゲートエンドキャップ領域及びTCNエンドキャップ領域が、半導体フィンに対して自己整合されることを可能とし、従って、マスクの位置合わせずれに対処する余分なエンドキャップ長を必要としない。さらに、本明細書で説明されるアプローチは、ゲートエンドキャップ及びTCNエンドキャップ/はみ出し寸法は固定されたままなので、リソグラフィパターニングを以前に必要とされた段階において必要とせず、電気的パラメータのデバイス間バラツキの改善(すなわち、減少)をもたらす。   In accordance with one embodiment of the present invention, an approach provided for self-aligned gate endcaps and TCNs that protrude from the semiconductor fins without the need to consider any mask alignment is described. In one such embodiment, a disposable spacer is fabricated on the edge of the semiconductor fin, which determines the protruding dimensions of the gate end cap and contacts. The end cap process defined by the spacer allows the gate end cap region and the TCN end cap region to be self-aligned with respect to the semiconductor fins, and thus an extra end cap length that addresses mask misalignment. Do not need. Furthermore, the approach described herein does not require lithographic patterning at the previously required stage, since the gate endcap and TCN endcap / overhang dimensions remain fixed, and between electrical parameters of the device. This results in improved (ie reduced) variation.

対照比較を提供すべく、図2A〜図2Dは、従来のfinFET又はトライゲートプロセスの製造手法において重要な複数の処理工程の断面図を示す。これに対して、図3A〜図3Dは、本発明の一実施形態に従った、finFET又はトライゲートデバイスの自己整合ゲートエッジプロセスの製造手法において重要な複数の処理工程の断面図を示す。   To provide a control comparison, FIGS. 2A-2D show cross-sectional views of multiple processing steps that are important in a conventional finFET or tri-gate process fabrication approach. In contrast, FIGS. 3A-3D illustrate cross-sectional views of a number of processing steps that are important in a self-aligned gate edge process manufacturing approach for a finFET or tri-gate device, according to one embodiment of the present invention.

図2Aおよび図3Aを参照すると、バルク単結晶シリコン基板などのバルク半導体基板200または300が提供され、その中にエッチングされた複数のフィン202又は302をそれぞれ有している。一実施形態において、複数のフィンは、バルク基板200又は300の中に直接形成され、そのためバルク基板200又は300と一体的に形成されている。基板200または300内で、複数のシャロートレンチ分離構造が複数のフィンの間に形成され得ることが、理解されるべきである。図3Aを参照すると、窒化シリコンのハードマスク層などのハードマスク層304と、二酸化シリコン層などのパッド酸化膜層306とが、複数のフィン302を形成するパターニングの後に、複数のフィン302の上に残っている。一方、図2Aを参照すると、そのようなハードマスク層及びパッド酸化膜層は除去されている。   Referring to FIGS. 2A and 3A, a bulk semiconductor substrate 200 or 300, such as a bulk single crystal silicon substrate, is provided having a plurality of fins 202 or 302 etched therein, respectively. In one embodiment, the plurality of fins are formed directly in the bulk substrate 200 or 300 and thus are integrally formed with the bulk substrate 200 or 300. It should be understood that a plurality of shallow trench isolation structures can be formed between a plurality of fins in the substrate 200 or 300. Referring to FIG. 3A, a hard mask layer 304, such as a silicon nitride hard mask layer, and a pad oxide layer 306, such as a silicon dioxide layer, are patterned on the plurality of fins 302 after patterning to form the plurality of fins 302. To remain. On the other hand, referring to FIG. 2A, such a hard mask layer and a pad oxide layer are removed.

図2Bを参照すると、複数の半導体フィン202の複数の露出面上にダミー又は恒久的なゲート絶縁体層210が形成され、その結果として生じる構造の上にダミーゲート層212が形成されている。一方、図3Bを参照すると、複数の半導体フィン302の複数の露出面上にダミー又は恒久的なゲート絶縁体層310が形成され、その結果として生じる構造に隣接して複数のダミースペーサ312が形成されている。   Referring to FIG. 2B, a dummy or permanent gate insulator layer 210 is formed on a plurality of exposed surfaces of a plurality of semiconductor fins 202, and a dummy gate layer 212 is formed on the resulting structure. Meanwhile, referring to FIG. 3B, a dummy or permanent gate insulator layer 310 is formed on a plurality of exposed surfaces of a plurality of semiconductor fins 302, and a plurality of dummy spacers 312 are formed adjacent to the resulting structure. Has been.

図2Cを参照すると、ゲートエンドキャップをカットするパターニングが実行され、結果として生じるパターニングされたダミーゲート端部216において、複数の分離領域214が形成される。従来のプロセス手法では、矢印のついた領域218によって図示されるように、ゲートマスクの位置合わせずれを考慮すべく、より大きいゲートエンドキャップが製造されなければならない。一方、図3Cを参照すると、図3Bの構造の上に分離層を提供することによって、例えば、堆積及び平坦化によって、複数の自己整合分離領域314が形成される。1つのそのような実施形態において、自己整合ゲートエンドキャッププロセスは、図2C及び図3Cにおいて比較されるように、マスクの位置合わせ用の余分なスペースを必要としない。   Referring to FIG. 2C, patterning is performed to cut the gate end cap and a plurality of isolation regions 214 are formed at the resulting patterned dummy gate end 216. In the conventional process approach, larger gate end caps must be manufactured to account for misalignment of the gate mask, as illustrated by arrowed area 218. On the other hand, referring to FIG. 3C, by providing an isolation layer over the structure of FIG. 3B, a plurality of self-aligned isolation regions 314 are formed, for example, by deposition and planarization. In one such embodiment, the self-aligned gate endcap process does not require extra space for mask alignment, as compared in FIGS. 2C and 3C.

図2Dを参照すると、図2Cのダミーゲート電極212は、恒久的な複数のゲート電極に置換される。ダミーゲート絶縁体層を使用する場合には、そのようなダミーゲート絶縁体層も、このプロセスにおいて恒久的なゲート絶縁体層によって置換され得る。示された具体例において、第1の半導体フィン202Aの上にN型ゲート電極220を提供し、第2の半導体フィン202Bの上にP型ゲート電極222を提供すべく、デュアルメタルゲートリプレースメントプロセスが実行される。N型ゲート電極220及びP型ゲート電極222は、複数のゲートエッジ分離構造214の間に形成されるが、両方のゲート電極が接触するところにP/N接合224を形成する。P/N接合224の正確な位置は、矢印のついた領域226によって図示されるように、位置合わせずれに応じて変わり得る。   Referring to FIG. 2D, the dummy gate electrode 212 of FIG. 2C is replaced with a plurality of permanent gate electrodes. If a dummy gate insulator layer is used, such a dummy gate insulator layer can also be replaced by a permanent gate insulator layer in this process. In the illustrated example, a dual metal gate replacement process is provided to provide an N-type gate electrode 220 on the first semiconductor fin 202A and a P-type gate electrode 222 on the second semiconductor fin 202B. Executed. The N-type gate electrode 220 and the P-type gate electrode 222 are formed between the plurality of gate edge isolation structures 214, but form a P / N junction 224 where both gate electrodes are in contact. The exact position of the P / N junction 224 can vary depending on misalignment, as illustrated by the region 226 with arrows.

一方、図3Dを参照すると、ハードマスク層304及びパッド酸化膜層306が除去され、図3Cの複数のダミースペーサ314が恒久的な複数のゲート電極に置換される。ダミーゲート絶縁体層を使用する場合には、そのようなダミーゲート絶縁体層も、このプロセスにおいて恒久的なゲート絶縁体層によって置換され得る。示された具体例において、第1の半導体フィン302Aの上にN型ゲート電極320を提供し、第2の半導体フィン302Bの上にP型ゲート電極322を提供すべく、デュアルメタルゲートリプレースメントプロセスが実行される。N型ゲート電極320及びP型ゲート電極322は、複数のゲートエッジ分離構造314の間に形成され、またそれらによって分離されてもいる。   Meanwhile, referring to FIG. 3D, the hard mask layer 304 and the pad oxide layer 306 are removed, and the plurality of dummy spacers 314 in FIG. 3C are replaced with a plurality of permanent gate electrodes. If a dummy gate insulator layer is used, such a dummy gate insulator layer can also be replaced by a permanent gate insulator layer in this process. In the illustrated example, a dual metal gate replacement process is provided to provide an N-type gate electrode 320 on the first semiconductor fin 302A and a P-type gate electrode 322 on the second semiconductor fin 302B. Executed. The N-type gate electrode 320 and the P-type gate electrode 322 are formed between the plurality of gate edge isolation structures 314 and are also separated by them.

改めて図2Dを参照すると、N型ゲート電極220とP型ゲート電極222とを接触させて、P/N接合224の周囲に導電経路を提供すべく、ローカルインターコネクト240が製造され得る。同様に、図3Dを参照すると、N型ゲート電極320とP型ゲート電極322とを接触させて、そこの間に介在する分離構造314の上に導電経路を提供すべく、ローカルインターコネクト340が製造され得る。図2D及び図3Dの両方を参照すると、ハードマスク242又は342が、それぞれローカルインターコネクト240又は340上に形成され得る。   Referring again to FIG. 2D, the local interconnect 240 may be fabricated to contact the N-type gate electrode 220 and the P-type gate electrode 222 to provide a conductive path around the P / N junction 224. Similarly, referring to FIG. 3D, a local interconnect 340 is fabricated to bring the N-type gate electrode 320 and the P-type gate electrode 322 into contact and provide a conductive path over the isolation structure 314 interposed therebetween. obtain. With reference to both FIG. 2D and FIG. 3D, a hard mask 242 or 342 may be formed on the local interconnect 240 or 340, respectively.

別の態様において、ハードマスク及びパッド酸化膜層は、ダミースペーサ及び複数の自己整合ゲートエッジ分離構造の製造プロセス全体にわたり、パターニングされた複数のフィンの上に保持されないことがある。そのため、複数のダミースペーサの高さに対して複数の半導体フィンの高さは、別の方法で差異化される必要があり得る。一例として、図4A〜図4Gは、本発明の別の実施形態に従った、finFET又はトライゲートデバイスのための別の自己整合ゲートエッジプロセスの製造手法における、複数の処理工程の断面図及び対応する上面図を示す。   In another aspect, the hard mask and pad oxide layers may not be retained on the patterned fins throughout the manufacturing process of the dummy spacer and the plurality of self-aligned gate edge isolation structures. Therefore, the height of the plurality of semiconductor fins may need to be differentiated by another method with respect to the height of the plurality of dummy spacers. As an example, FIGS. 4A-4G are cross-sectional views and corresponding views of multiple processing steps in another self-aligned gate edge process manufacturing technique for a finFET or tri-gate device, according to another embodiment of the present invention. FIG.

図4Aを参照すると、バルク単結晶シリコン基板などのバルク半導体基板400が提供され、その中にエッチングされた複数のフィン402を有している。一実施形態において、複数のフィン402は、バルク基板400の中に直接形成され、そのためバルク基板400と一体的に形成されている。基板400内で、複数のシャロートレンチ分離構造が複数のフィンの間に形成され得ることが、理解されるべきである。1つの実施形態において、図4Aに図示されるように、ハードマスク層及びパッド酸化膜層など、複数のフィン402をパターニングすることによる複数のアーティファクトは除去されている。   Referring to FIG. 4A, a bulk semiconductor substrate 400, such as a bulk single crystal silicon substrate, is provided having a plurality of fins 402 etched therein. In one embodiment, the plurality of fins 402 are formed directly in the bulk substrate 400 and thus are integrally formed with the bulk substrate 400. It should be understood that a plurality of shallow trench isolation structures can be formed between a plurality of fins within the substrate 400. In one embodiment, as illustrated in FIG. 4A, multiple artifacts due to patterning of multiple fins 402, such as hard mask layers and pad oxide layers, have been removed.

図4Bを参照すると、複数のフィン402の複数の側壁に沿って、複数のダミースペーサ404が形成される。一実施形態において、最終的に複数のフィン402の表面を露出させる堆積及びエッチングプロセスによって、複数のダミースペーサ404が形成される。複数のフィン402は、複数のダミースペーサ404を形成する前に、例えば、ダミーゲート絶縁体層の堆積又は成長によって保護されてもよいことが理解されるべきである。1つの実施形態において、複数のフィン402は二酸化シリコン層で保護された複数のシリコンフィンであり、複数のダミースペーサは窒化シリコン又は同様の材料で製造される。しかしながら、別の実施形態において、複数のフィン402は、この段階で保護されていない。   Referring to FIG. 4B, a plurality of dummy spacers 404 are formed along a plurality of side walls of the plurality of fins 402. In one embodiment, the plurality of dummy spacers 404 is formed by a deposition and etching process that ultimately exposes the surfaces of the plurality of fins 402. It should be understood that the plurality of fins 402 may be protected prior to forming the plurality of dummy spacers 404, for example, by deposition or growth of a dummy gate insulator layer. In one embodiment, the plurality of fins 402 are a plurality of silicon fins protected with a silicon dioxide layer, and the plurality of dummy spacers are made of silicon nitride or similar material. However, in another embodiment, the plurality of fins 402 are not protected at this stage.

図4Cを参照すると、図4Bの構造の複数の開口領域に、複数の分離構造406が形成されている。一実施形態において、図4Bの構造の上に絶縁体膜を堆積し、次にそれを(例えば、化学機械研磨により)平坦化することによって、複数の分離領域406が形成される。特定の実施形態において、複数の分離構造は、限定されないが、酸化シリコン、窒化シリコン、炭化シリコン、又はこれらの組み合わせなどの材料で構成される。   Referring to FIG. 4C, a plurality of isolation structures 406 are formed in the plurality of open regions of the structure of FIG. 4B. In one embodiment, a plurality of isolation regions 406 are formed by depositing an insulator film over the structure of FIG. 4B and then planarizing it (eg, by chemical mechanical polishing). In certain embodiments, the plurality of isolation structures are comprised of a material such as, but not limited to, silicon oxide, silicon nitride, silicon carbide, or combinations thereof.

図4Dを参照すると、複数のフィン402は、複数の分離構造406の高さに対して、また複数のダミースペーサ404の高さに対してリセスされている。一実施形態において、リセスは、選択エッチングプロセスを用いることによって実行される。1つのそのような実施形態において、複数のフィン402上に最初に形成された保護膜層が、複数のフィン402をリセスする前又はリセスする間に除去される。   Referring to FIG. 4D, the plurality of fins 402 are recessed with respect to the height of the plurality of separation structures 406 and the height of the plurality of dummy spacers 404. In one embodiment, the recess is performed by using a selective etch process. In one such embodiment, the overcoat layer initially formed on the plurality of fins 402 is removed before or while the plurality of fins 402 are recessed.

図4Eを参照すると、図4Dの構造から、複数のダミースペーサ404が除去される。一実施形態において、除去は選択エッチングプロセスを用いることによって実行される。1つのそのような実施形態において、複数の分離構造406は酸化シリコンで構成され、複数のフィン402はシリコンで構成され、選択的に除去された複数のダミースペーサは窒化シリコンで構成される。   Referring to FIG. 4E, a plurality of dummy spacers 404 are removed from the structure of FIG. 4D. In one embodiment, the removal is performed by using a selective etching process. In one such embodiment, the plurality of isolation structures 406 are composed of silicon oxide, the plurality of fins 402 are composed of silicon, and the plurality of selectively removed dummy spacers are composed of silicon nitride.

図4Fを参照すると、複数のダミースペーサ404が除去された位置に、そしてリセスされた複数のフィン402の表面に沿って、ゲート電極スタック408が形成される。一実施形態において、ゲート電極スタック408は、高誘電率のゲート絶縁体層などのコンフォーマルなゲート絶縁体層410と、メタルゲート電極412とを含む。図4Fの断面図は、図4Fの上面図のa−a'軸に沿って作られている。しかしながら、上面図は、複数のフィン402の全体を示すべく、構造の中に幾分深く入って作られていることが、理解されるべきである。実際には、上面図において、メタルゲート材料412は複数のフィン402を覆うであろう。   Referring to FIG. 4F, a gate electrode stack 408 is formed at the position where the plurality of dummy spacers 404 are removed and along the surface of the plurality of recessed fins 402. In one embodiment, the gate electrode stack 408 includes a conformal gate insulator layer 410, such as a high dielectric constant gate insulator layer, and a metal gate electrode 412. The cross-sectional view of FIG. 4F is made along the aa ′ axis of the top view of FIG. 4F. However, it should be understood that the top view is made somewhat deep into the structure to show the entire plurality of fins 402. In practice, the metal gate material 412 will cover the plurality of fins 402 in a top view.

図4Gを参照すると、複数のゲート電極スタック408に隣接し、リセスされた複数のフィン402の表面に沿って、複数のトレンチコンタクト414が形成される。一実施形態において、複数のトレンチコンタクト414は、複数のフィン402内のソース及びドレイン領域と接触させるためのものであり、複数の絶縁体スペーサ416によって複数のゲート電極スタック408から分離されている。図4Gの断面図は、図4Gの上面図のb−b'軸に沿って作られている。しかしながら、上面図は、複数のフィン402の全体を示すべく、構造の中に幾分深く入って作られていることが、理解されるべきである。実際には、上面図において、トレンチコンタクト414は複数のフィン402を覆っている。   Referring to FIG. 4G, a plurality of trench contacts 414 are formed along the surface of the recessed plurality of fins 402 adjacent to the plurality of gate electrode stacks 408. In one embodiment, the plurality of trench contacts 414 are in contact with the source and drain regions in the plurality of fins 402 and are separated from the plurality of gate electrode stacks 408 by a plurality of insulator spacers 416. The cross-sectional view of FIG. 4G is made along the bb ′ axis of the top view of FIG. 4G. However, it should be understood that the top view is made somewhat deep into the structure to show the entire plurality of fins 402. Actually, in the top view, the trench contact 414 covers the plurality of fins 402.

別の態様において、改めて図3Dを参照すると、一実施形態において、上記の複数のアプローチのうち1又は複数は、隣接するゲート電極及びTCN電極を連結すべく、ゲート及びトレンチコンタクト(TCN)の上方に付加的なローカルインターコネクト層(LI)を必要とする。1つのそのような実施形態において、そのようなローカルインターコネクトは、コンタクト−ゲート間(CTG)の短絡を引き起こすことなく、ゲート及びTCNに重なる必要がある。そのため、ローカルインターコネクトの製造は、十分なCTG短絡マージンを有して、ゲートピッチの1/2で複数のラインをパターニングするとともに、ロバストなLI−TCN間コンタクトを維持することが必要になり得る。したがって、LIとゲート又はTCNとの間の位置合わせは、別の難しいパターニングの問題である。そのため、本発明の一実施形態に従って、上記の懸念に対処すべく、マスクの位置合わせを何ら考慮する必要もなくゲート及びTCNに自己整合する複数のローカルインターコネクトラインの製造手法が提供される。本アプローチは、ダミーゲート及びハードマスクを含み、自己整合ゲートエンドキャップの上方に延在する、より高いスタックに沿ったスペーサの製造を伴う。1つのそのような実施形態において、複数のスペーサは、ゲート及びコンタクトを分離する、連続的な複数の自己整合壁として機能する。対照的なエッチング特性を持つ2つの付加的な絶縁体材料が、ゲート−LI(LIG)間及びTCN−LI(LIT)間の領域を選択的に開口することを可能にさせるハードマスクとして使用され得る。   In another aspect, referring again to FIG. 3D, in one embodiment, one or more of the above approaches may be performed above a gate and trench contact (TCN) to connect adjacent gate and TCN electrodes. Requires an additional local interconnect layer (LI). In one such embodiment, such a local interconnect needs to overlap the gate and TCN without causing a contact-to-gate (CTG) short circuit. As such, local interconnect manufacturing may require a sufficient CTG short margin, patterning multiple lines at half the gate pitch, and maintaining robust LI-TCN contacts. Thus, alignment between LI and gate or TCN is another difficult patterning problem. Thus, according to one embodiment of the present invention, a method for manufacturing a plurality of local interconnect lines that self-align to the gate and TCN is provided to address the above concerns without having to consider any mask alignment. This approach involves the manufacture of spacers along the higher stack, including dummy gates and hard masks, extending above the self-aligned gate end cap. In one such embodiment, the plurality of spacers function as a continuous plurality of self-aligning walls that separate the gates and contacts. Two additional insulator materials with contrasting etch characteristics are used as hard masks that allow the selective opening of the gate-LI (LIG) and TCN-LI (LIT) regions. obtain.

一例として、図5Aは、本発明の1つの実施形態に従って、ピッチ分割でパターニングされたローカルインターコネクト(LI)を伴う自己整合ゲート/トレンチコンタクトエンドキャップ(SAGE)を有する半導体デバイスの一部分の断面図を示す。一方、図5Bは、本発明の別の実施形態に従って、自己整合ローカルインターコネクト(SAGELI)を伴う自己整合ゲート/トレンチコンタクトエンドキャップ(SAGE)を有する半導体デバイスの一部分の断面図を示す。   As an example, FIG. 5A illustrates a cross-sectional view of a portion of a semiconductor device having a self-aligned gate / trench contact endcap (SAGE) with a pitch-patterned local interconnect (LI) according to one embodiment of the invention. Show. FIG. 5B, on the other hand, illustrates a cross-sectional view of a portion of a semiconductor device having a self-aligned gate / trench contact endcap (SAGE) with a self-aligned local interconnect (SAGELI), according to another embodiment of the present invention.

図5Aを参照すると、半導体デバイス500Aは半導体フィン502を含む。複数の低い自己整合分離構造504は、交互に並ぶゲート506及びトレンチコンタクト508の領域を分離する。複数の上部分離構造510は、交互に並ぶトレンチコンタクトローカルインターコネクト512及びゲートローカルインターコネクト514を分離する。図5Aに示されるように、トレンチコンタクトローカルインターコネクト512及びゲートローカルインターコネクト514は、位置合わせずれに適応すべく、ピッチ分割パターニングによって形成される。図5Aに図示されるように、複数の絶縁体キャップは、トレンチコンタクトローカルインターコネクト512及びゲートローカルインターコネクト514上に形成され得ることも、理解されるべきである。   Referring to FIG. 5A, the semiconductor device 500 A includes semiconductor fins 502. A plurality of low self-aligned isolation structures 504 isolate regions of alternating gates 506 and trench contacts 508. A plurality of upper isolation structures 510 separate the alternating trench contact local interconnect 512 and gate local interconnect 514. As shown in FIG. 5A, trench contact local interconnect 512 and gate local interconnect 514 are formed by pitch division patterning to accommodate misalignment. It should also be understood that multiple insulator caps may be formed on the trench contact local interconnect 512 and the gate local interconnect 514, as illustrated in FIG. 5A.

図5Bを参照すると、半導体デバイス500Bは半導体フィン552を含む。複数の高い自己整合分離構造554は、交互に並ぶゲート556及びトレンチコンタクト558の領域を分離する。同一の複数の分離構造554も、交互に並ぶトレンチコンタクトローカルインターコネクト562及びゲートローカルインターコネクト564を分離する。トレンチコンタクトローカルインターコネクト562及びゲートローカルインターコネクト564は、図5Aの場合に必要とされたような付加的なリソグラフィ作業を用いることなく形成される。図5Bに図示されるように、複数の絶縁体キャップは、トレンチコンタクトローカルインターコネクト562及びゲートローカルインターコネクト564上に形成され得ることが理解されるべきである。一実施形態において、トレンチコンタクトローカルインターコネクト512及びゲートローカルインターコネクト514は、異なる段階で製造され、それぞれを形成するプロセスは、トレンチコンタクトローカルインターコネクト512及びゲートローカルインターコネクト514の実際の恒久的な材料と置換する前に、プレースホルダとしてのプラグ/ハードマスク層の使用をうまく利用していることも理解されるべきである。さらに、図5Bでは全ての位置がゲートローカルインターコネクト又はトレンチコンタクトローカルインターコネクトを有するとして示されているが、全ての位置がローカルインターコネクトのために選択される必要はない。選択されない複数の位置において、絶縁体プラグ又はハードマスクは残り得る(すなわち、特定の複数の位置において、除去のために選択されない)。   Referring to FIG. 5B, the semiconductor device 500B includes semiconductor fins 552. A plurality of high self-aligned isolation structures 554 separate alternating gate 556 and trench contact 558 regions. A plurality of identical isolation structures 554 also isolate alternating trench contact local interconnects 562 and gate local interconnects 564. The trench contact local interconnect 562 and the gate local interconnect 564 are formed without using additional lithography operations as required in the case of FIG. 5A. It should be understood that a plurality of insulator caps can be formed on the trench contact local interconnect 562 and the gate local interconnect 564, as illustrated in FIG. 5B. In one embodiment, the trench contact local interconnect 512 and the gate local interconnect 514 are manufactured at different stages, and the process of forming each replaces the actual permanent material of the trench contact local interconnect 512 and the gate local interconnect 514. It should also be understood that the use of the plug / hard mask layer as a placeholder has been exploited before. Further, although all locations are shown in FIG. 5B as having gate local interconnects or trench contact local interconnects, not all locations need be selected for local interconnects. At locations that are not selected, the insulator plug or hard mask may remain (ie, not selected for removal at specific locations).

本発明の一実施形態に従って、例示的な複数のアプローチとして、図6A〜図6Hは、複数の自己整合ゲートエンドキャップの製造における様々な工程の斜めから見た3次元断面図を示す。本発明の一実施形態に従って、図7A〜図7Dは、ローカルインターコネクト製造の基礎を提供するための様々な構造的オプションの、斜めから見た3次元断面図を示す。   In accordance with an embodiment of the present invention, as an exemplary approach, FIGS. 6A-6H show three-dimensional cross-sectional views from different perspectives of various processes in the manufacture of multiple self-aligned gate endcaps. In accordance with one embodiment of the present invention, FIGS. 7A-7D show oblique three-dimensional cross-sectional views of various structural options for providing a basis for local interconnect manufacturing.

図6Aを参照すると、複数の半導体フィン602が、単結晶シリコン基板などの基板600をパターニングすることによって形成され、パターニングは、ピッチ2分割パターニング又はピッチ4分割パターニングを含む。さらに、フィン602をパターニングする間に、保護膜層604、ダミーゲート層606(多結晶シリコン層など)、及びハードマスク層608がパターニングされる。   Referring to FIG. 6A, a plurality of semiconductor fins 602 are formed by patterning a substrate 600, such as a single crystal silicon substrate, and the patterning includes pitch 2-division patterning or pitch 4-division patterning. Further, while the fin 602 is patterned, the protective film layer 604, the dummy gate layer 606 (polycrystalline silicon layer, etc.), and the hard mask layer 608 are patterned.

図6Bを参照すると、図6Aの構造の上に、シャロートレンチ分離(STI)層610が形成される。一実施形態において、STI層610は二酸化シリコン層を含み、化学気相成長(CVD)、そして次に化学機械平坦化(CMP)によって形成される。1つの実施形態において、図6Bに示されるように、STI構造はライナー絶縁体層612を含む。   Referring to FIG. 6B, a shallow trench isolation (STI) layer 610 is formed on the structure of FIG. 6A. In one embodiment, the STI layer 610 includes a silicon dioxide layer and is formed by chemical vapor deposition (CVD) and then chemical mechanical planarization (CMP). In one embodiment, the STI structure includes a liner insulator layer 612, as shown in FIG. 6B.

図6Cを参照すると、図6BのSTI層610はSTI構造614(ライナー絶縁体層を含み得る)を形成すべくリセスされ、その結果として生じる構造上にダミーゲート絶縁体層616が形成される。1つのそのような実施形態において、ダミーゲート絶縁体層616は堆積によって形成され、図示されるように、STI構造614上にさらに堆積される。さらに、これも図示されているが、ハードマスク層608は除去され得る。   Referring to FIG. 6C, the STI layer 610 of FIG. 6B is recessed to form an STI structure 614 (which may include a liner insulator layer), and a dummy gate insulator layer 616 is formed on the resulting structure. In one such embodiment, the dummy gate insulator layer 616 is formed by deposition and further deposited on the STI structure 614 as shown. Further, although also illustrated, the hard mask layer 608 can be removed.

図6Dを参照すると、図6Cの構造の複数の突起部の複数の側壁に沿って、複数のスペーサ618が形成される。一実施形態において、複数のスペーサ618は、堆積プロセス、そして次に異方性エッチングプロセスによって形成される。1つのそのような実施形態において、複数のスペーサは、堆積された多結晶シリコンで構成されている。特定の複数のフィン602の間の間隔に応じて、スペーサ618は、他の全てのスペーサから分離していることもあれば、別のスペーサと一体的になっていることもある。一例として、部分620Aは分離したスペーサ618Aを有し、これに対して部分620Bは連続したスペーサ618Bの対を含む。従って、図6A〜図6Dを一括して参照すると、finFETの「帽子(Hat)」及びダミーゲートポリは、標準的なピッチ分割フィンパターニングプロセスを用いてパターニングされ、スペーサは、パターニングされた複数のフィン−ポリピラーの両側に形成される。   Referring to FIG. 6D, a plurality of spacers 618 are formed along the plurality of sidewalls of the plurality of protrusions of the structure of FIG. 6C. In one embodiment, the plurality of spacers 618 are formed by a deposition process and then an anisotropic etch process. In one such embodiment, the plurality of spacers is comprised of deposited polycrystalline silicon. Depending on the spacing between a particular plurality of fins 602, the spacer 618 may be separate from all other spacers or may be integral with another spacer. As an example, portion 620A has separate spacers 618A, whereas portion 620B includes a pair of continuous spacers 618B. Accordingly, referring collectively to FIGS. 6A-6D, the finFET “hat” and dummy gate poly are patterned using a standard pitch division fin patterning process, and the spacers Formed on both sides of the fin-polypillar.

図6Eを参照すると、複数のトランジスタ分離を形成すべく、図6Dの複数のスペーサ618の間の複数の間隙は絶縁体材料層622で充填される。一実施形態において、絶縁体材料は窒化シリコン材料で構成される。   Referring to FIG. 6E, a plurality of gaps between the plurality of spacers 618 in FIG. 6D are filled with an insulator material layer 622 to form a plurality of transistor isolations. In one embodiment, the insulator material is comprised of a silicon nitride material.

図6Fを参照すると、絶縁体材料層622は(例えば、CMPにより)平坦化されて、ダミーゲート層606及び対応する複数のスペーサ618を露出させる。一実施形態において、ダミーゲート層606及び対応する複数のスペーサ618は両方とも、多結晶シリコンで構成される。このプロセスは、自己整合エンドキャップ分離壁624を形成する。   Referring to FIG. 6F, the insulator material layer 622 is planarized (eg, by CMP) to expose the dummy gate layer 606 and the corresponding plurality of spacers 618. In one embodiment, the dummy gate layer 606 and the corresponding plurality of spacers 618 are both composed of polycrystalline silicon. This process forms a self-aligned end cap separation wall 624.

図6Gを参照すると、図6Hの構造上に、第2のダミー層及びハードマスクのスタック、又は高いハードマスクだけが、堆積され、そしてピッチ分割ゲートパターニングを用いてパターニングされる(両方の場合とも、図6Gにおいて部材626として示されている)。一実施形態において、ダミー層及びハードマスクのスタックが使用される場合には、ダミー層は多結晶シリコンで構成される。   Referring to FIG. 6G, on the structure of FIG. 6H, a second dummy layer and hard mask stack, or only a high hard mask, is deposited and patterned using pitch division gate patterning (both in both cases). 6G, shown as member 626). In one embodiment, if a dummy layer and hardmask stack is used, the dummy layer is comprised of polycrystalline silicon.

図6Hを参照すると、ハードマスク層626、分離壁624、及びfinFETの「帽子(Hat)」616(例えば、ダミーゲート絶縁体層から残っている)に対して選択的な異方性エッチングが実行されて、パターニングされた複数のラインと結果として生じる複数のエンドキャップ分離ケージ628との間に、真っすぐに伸びた形状を提供する。示されていないが、ゲートスペーサ形成、N型又はP型のソース・ドレイン形成、及びゲート電極置換(例えば、高誘電率/メタルゲートで)の後に、複数のトレンチコンタクトを提供すべく、導電性材料が複数のエンドキャップ分離ケージ628の中に形成される。複数の分離壁が十分な高さである場合には、自己整合された複数のローカルインターコネクトラインが製造され得る。その複数の例が、図7C及び図7Dに関連して以下に説明される。   Referring to FIG. 6H, selective anisotropic etching is performed on hard mask layer 626, isolation wall 624, and finFET “hat” 616 (eg, remaining from the dummy gate insulator layer). Thus, a straightened shape is provided between the patterned lines and the resulting end cap isolation cage 628. Although not shown, a conductive layer is provided to provide multiple trench contacts after gate spacer formation, N-type or P-type source / drain formation, and gate electrode replacement (eg, with a high dielectric constant / metal gate). A material is formed in the plurality of end cap isolation cages 628. If the plurality of separation walls are sufficiently high, a plurality of self-aligned local interconnect lines can be produced. Several examples are described below in connection with FIGS. 7C and 7D.

初期比較を提供すべく、図7Aは、自己整合ゲートエッジ分離のない基本構造700Aの斜めから見た3次元断面図を示す。図7Aを参照すると、複数のフィン702Aは、ダミーゲート層704Aと、その上にパターニングされた対応するハードマスク706Aとを有する。次の複数のローカルインターコネクトの製造において、ピッチ分割パターニング方式が用いられる必要があるであろう。   To provide an initial comparison, FIG. 7A shows a three-dimensional cross-sectional view of the base structure 700A without self-aligned gate edge separation as seen from an angle. Referring to FIG. 7A, the plurality of fins 702A have a dummy gate layer 704A and a corresponding hard mask 706A patterned thereon. In the next production of multiple local interconnects, a pitch division patterning scheme will need to be used.

図7Bは、自己整合ゲートエッジ分離を有する基本構造700Bの斜めから見た3次元断面図を示す。図7Bを参照すると、複数のフィン702Bは、ダミーゲート層704Bと、その上にパターニングされた対応するハードマスク706Bとを有する。複数の自己整合ゲートエッジ分離構造708Bは、複数のフィン702Bの様々なグループの間に形成される。しかしながら、ハードマスク706Bは、複数の自己整合ゲートエッジ分離構造708Bと比較すると比較的低い。そのため、次の複数のローカルインターコネクトの製造において、ピッチ分割パターニング方式が用いられる必要があるであろう。   FIG. 7B shows a three-dimensional cross-sectional view of the basic structure 700B having self-aligned gate edge separation as seen from an angle. Referring to FIG. 7B, the plurality of fins 702B have a dummy gate layer 704B and a corresponding hard mask 706B patterned thereon. A plurality of self-aligned gate edge isolation structures 708B are formed between various groups of the plurality of fins 702B. However, hard mask 706B is relatively low compared to multiple self-aligned gate edge isolation structures 708B. Therefore, a pitch division patterning scheme will need to be used in the next manufacturing of multiple local interconnects.

図7Cは、自己整合ゲートエッジ分離を有する基本構造700Cの斜めから見た3次元断面図を示す。図7Cを参照すると、複数のフィン702Cは、ダミーゲート層704Cと、対応する第2のダミー層705Cと、その上にパターニングされた対応するハードマスク706Cとを有する。複数の自己整合ゲートエッジ分離構造708Cは、複数のフィン702Cの様々なグループの間に形成される。第2のダミー層705Cと合わせたハードマスク706Cの高さは、自己整合ゲートエッジ分離構造708Cと比較すると比較的高い。そのため、次のローカルインターコネクトの製造において、自己整合ローカルインターコネクト手法が用いられ得る。   FIG. 7C shows a three-dimensional cross-sectional view of the base structure 700C with self-aligned gate edge separation as seen from an angle. Referring to FIG. 7C, the plurality of fins 702C include a dummy gate layer 704C, a corresponding second dummy layer 705C, and a corresponding hard mask 706C patterned thereon. A plurality of self-aligned gate edge isolation structures 708C are formed between various groups of the plurality of fins 702C. The height of the hard mask 706C combined with the second dummy layer 705C is relatively high compared to the self-aligned gate edge isolation structure 708C. Therefore, a self-aligned local interconnect technique can be used in the next local interconnect manufacturing.

図7Dは、自己整合ゲートエッジ分離を有する基本構造700Dの斜めから見た3次元断面図を示す。図7Dを参照すると、複数のフィン702Dは、ダミーゲート層704Dと、その上にパターニングされた対応する高いハードマスク706Dとを有する。複数の自己整合ゲートエッジ分離構造708Dは、複数のフィン702Dの様々なグループの間に形成される。高いハードマスク706Dの高さは、複数の自己整合ゲートエッジ分離構造708Dと比較すると比較的高い。そのため、次のローカルインターコネクトの製造において、自己整合ローカルインターコネクト手法が用いられ得る。   FIG. 7D shows a three-dimensional cross-sectional view of the base structure 700D with self-aligned gate edge separation as seen from an angle. Referring to FIG. 7D, the plurality of fins 702D have a dummy gate layer 704D and a corresponding high hard mask 706D patterned thereon. A plurality of self-aligned gate edge isolation structures 708D are formed between various groups of the plurality of fins 702D. The height of the high hard mask 706D is relatively high compared to the plurality of self-aligned gate edge isolation structures 708D. Therefore, a self-aligned local interconnect technique can be used in the next local interconnect manufacturing.

より一般的には、本明細書で説明される1又は複数の実施形態は、面積をスケーリングする、容量を減少させる、及び/又は、ゲートカットのマスクなどの様々な重要なフロントエンドのマスクを削除するための手段を提供する。1つのそのような実施形態において、最小トランジスタの幅は、本明細書で説明される複数のアプローチのうち1又は複数を実装することによって、最大30%まで縮小され得る。トランジスタ寸法をより小さくすると、ゲートとTCNとの間の容量、及び他の複数の寄生容量を減少させる。複数のエンドキャップ、コンタクト、及びローカルインターコネクトラインを形成するのに、余分なマスク工程は必要とされないので、標準的なプロセスにおいてそのような複数の構造に必要とされる多くのマスクが省かれる。   More generally, one or more embodiments described herein may include various important front-end masks such as area scaling, capacitance reduction, and / or gate cut masks. Provides a means for deletion. In one such embodiment, the minimum transistor width can be reduced by up to 30% by implementing one or more of the approaches described herein. Smaller transistor dimensions reduce the capacitance between the gate and the TCN and other parasitic capacitances. Since no extra mask steps are required to form multiple end caps, contacts, and local interconnect lines, many masks required for such multiple structures in a standard process are omitted.

より具体的には、上述された1又は複数の実施形態の複数の主要な特徴は、次の3つの事項のうち1又は複数を含み得る。(1)ゲートエンドキャップは、フィンエッジから分離エッジまでの距離である。この距離はスペーサ幅によって画定され、全てのトランジスタで同一寸法である。エンドキャップを画定するのにリソグラフィパターニングは何ら必要とされないので、エンドキャップにおいてマスクの位置合わせを考慮する必要はない。(2)フィンからのTCNのはみ出しはスペーサ幅によって決定され、マスクの位置合わせによって影響を及ぼされることもない。(3)複数のローカルインターコネクトラインは、トランジスタの分離壁の上方にある複数のゲートパターニングラインを利用することにより、ゲート及びTCNに対して自己整合され、一度に選択的に開口され得る3つの別個のハードマスクを形成する。複数の実施形態は、7nmノードの世代に適用可能であり、例えば、トランジスタレイアウト密度及びゲート静電容量を向上させ(動的エネルギーおよび性能の向上)、マスクの合計枚数を減少させ得る。   More specifically, the plurality of main features of the one or more embodiments described above may include one or more of the following three items. (1) The gate end cap is the distance from the fin edge to the separation edge. This distance is defined by the spacer width and is the same size for all transistors. Since no lithographic patterning is required to define the end cap, there is no need to consider mask alignment in the end cap. (2) The protrusion of TCN from the fin is determined by the spacer width and is not affected by the alignment of the mask. (3) The multiple local interconnect lines are self-aligned with respect to the gate and TCN by utilizing multiple gate patterning lines above the isolation walls of the transistor and can be selectively opened at one time. Forming a hard mask. Embodiments are applicable to the 7 nm node generation, for example, improving transistor layout density and gate capacitance (improving dynamic energy and performance) and reducing the total number of masks.

上記の例示的加工手法の結果として生じる複数の構造は、PMOS及びNMOSデバイス製造などのデバイス製造を完成させるべく、次の複数の加工作業に同一又は類似の形態で使用され得ることが理解されるべきである。完成したデバイスの一例として、図8A及び図8Bは、本発明の一実施形態に従って、また図3Dに関連して説明された構造上に製造することとして、自己整合ゲートエッジ分離を有する非プレーナ型半導体デバイスの、それぞれ断面図及び平面図(断面図のa−a'軸に沿って作られている)を示す。   It will be appreciated that the structures resulting from the above exemplary processing techniques can be used in the same or similar form in subsequent processing operations to complete device manufacturing, such as PMOS and NMOS device manufacturing. Should. As an example of a completed device, FIGS. 8A and 8B show a non-planar type with self-aligned gate edge isolation as manufactured according to one embodiment of the invention and on the structure described in connection with FIG. 3D. FIG. 2 shows a cross-sectional view and a plan view (made along the aa ′ axis of the cross-sectional view), respectively, of the semiconductor device.

図8Aを参照すると、半導体構造又はデバイス800は、基板802で形成され、分離領域806内に形成された非プレーナ型アクティブ領域(例えば、突き出たフィン部分804、及びサブフィン領域805を含むフィン構造)を含む。複数のゲート構造808が、非プレーナ型アクティブ領域の複数の突起部804の上、並びに、分離領域806の一部の上に配置される。示されるように、複数のゲート構造808は、ゲート電極850及びゲート絶縁体層852を含む。1つの実施形態において、示されないが、複数のゲート構造808は絶縁体キャップ層も含み得る。複数のゲート構造808は、自己整合ゲートエッジ分離構造820によって分離される。ローカルインターコネクト854は、隣接する複数のゲート構造808を連結する。ゲートコンタクト814及び上に重なるゲートコンタクトビア816は、上に重なるメタルインターコネクト860と共にこの透視図からも見られ、これら全ては層間絶縁体スタック又は層870に配置される。図8Aの透視図からも見られるように、1つの実施形態において、ゲートコンタクト814は複数の非プレーナ型アクティブ領域の上に配置される。図8Aにも図示されるように、複数の突き出たフィン部分804のドーピングプロファイルと複数のサブフィン領域805との間に、境界880が存在するが、他の複数の実施形態は、これらの領域の間のドーピングプロファイルにそのような境界を含まない。   Referring to FIG. 8A, a semiconductor structure or device 800 is formed of a substrate 802 and a non-planar active region formed in an isolation region 806 (eg, a fin structure including protruding fin portions 804 and sub-fin regions 805). including. A plurality of gate structures 808 are disposed on the plurality of protrusions 804 in the non-planar active region and on a portion of the isolation region 806. As shown, the plurality of gate structures 808 includes a gate electrode 850 and a gate insulator layer 852. In one embodiment, although not shown, the plurality of gate structures 808 may also include an insulator cap layer. The plurality of gate structures 808 are separated by a self-aligned gate edge isolation structure 820. A local interconnect 854 connects a plurality of adjacent gate structures 808. The gate contact 814 and the overlying gate contact via 816 are also seen from this perspective along with the overlying metal interconnect 860, all of which are located in the interlayer insulator stack or layer 870. As can also be seen from the perspective view of FIG. 8A, in one embodiment, the gate contact 814 is disposed over a plurality of non-planar active regions. As also illustrated in FIG. 8A, there is a boundary 880 between the doping profile of the plurality of protruding fin portions 804 and the plurality of sub-fin regions 805, although other embodiments may include these regions. The doping profile between does not include such a boundary.

図8Bを参照すると、複数のゲート構造808が、複数の突き出たフィン部分804の上に配置され、複数の自己整合ゲートエッジ分離構造820によって分離されるように示されている。複数の突き出たフィン部分804の、ソース及びドレイン領域804A及び804Bがこの透視図に示されるが、これらの領域は複数のトレンチコンタクト構造と重なり合うことが理解されるべきである。1つの実施形態において、ソース及びドレイン領域804Aおよび804Bは、複数の突き出たフィン部分804の元の材料のドープされた部分である。別の実施形態において、複数の突き出たフィン部分804の材料は除去されて、例えばエピタキシャル成長によって、別の半導体材料に置換される。いずれの場合でも、ソース及びドレイン領域804A及び804Bは、絶縁体層806の高さより下に、すなわちサブフィン領域805内に延在し得る。   Referring to FIG. 8B, a plurality of gate structures 808 are shown disposed over the plurality of protruding fin portions 804 and separated by a plurality of self-aligned gate edge isolation structures 820. Although source and drain regions 804A and 804B of a plurality of protruding fin portions 804 are shown in this perspective, it should be understood that these regions overlap with a plurality of trench contact structures. In one embodiment, the source and drain regions 804A and 804B are doped portions of the original material of the plurality of protruding fin portions 804. In another embodiment, the material of the plurality of protruding fin portions 804 is removed and replaced with another semiconductor material, for example, by epitaxial growth. In any case, the source and drain regions 804A and 804B may extend below the height of the insulator layer 806, ie, into the subfin region 805.

一実施形態において、半導体構造又はデバイス800は、限定されないが、finFET又はトライゲートデバイスなどの非プレーナ型デバイスである。そのような一実施形態において、対応する半導体のチャネル領域は3次元物体で構成されるか、又は3次元物体に形成される。1つのそのような実施形態において、複数のゲート構造808は、3次元物体の少なくとも最上面及び側壁の対を取り囲む。   In one embodiment, the semiconductor structure or device 800 is a non-planar device such as, but not limited to, a finFET or a tri-gate device. In one such embodiment, the corresponding semiconductor channel region is composed of or formed in a three-dimensional object. In one such embodiment, a plurality of gate structures 808 surround at least the top and sidewall pairs of the three-dimensional object.

基板802は、製造プロセスに耐え得る、かつ電荷が移動し得る半導体材料で構成され得る。一実施形態において、基板802は、アクティブ領域804を形成すべく、限定されないが、リン、ヒ素、ホウ素、又はこれらの組み合わせなどの電荷担体でドープされた結晶シリコン、シリコン/ゲルマニウム、又はゲルマニウム層で構成されたバルク基板である。1つの実施形態において、バルク基板802のシリコン原子濃度は97%より高い。別の実施形態において、バルク基板802は、別個の結晶基板の上に成長されたエピタキシャル層で構成される。例えば、ホウ素をドープされたバルクシリコン単結晶基板の上に成長されたシリコンエピタキシャル層である。バルク基板802は、代わりにIII−V族材料で構成されてよい。一実施形態において、バルク基板802は、限定されないが、窒化ガリウム、ガリウムリン、ガリウムヒ素、インジウムリン、インジウムアンチモン、インジウムガリウムヒ素、アルミニウムガリウムヒ素、インジウムガリウムリン、又はこれらの組み合わせなどのIII−V族材料で構成される。1つの実施形態において、バルク基板802はIII−V族材料で構成され、電荷担体ドーパント不純物原子は、限定されないが、炭素、シリコン、ゲルマニウム、酸素、硫黄、セレン、又はテルルなどである。   The substrate 802 can be made of a semiconductor material that can withstand the manufacturing process and transfer charges. In one embodiment, the substrate 802 is a crystalline silicon, silicon / germanium, or germanium layer doped with a charge carrier such as, but not limited to, phosphorus, arsenic, boron, or combinations thereof to form the active region 804. A configured bulk substrate. In one embodiment, the silicon atom concentration in the bulk substrate 802 is greater than 97%. In another embodiment, the bulk substrate 802 is comprised of an epitaxial layer grown on a separate crystal substrate. For example, a silicon epitaxial layer grown on a boron-doped bulk silicon single crystal substrate. Bulk substrate 802 may instead be composed of a III-V material. In one embodiment, the bulk substrate 802 is a III-V such as, but not limited to, gallium nitride, gallium phosphide, gallium arsenide, indium phosphide, indium antimony, indium gallium arsenide, aluminum gallium arsenide, indium gallium phosphide, or combinations thereof. Composed of family materials. In one embodiment, the bulk substrate 802 is composed of a III-V material and the charge carrier dopant impurity atoms include, but are not limited to, carbon, silicon, germanium, oxygen, sulfur, selenium, or tellurium.

分離領域806は、最終的には、下にあるバルク基板から恒久的なゲート構造の複数の部分を電気的に分離するか、又はこれらの分離に寄与する、あるいはフィンの複数のアクティブ領域を分離するなど、下にあるバルク基板内に形成されたアクティブ領域を分離する好適な材料で構成され得る。例えば、1つの実施形態において、分離領域806は、限定されないが、二酸化シリコン、酸窒化シリコン、窒化シリコン、又は炭素ドープ窒化シリコンなどの絶縁体材料で構成される。   The isolation region 806 ultimately electrically isolates or contributes to the isolation of portions of the permanent gate structure from the underlying bulk substrate or isolates the active regions of the fins. Or may be composed of a suitable material that separates the active areas formed in the underlying bulk substrate. For example, in one embodiment, the isolation region 806 is comprised of an insulator material such as, but not limited to, silicon dioxide, silicon oxynitride, silicon nitride, or carbon doped silicon nitride.

複数の自己整合ゲートエッジ分離構造820は、最終的には恒久的な複数のゲート構造の部分を互いから電気的に分離するか、又はこれらの分離に寄与する好適な材料で構成され得る。例えば、1つの実施形態において、分離領域806は、限定されないが、二酸化シリコン、酸窒化シリコン、窒化シリコン、又は炭素ドープ窒化シリコンなどの絶縁体材料で構成される。   The plurality of self-aligned gate edge isolation structures 820 may ultimately be constructed of suitable materials that electrically isolate or contribute to the isolation of portions of the plurality of gate structures from each other. For example, in one embodiment, the isolation region 806 is comprised of an insulator material such as, but not limited to, silicon dioxide, silicon oxynitride, silicon nitride, or carbon doped silicon nitride.

複数のゲート構造808は、ゲート絶縁体層852及びゲート電極層850を含むゲート電極スタックで構成され得る。一実施形態において、ゲート電極スタックのゲート電極はメタルゲートで構成され、ゲート絶縁体層は高誘電率材料で構成される。例えば、1つの実施形態において、ゲート絶縁体層は、限定されないが、酸化ハフニウム、酸窒化ハフニウム、ケイ酸ハフニウム、酸化ランタン、酸化ジルコニウム、ケイ酸ジルコニウム、酸化タンタル、チタン酸バリウムストロンチウム、チタン酸バリウム、チタン酸ストロンチウム、酸化イットリウム、酸化アルミニウム、タンタル酸鉛スカンジウム、亜鉛ニオブ酸鉛、又はこれらの組み合わせなどの材料で構成される。さらに、ゲート絶縁体層の一部は、基板802のいくらかの最上層から形成された自然酸化物の層を含み得る。一実施形態において、ゲート絶縁体層は、上の高誘電率部分と半導体材料の酸化物で構成される下の部分とで構成される。1つの実施形態において、ゲート絶縁体層は、酸化ハフニウムの上部と、二酸化シリコン又は酸窒化シリコンの下部とで構成される。   The plurality of gate structures 808 can be comprised of a gate electrode stack that includes a gate insulator layer 852 and a gate electrode layer 850. In one embodiment, the gate electrode of the gate electrode stack is comprised of a metal gate and the gate insulator layer is comprised of a high dielectric constant material. For example, in one embodiment, the gate insulator layer is not limited to hafnium oxide, hafnium oxynitride, hafnium silicate, lanthanum oxide, zirconium oxide, zirconium silicate, tantalum oxide, barium strontium titanate, barium titanate. , Strontium titanate, yttrium oxide, aluminum oxide, lead scandium tantalate, lead zinc niobate, or combinations thereof. Further, a portion of the gate insulator layer may include a native oxide layer formed from some top layer of the substrate 802. In one embodiment, the gate insulator layer is comprised of an upper high dielectric constant portion and a lower portion comprised of an oxide of semiconductor material. In one embodiment, the gate insulator layer is composed of an upper portion of hafnium oxide and a lower portion of silicon dioxide or silicon oxynitride.

1つの実施形態において、ゲート電極は、限定されないが、金属窒化物、金属炭化物、金属ケイ化物、金属アルミニウム化物、ハフニウム、ジルコニウム、チタン、タンタル、アルミニウム、ルテニウム、パラジウム、プラチナ、コバルト、ニッケル、又は導電性金属酸化物などの金属層で構成される。特定の実施形態において、ゲート電極は、金属の仕事関数を設定する層の上方に形成された仕事関数を設定しない充填材料で構成される。   In one embodiment, the gate electrode is not limited to metal nitride, metal carbide, metal silicide, metal aluminide, hafnium, zirconium, titanium, tantalum, aluminum, ruthenium, palladium, platinum, cobalt, nickel, or It is composed of a metal layer such as a conductive metal oxide. In certain embodiments, the gate electrode is comprised of a filler material that does not set the work function formed above the layer that sets the work function of the metal.

複数のゲート電極スタックと関連した複数のスペーサは、最終的には、複数の自己整合コンタクトなどの隣接する複数の導電性コンタクトから恒久的なゲート構造を電気的に分離するか、又はこの分離に寄与する好適な材料で構成され得る。例えば、1つの実施形態において、複数のスペーサは、限定されないが、二酸化シリコン、酸窒化シリコン、窒化シリコン、又は炭素ドープ窒化シリコンなどの絶縁体材料で構成される。   The plurality of spacers associated with the plurality of gate electrode stacks ultimately isolate or permanently isolate the permanent gate structure from a plurality of adjacent conductive contacts, such as a plurality of self-aligned contacts. It can be composed of suitable contributing materials. For example, in one embodiment, the plurality of spacers is comprised of an insulator material such as, but not limited to, silicon dioxide, silicon oxynitride, silicon nitride, or carbon-doped silicon nitride.

ローカルインターコネクト854、ゲートコンタクト814、上にあるゲートコンタクトビア816は、導電性材料で構成され得る。一実施形態において、複数のコンタクト又は複数のビアのうち1又は複数は、金属種で構成される。金属種は、タングステン、ニッケル、コバルトなどの純粋な金属であってよく、あるいは金属間合金又は金属半導体合金(例えば、シリサイド材料など)などの合金であってもよい。ハードマスク層は、ゲートコンタクト814がその上に配置されない位置において、ローカルインターコネクト854上に配置され得ることが理解されるべきである。さらに、ローカルインターコネクト854は、リソグラフィパターニングによって製造され得るか、又は他の実施形態では、自己整合ゲートエッジ分離構造820のより高さがある構造に沿うように、自己整合インターコネクト構造として製造され得る。   The gate interconnect via 816 overlying the local interconnect 854, the gate contact 814, may be composed of a conductive material. In one embodiment, one or more of the plurality of contacts or the plurality of vias is made of a metal species. The metal species may be a pure metal such as tungsten, nickel, cobalt, or may be an alloy such as an intermetallic alloy or a metal semiconductor alloy (eg, a silicide material). It should be understood that the hard mask layer may be disposed on the local interconnect 854 at a location where the gate contact 814 is not disposed thereon. Further, the local interconnect 854 can be manufactured by lithographic patterning, or in other embodiments, can be manufactured as a self-aligned interconnect structure along the higher-level structure of the self-aligned gate edge isolation structure 820.

一実施形態において(示されないが)、構造800を提供することは、既存のゲートパターンと実質的に完全に位置合わせされたコンタクトパターンの形成を含むが、非常に厳しい位置合わせ余裕度を伴うリソグラフィ工程の使用を削除する。1つのそのような実施形態において、このアプローチは、本質的に高選択性のウェットエッチング(例えば、従来実施されたドライエッチング又はプラズマエッチングに対して)の使用を可能にして、複数のコンタクト開口部を生成する。一実施形態において、コンタクトパターンは、コンタクトプラグのリソグラフィ作業との組み合わせにおいて、既存のゲートパターンを利用することによって形成される。1つのそのような実施形態において、本アプローチは、従来の複数のアプローチに使用されるような、コンタクトパターンを生成するための別のきわどいリソグラフィ作業の必要性の排除を可能にする。一実施形態において、トレンチコンタクトグリッドは別個にパターニングされるのではなく、むしろ複数のポリ(ゲート)ラインの間に形成される。例えば、1つのそのような実施形態において、トレンチコンタクトグリッドは、ゲート格子パターニング後だが、ゲート格子カット前に形成される。   In one embodiment (not shown), providing structure 800 includes forming a contact pattern that is substantially perfectly aligned with an existing gate pattern, but with very tight alignment margins. Delete the use of the process. In one such embodiment, this approach allows for the use of an inherently highly selective wet etch (eg, over conventional dry or plasma etches) to provide multiple contact openings. Is generated. In one embodiment, the contact pattern is formed by utilizing an existing gate pattern in combination with a contact plug lithography operation. In one such embodiment, this approach allows for the elimination of the need for another critical lithographic operation to generate the contact pattern, as used in conventional approaches. In one embodiment, the trench contact grid is not patterned separately, but rather is formed between a plurality of poly (gate) lines. For example, in one such embodiment, the trench contact grid is formed after gate grid patterning but before the gate grid cut.

さらに、複数のゲート構造808は、リプレースメントゲートプロセスによって製造され得る。そのような手法において、ポリシリコン又は窒化シリコンピラー材料などのダミーゲート材料は、除去されて恒久的なゲート電極材料と置換され得る。1つのそのような実施形態において、恒久的なゲート絶縁体層も、初期の加工から存続しているのとは異なり、このプロセスにおいて形成される。一実施形態において、複数のダミーゲートは、ドライエッチングプロセス又はウェットエッチングプロセスによって除去される。1つの実施形態において、ダミーゲートは、多結晶シリコン又は非晶質シリコンで構成され、SFの使用を含むドライエッチングプロセスを用いて除去される。別の実施形態において、複数のダミーゲートは、多結晶シリコン又は非晶質シリコンで構成され、含水NHOH又は水酸化テトラメチルアンモニウムの使用を含むウェットエッチングプロセスを用いて除去される。1つの実施形態において、複数のダミーゲートは窒化シリコンで構成され、含水リン酸を含むウェットエッチングを用いて除去される。 Further, the plurality of gate structures 808 can be manufactured by a replacement gate process. In such an approach, a dummy gate material such as polysilicon or silicon nitride pillar material can be removed and replaced with a permanent gate electrode material. In one such embodiment, a permanent gate insulator layer is also formed in this process, unlike surviving from initial processing. In one embodiment, the plurality of dummy gates are removed by a dry etch process or a wet etch process. In one embodiment, the dummy gate is composed of polycrystalline silicon or amorphous silicon and is removed using a dry etching process including the use of SF 6 . In another embodiment, the plurality of dummy gates are composed of polycrystalline silicon or amorphous silicon and are removed using a wet etch process that includes the use of hydrous NH 4 OH or tetramethylammonium hydroxide. In one embodiment, the plurality of dummy gates are composed of silicon nitride and are removed using a wet etch containing hydrous phosphoric acid.

一実施形態において、本明細書で説明された1又は複数のアプローチは、構造800に到達すべく、ダミー/リプレースメントコンタクトプロセスと組み合わせて、ダミー/リプレースメントゲートプロセスを実質的に意図している。1つのそのような実施形態において、恒久的なゲートスタックの少なくとも一部の高温アニールを可能にすべく、リプレースメントコンタクトプロセスは、リプレースメントゲートプロセスの後に実行される。例えば、そのような特定の一実施形態において、恒久的な複数のゲート構造のうち少なくとも一部のアニールは、例えばゲート絶縁体層が形成された後に、約600℃より高い温度で実行される。アニールは、恒久的な複数のコンタクトの形成前に実行される。   In one embodiment, one or more approaches described herein are substantially intended for a dummy / replacement gate process in combination with a dummy / replacement contact process to arrive at structure 800. In one such embodiment, the replacement contact process is performed after the replacement gate process to allow high temperature annealing of at least a portion of the permanent gate stack. For example, in one such specific embodiment, annealing of at least some of the permanent plurality of gate structures is performed at a temperature greater than about 600 ° C., for example, after the gate insulator layer is formed. Annealing is performed before the formation of permanent contacts.

改めて図8Aを参照すると、一実施形態において、半導体デバイスは、アクティブ領域の上に形成されたゲート電極の複数の部分と接触する複数のコンタクト構造を有する。概して、ゲートのアクティブ部分の上、かつトレンチコンタクトビアと同一の層に、ゲートコンタクト構造(ビアなど)を形成する前に(例えば、それを形成することに加えて)、本発明の1又は複数の実施形態は最初に、ゲート整合トレンチコンタクトプロセスを用いることを含む。そのようなプロセスは、半導体構造の製造、例えば集積回路の製造のための複数のトレンチコンタクト構造を形成すべく、実装され得る。一実施形態において、トレンチコンタクトパターンは、既存のゲートパターンと整合するように形成される。一方、従来の複数のアプローチでは、通常、選択性コンタクトエッチングと組み合わせた、既存のゲートパターンに対するリソグラフィコンタクトパターンの厳しい位置合わせを伴った付加的なリソグラフィプロセスを含む。例えば、従来プロセスでは、別個のコンタクト構造のパターニングと共に、ポリ(ゲート)グリッドのパターニングを含み得る。   Referring again to FIG. 8A, in one embodiment, the semiconductor device has a plurality of contact structures that contact portions of the gate electrode formed over the active region. In general, one or more of the present invention before forming (eg, in addition to) forming a gate contact structure (such as a via) over the active portion of the gate and in the same layer as the trench contact via This embodiment initially involves using a gate aligned trench contact process. Such a process can be implemented to form a plurality of trench contact structures for the manufacture of semiconductor structures, eg, integrated circuits. In one embodiment, the trench contact pattern is formed to match an existing gate pattern. On the other hand, conventional approaches typically involve an additional lithographic process with strict alignment of the lithographic contact pattern to the existing gate pattern in combination with selective contact etching. For example, conventional processes can include patterning of poly (gate) grids along with patterning of separate contact structures.

図9は、本発明の1つの実装による、コンピューティングデバイス900を示す。コンピューティングデバイス900は、ボード902を収容する。ボード902は、限定されないが、プロセッサ904と少なくとも1つの通信チップ906とを含む、複数のコンポーネントを含み得る。プロセッサ904は、物理的かつ電気的にボード902に連結される。いくつかの実施例において、少なくとも1つの通信チップ906も、物理的かつ電気的にボード902に連結される。更なる複数の実施例において、通信チップ906はプロセッサ904の一部である。   FIG. 9 illustrates a computing device 900 according to one implementation of the invention. Computing device 900 houses board 902. The board 902 can include a plurality of components including, but not limited to, a processor 904 and at least one communication chip 906. The processor 904 is physically and electrically coupled to the board 902. In some embodiments, at least one communication chip 906 is also physically and electrically coupled to the board 902. In further embodiments, communication chip 906 is part of processor 904.

その複数の用途に応じて、コンピューティングデバイス900は、物理的かつ電気的にボード902に連結されても、されなくてもよい複数の他のコンポーネントを含んでよい。これらの他のコンポーネントは、限定されないが、揮発性メモリ(例えば、DRAM)、不揮発性メモリ(例えば、ROM)、フラッシュメモリ、グラフィックプロセッサ、デジタル信号プロセッサ、暗号プロセッサ、チップセット、アンテナ、ディスプレイ、タッチスクリーンディスプレイ、タッチスクリーンコントローラ、バッテリ、オーディオコーデック、ビデオコーデック、出力増幅器、全地球測位システム(GPS)デバイス、コンパス、加速度計、ジャイロスコープ、スピーカ、カメラ、及び、大容量記憶装置(ハードディスクドライブ、コンパクトディスク(CD)、デジタル多用途ディスク(DVD)など)を含む。   Depending on its multiple applications, computing device 900 may include multiple other components that may or may not be physically and electrically coupled to board 902. These other components include, but are not limited to, volatile memory (eg, DRAM), non-volatile memory (eg, ROM), flash memory, graphic processor, digital signal processor, cryptographic processor, chipset, antenna, display, touch Screen display, touch screen controller, battery, audio codec, video codec, output amplifier, global positioning system (GPS) device, compass, accelerometer, gyroscope, speaker, camera, and mass storage device (hard disk drive, compact Disc (CD), digital versatile disc (DVD), etc.).

通信チップ906は、コンピューティングデバイス900との間でデータを転送するための無線通信を可能にする。「無線」という用語およびその複数の派生語は、非固体の媒体を介して、変調された電磁放射を使用することによってデータを通信し得る複数の回路、デバイス、システム、方法、技術、通信チャネルなどを説明するのに用いられ得る。この用語は、複数の関連デバイスに有線がいっさい含まれていないことを示唆するものではないが、いくつかの実施形態においてはそうではないこともあり得る。通信チップ906は、限定されないが、Wi−Fi(IEEE802.11ファミリー)、WiMAX(IEEE802.16ファミリー)、IEEE802.20、ロングタームエボリューション(LTE)、Ev−DO、HSPA+、HSDPA+、HSUPA+、EDGE、GSM(登録商標)、GPRS、CDMA、TDMA、DECT、Bluetooth(登録商標)、これらの派生物、並びに、3G、4G、5G及び以降に指定された任意の他の複数の無線プロトコルを含む複数の無線規格または無線プロトコルのいずれかを実装し得る。コンピューティングデバイス900は、複数の通信チップ906を含み得る。例えば、第1の通信チップ906は、Wi−Fi(登録商標)及びBluetooth(登録商標)などの複数の近距離無線通信に専用化されてもよく、第2の通信チップ906は、GPS、EDGE、GPRS、CDMA、WiMAX(登録商標)、LTE、Ev−DOおよびその他などの複数の長距離無線通信に専用化されてもよい。   The communication chip 906 enables wireless communication for transferring data to and from the computing device 900. The term “wireless” and its derivatives refer to multiple circuits, devices, systems, methods, technologies, communication channels that can communicate data by using modulated electromagnetic radiation over a non-solid medium. And so on. This term does not imply that the associated devices do not include any wires, but in some embodiments it may not. The communication chip 906 includes, but is not limited to, Wi-Fi (IEEE802.11 family), WiMAX (IEEE802.16 family), IEEE802.20, Long Term Evolution (LTE), Ev-DO, HSPA +, HSDPA +, HSUPA +, EDGE, Multiple, including GSM (R), GPRS, CDMA, TDMA, DECT, Bluetooth (R), their derivatives, and 3G, 4G, 5G and any other wireless protocols specified below Either a wireless standard or a wireless protocol may be implemented. The computing device 900 may include a plurality of communication chips 906. For example, the first communication chip 906 may be dedicated to a plurality of short-range wireless communication such as Wi-Fi (registered trademark) and Bluetooth (registered trademark), and the second communication chip 906 may include GPS, EDGE. , GPRS, CDMA, WiMAX (registered trademark), LTE, Ev-DO and others may be dedicated to multiple long-range wireless communications.

コンピューティングデバイス900のプロセッサ904は、プロセッサ904内にパッケージ化された集積回路ダイを含む。本発明のいくつかの実施例において、プロセッサの集積回路ダイは、本発明の複数の実施例に従って構築された複数のMOS−FETトランジスタなどの1又は複数のデバイスを含む。「プロセッサ」という用語は、複数のレジスタ及び/又はメモリからの電子データを処理して、当該電子データを複数のレジスタ及び/又はメモリに格納され得る他の電子データに変換する、任意のデバイス又はデバイスの一部分を指し得る。   The processor 904 of the computing device 900 includes an integrated circuit die packaged within the processor 904. In some embodiments of the present invention, a processor integrated circuit die includes one or more devices, such as a plurality of MOS-FET transistors, constructed in accordance with embodiments of the present invention. The term “processor” refers to any device or device that processes electronic data from multiple registers and / or memories and converts the electronic data into other electronic data that can be stored in the multiple registers and / or memories. Can refer to a portion of the device.

通信チップ906も、通信チップ906内にパッケージ化された集積回路ダイを含む。本発明の別の実施例に従うと、通信チップの集積回路ダイは、本発明の複数の実施例に従って構築された複数のMOS−FETトランジスタなどの1又は複数のデバイスを含む。   Communication chip 906 also includes an integrated circuit die packaged within communication chip 906. According to another embodiment of the present invention, an integrated circuit die of a communication chip includes one or more devices, such as a plurality of MOS-FET transistors, constructed according to embodiments of the present invention.

更なる複数の実施例において、コンピューティングデバイス900内に収容された別のコンポーネントは、本発明の複数の実施例に従って構築された複数のMOS−FETトランジスタなどの1又は複数のデバイスを含む集積回路ダイを含み得る。   In further embodiments, another component housed within computing device 900 is an integrated circuit that includes one or more devices, such as a plurality of MOS-FET transistors, constructed in accordance with embodiments of the present invention. A die may be included.

様々な実施例において、コンピューティングデバイス900は、ラップトップ、ネットブック、ノートブック、ウルトラブック、スマートフォン、タブレット、携帯情報端末(PDA)、ウルトラモバイルPC、携帯電話、デスクトップコンピュータ、サーバ、プリンタ、スキャナ、モニタ、セットトップボックス、エンターテイメントコントロールユニット、デジタルカメラ、携帯音楽プレイヤ、又はデジタルビデオレコーダであってよい。更なる複数の実施例において、コンピューティングデバイス900は、データを処理する任意の他の電子デバイスであってもよい。   In various embodiments, the computing device 900 may be a laptop, netbook, notebook, ultrabook, smartphone, tablet, personal digital assistant (PDA), ultra mobile PC, mobile phone, desktop computer, server, printer, scanner. , Monitors, set-top boxes, entertainment control units, digital cameras, portable music players, or digital video recorders. In further embodiments, computing device 900 may be any other electronic device that processes data.

従って、本発明の複数の実施形態は、自己整合ゲートエッジ及びローカルインターコネクト構造、並びに、自己整合ゲートエッジ及びローカルインターコネクト構造を製造する方法を含む。   Accordingly, embodiments of the present invention include self-aligned gate edge and local interconnect structures and methods for fabricating self-aligned gate edge and local interconnect structures.

一実施形態において、半導体構造は、基板の上方に配置され、かつ第1の方向に長さを有する半導体フィンを含む。ゲート構造は、半導体フィンの上に配置され、第1の方向と直交する第2の方向に、第2の端部と向かい合う第1の端部を有する。ゲートエッジ分離構造の対は、半導体フィンを中央とする。ゲートエッジ分離構造の対のうち第1のゲートエッジ分離構造は、ゲート構造の第1の端部に直接隣接して配置され、ゲートエッジ分離構造の対のうち第2のゲートエッジ分離構造は、ゲート構造の第2の端部に直接隣接して配置される。   In one embodiment, the semiconductor structure includes a semiconductor fin disposed over the substrate and having a length in a first direction. The gate structure is disposed on the semiconductor fin and has a first end facing the second end in a second direction orthogonal to the first direction. The pair of gate edge isolation structures is centered on the semiconductor fin. The first gate edge isolation structure of the pair of gate edge isolation structures is disposed directly adjacent to the first end of the gate structure, and the second gate edge isolation structure of the pair of gate edge isolation structures is: Located directly adjacent to the second end of the gate structure.

1つの実施形態において、半導体構造は、ゲート構造の両側の半導体フィン中に配置されたソース及びドレイン領域をさらに含む。第1のトレンチコンタクトはソース領域の上に配置され、第2のトレンチコンタクトはドレイン領域の上に配置される。第1のトレンチコンタクト及び第2のトレンチコンタクトのそれぞれは、第2の方向に第2の端部と向かい合う第1の端部を有する。ゲートエッジ分離構造の対のうち第1のゲートエッジ分離構造は、第1のトレンチコンタクトの第1の端部及び第2のトレンチコンタクトの第1の端部に直接隣接して配置される。ゲートエッジ分離構造の対のうち第2のゲートエッジ分離構造は、第1のトレンチコンタクトの第2の端部及び第2のトレンチコンタクトの第2の端部に直接隣接して配置される。   In one embodiment, the semiconductor structure further includes source and drain regions disposed in the semiconductor fins on both sides of the gate structure. The first trench contact is disposed on the source region, and the second trench contact is disposed on the drain region. Each of the first trench contact and the second trench contact has a first end facing the second end in a second direction. The first gate edge isolation structure of the pair of gate edge isolation structures is disposed directly adjacent to the first end of the first trench contact and the first end of the second trench contact. The second gate edge isolation structure of the pair of gate edge isolation structures is disposed directly adjacent to the second end of the first trench contact and the second end of the second trench contact.

1つの実施形態において、半導体構造は、基板の上方に配置され、かつ第1の方向に長さを有する第2の半導体フィンをさらに含み、第2の半導体フィンは第1の半導体フィンから離間している。第2のゲート構造は第2の半導体フィンの上に配置され、第2のゲート構造は第2の方向に第2の端部と向かい合う第1の端部を有する。ゲートエッジ分離構造の対のうち第2のゲートエッジ分離構造は、第2のゲート構造の第1の端部に直接隣接して配置される。第3のゲートエッジ分離構造は、第2のゲート構造の第2の端部に直接隣接して配置される。第3のゲートエッジ分離構造及びゲートエッジ分離構造の対のうち第2のゲートエッジ分離構造は、第2の半導体フィンを中央とする。   In one embodiment, the semiconductor structure further includes a second semiconductor fin disposed above the substrate and having a length in a first direction, the second semiconductor fin being spaced apart from the first semiconductor fin. ing. The second gate structure is disposed on the second semiconductor fin, and the second gate structure has a first end facing the second end in a second direction. The second gate edge isolation structure of the pair of gate edge isolation structures is disposed directly adjacent to the first end of the second gate structure. The third gate edge isolation structure is disposed directly adjacent to the second end of the second gate structure. Of the pair of the third gate edge isolation structure and the gate edge isolation structure, the second gate edge isolation structure has the second semiconductor fin at the center.

1つの実施形態において、半導体構造は、第1及び第2のゲート構造の上方に配置され、かつこれらを電気的に連結するローカルインターコネクトをさらに含む。   In one embodiment, the semiconductor structure further includes a local interconnect disposed above and electrically connecting the first and second gate structures.

1つの実施形態において、ローカルインターコネクトは、ゲートエッジ分離構造の対及び第3のゲートエッジ分離構造と自己整合される。   In one embodiment, the local interconnect is self-aligned with a pair of gate edge isolation structures and a third gate edge isolation structure.

1つの実施形態において、ゲート構造はN型ゲート構造であり、第2のゲート構造はP型ゲート構造である。   In one embodiment, the gate structure is an N-type gate structure and the second gate structure is a P-type gate structure.

1つの実施形態において、ゲート構造は、高誘電率ゲート絶縁体層及びメタルゲート電極を含む。   In one embodiment, the gate structure includes a high dielectric constant gate insulator layer and a metal gate electrode.

1つの実施形態において、ゲートエッジ分離構造の対は、限定されないが、酸化シリコン、窒化シリコン、炭化シリコン、又はこれらの組み合わせなどの材料で構成される。   In one embodiment, the pair of gate edge isolation structures is comprised of a material such as, but not limited to, silicon oxide, silicon nitride, silicon carbide, or combinations thereof.

一実施形態において、半導体構造は、基板の上方に配置され、かつ長さを有する半導体フィンを含む。交互に並ぶソース/ドレイン領域及びチャネル領域は、半導体フィンの長さの中に配置され、それぞれのソース/ドレイン領域は、半導体フィンの上に配置された関連トレンチコンタクトを有し、それぞれのチャネル領域は、半導体フィンの上に配置された関連ゲート構造を有する。半導体構造は、複数のゲートエッジ分離構造も含む。隣接するトレンチコンタクト及びゲート構造は、複数のゲートエッジ分離構造のうち1つのゲートエッジ分離構造によって分離される。ゲートローカルインターコネクトは、複数のゲート構造のうち1つの上方、かつ複数のゲートエッジ分離構造の対の間に配置される。   In one embodiment, the semiconductor structure includes a semiconductor fin disposed over the substrate and having a length. Alternating source / drain regions and channel regions are disposed within the length of the semiconductor fin, each source / drain region having an associated trench contact disposed over the semiconductor fin, and each channel region Has an associated gate structure disposed over the semiconductor fin. The semiconductor structure also includes a plurality of gate edge isolation structures. Adjacent trench contacts and gate structures are separated by one gate edge isolation structure among a plurality of gate edge isolation structures. The gate local interconnect is disposed above one of the plurality of gate structures and between a pair of gate edge isolation structures.

1つの実施形態において、半導体構造は、ゲートローカルインターコネクト上に配置された絶縁体キャップをさらに含み、絶縁体キャップは、複数のゲートエッジ分離構造の対の間に配置される。   In one embodiment, the semiconductor structure further includes an insulator cap disposed on the gate local interconnect, the insulator cap being disposed between the plurality of pairs of gate edge isolation structures.

1つの実施形態において、半導体構造は、複数のトレンチコンタクトのうち1つの上方、かつ複数のゲートエッジ分離構造の第2の対の間に配置されたトレンチコンタクトローカルインターコネクトをさらに含む。   In one embodiment, the semiconductor structure further includes a trench contact local interconnect disposed over one of the plurality of trench contacts and between the second pair of gate edge isolation structures.

1つの実施形態において、半導体構造は、トレンチコンタクトローカルインターコネクト上に配置された絶縁体キャップをさらに含み、絶縁体キャップは複数のゲートエッジ分離構造の第2の対の間に配置される。   In one embodiment, the semiconductor structure further includes an insulator cap disposed on the trench contact local interconnect, the insulator cap being disposed between the second pair of gate edge isolation structures.

1つの実施形態において、それぞれのゲート構造は、高誘電率ゲート絶縁体層及びメタルゲート電極を含む。   In one embodiment, each gate structure includes a high dielectric constant gate insulator layer and a metal gate electrode.

1つの実施形態において、複数のゲートエッジ分離構造のそれぞれは、限定されないが、酸化シリコン、窒化シリコン、炭化シリコン、又はこれらの組み合わせなどの材料で構成される。   In one embodiment, each of the plurality of gate edge isolation structures is comprised of a material such as, but not limited to, silicon oxide, silicon nitride, silicon carbide, or combinations thereof.

一実施形態において、半導体構造は、基板の上方に配置され、かつ長さを有する半導体フィンを含む。交互に並ぶソース/ドレイン領域及びチャネル領域は、半導体フィンの長さの中に配置され、それぞれのソース/ドレイン領域は、半導体フィンの上に配置された関連トレンチコンタクトを有し、それぞれのチャネル領域は、半導体フィンの上に配置された関連ゲート構造を有する。半導体構造は、複数のゲートエッジ分離構造も含む。隣接するトレンチコンタクト及びゲート構造は、複数のゲートエッジ分離構造のうち1つのゲートエッジ分離構造によって分離される。トレンチコンタクトローカルインターコネクトは、複数のトレンチコンタクトのうち1つの上方、かつ複数のゲートエッジ分離構造の対の間に配置される。   In one embodiment, the semiconductor structure includes a semiconductor fin disposed over the substrate and having a length. Alternating source / drain regions and channel regions are disposed within the length of the semiconductor fin, each source / drain region having an associated trench contact disposed over the semiconductor fin, and each channel region Has an associated gate structure disposed over the semiconductor fin. The semiconductor structure also includes a plurality of gate edge isolation structures. Adjacent trench contacts and gate structures are separated by one gate edge isolation structure among a plurality of gate edge isolation structures. The trench contact local interconnect is disposed over one of the plurality of trench contacts and between a pair of gate edge isolation structures.

1つの実施形態において、半導体構造は、トレンチコンタクトローカルインターコネクト上に配置され絶縁体キャップをさらに含み、絶縁体キャップは、複数のゲートエッジ分離構造の対の間に配置される。   In one embodiment, the semiconductor structure further includes an insulator cap disposed on the trench contact local interconnect, the insulator cap being disposed between the plurality of pairs of gate edge isolation structures.

1つの実施形態において、それぞれゲート構造は、高誘電率ゲート絶縁体層及びメタルゲート電極を含む。   In one embodiment, each gate structure includes a high dielectric constant gate insulator layer and a metal gate electrode.

1つの実施形態において、複数のゲートエッジ分離構造のそれぞれは、限定されないが、酸化シリコン、窒化シリコン、炭化シリコン、又はこれらの組み合わせなどの材料で構成される。   In one embodiment, each of the plurality of gate edge isolation structures is comprised of a material such as, but not limited to, silicon oxide, silicon nitride, silicon carbide, or combinations thereof.

一実施形態において、半導体構造を製造する方法は、基板の上方に、第1及び第2の平行な半導体フィンを形成する段階を含む。本方法は、第1及び第2の半導体フィンのそれぞれの側壁に隣接する複数のダミースペーサを形成する段階も含む。第1の半導体フィンの複数のダミースペーサは、第2の半導体フィンの複数のダミースペーサと一体的にならない。本方法は、第1及び第2の半導体フィンの複数のダミースペーサの間に分離構造を形成する段階も含む。本方法は、複数のダミースペーサを除去する段階も含む。本方法は、第1の半導体フィンの上に第1のリプレースメントゲート構造を、第2の半導体フィンの上に第2のリプレースメントゲート構造を形成する段階も含み、第1及び第2のゲート構造は、分離構造に直接隣接し、かつ分離構造によって互いから分離される。   In one embodiment, a method of manufacturing a semiconductor structure includes forming first and second parallel semiconductor fins over a substrate. The method also includes forming a plurality of dummy spacers adjacent to the respective sidewalls of the first and second semiconductor fins. The plurality of dummy spacers of the first semiconductor fin are not integrated with the plurality of dummy spacers of the second semiconductor fin. The method also includes forming an isolation structure between the plurality of dummy spacers of the first and second semiconductor fins. The method also includes removing the plurality of dummy spacers. The method also includes forming a first replacement gate structure on the first semiconductor fin and a second replacement gate structure on the second semiconductor fin, the first and second gate structures comprising: , Directly adjacent to the separation structure and separated from each other by the separation structure.

1つの実施形態において、本方法は、第1の半導体フィンの上にトレンチコンタクトの第1の対を、第2の半導体フィンの上にトレンチコンタクトの第2の対を形成する段階も含む。トレンチコンタクトの第1及び第2の対は、分離構造に直接隣接し、かつ分離構造によって互いから分離される。   In one embodiment, the method also includes forming a first pair of trench contacts on the first semiconductor fin and a second pair of trench contacts on the second semiconductor fin. The first and second pairs of trench contacts are directly adjacent to and separated from each other by the isolation structure.

1つの実施形態において、本方法は、分離構造を形成する段階の後、かつ複数のダミースペーサを除去する段階の前に、第1及び第2の半導体フィンをリセスする段階も含む。   In one embodiment, the method also includes the step of recessing the first and second semiconductor fins after forming the isolation structure and before removing the plurality of dummy spacers.

1つの実施形態において、複数のダミースペーサを形成する段階は、多結晶シリコン層を形成してエッチングする段階を含む。   In one embodiment, forming the plurality of dummy spacers includes forming and etching a polycrystalline silicon layer.

1つの実施形態において、分離構造を形成する段階は、限定されないが、酸化シリコン、窒化シリコン、炭化シリコン、又はこれらの組み合わせなどの材料を堆積して平坦化する段階を含む。   In one embodiment, forming the isolation structure includes depositing and planarizing a material such as, but not limited to, silicon oxide, silicon nitride, silicon carbide, or combinations thereof.

1つの実施形態において、第1及び第2のリプレースメントゲート構造の一方または両方を形成する段階は、高誘電率ゲート絶縁体層及びメタルゲート電極を形成する段階を含む。   In one embodiment, forming one or both of the first and second replacement gate structures includes forming a high dielectric constant gate insulator layer and a metal gate electrode.

1つの実施形態において、本方法は、第1及び第2のリプレースメントゲート構造の上方に、第1及び第2のリプレースメントゲート構造を電気的連結するローカルインターコネクトを形成する段階も含む。   In one embodiment, the method also includes forming a local interconnect that electrically connects the first and second replacement gate structures above the first and second replacement gate structures.

Claims (27)

第1方向に沿って最長寸法を有する第1フィンと、
前記第1方向に沿って最長寸法を有する第2フィンと、
前記第1方向と直交する第2方向に沿って最長寸法を有する、前記第1フィンの上の第1ゲート構造と、
前記第2方向に沿って最長寸法を有し、前記第2方向に沿って前記第1ゲート構造とは非連続であり、前記第2方向に沿って前記第1ゲート構造のエッジに面するエッジを有する、前記第2フィンの上の第2ゲート構造と、
前記第2方向に沿って、前記第1ゲート構造の前記エッジと前記第2ゲート構造の前記エッジとの間にあり、前記第1ゲート構造の前記エッジと前記第2ゲート構造の前記エッジと接触し、前記第1方向に沿った前記第1ゲート構造および前記第2ゲート構造の長さよりも長い前記第1方向に沿った長さを有する、ゲートエッジ分離構造と、
前記ゲートエッジ分離構造と横方向に隣接しかつ接触し、前記ゲートエッジ分離構造の組成とは異なる組成を有する絶縁体材料と、
を備え、
前記第1ゲート構造が第1ゲート絶縁体層および第1ゲート電極を備え、
前記第2ゲート構造が第2ゲート絶縁体層および第2ゲート電極を備え、
前記ゲートエッジ分離構造は、前記第1ゲート構造のゲート絶縁体層および前記第2ゲート構造のゲート絶縁体層と接触する、集積回路構造。
A first fin having a longest dimension along a first direction;
A second fin having a longest dimension along the first direction;
A first gate structure on the first fin having a longest dimension along a second direction orthogonal to the first direction;
An edge having a longest dimension along the second direction, discontinuous with the first gate structure along the second direction, and facing an edge of the first gate structure along the second direction A second gate structure on the second fin,
Along the second direction, between the edge of the first gate structure and the edge of the second gate structure, and in contact with the edge of the first gate structure and the edge of the second gate structure A gate edge isolation structure having a length along the first direction that is longer than a length of the first gate structure and the second gate structure along the first direction;
An insulator material laterally adjacent to and in contact with the gate edge isolation structure and having a composition different from the composition of the gate edge isolation structure;
Bei to give a,
The first gate structure comprises a first gate insulator layer and a first gate electrode;
The second gate structure comprises a second gate insulator layer and a second gate electrode;
The integrated circuit structure , wherein the gate edge isolation structure is in contact with a gate insulator layer of the first gate structure and a gate insulator layer of the second gate structure.
前記ゲートエッジ分離構造は、前記第1ゲート構造のメタルゲート電極層および前記第2ゲート構造のメタルゲート電極層と接触する、請求項に記載の集積回路構造。 The integrated circuit structure according to claim 1 , wherein the gate edge isolation structure is in contact with a metal gate electrode layer of the first gate structure and a metal gate electrode layer of the second gate structure. 前記第1ゲート構造の前記ゲート絶縁体層が高誘電率絶縁体材料を備え、
前記第2ゲート構造の前記ゲート絶縁体層が高誘電率絶縁体材料を備える、請求項1または2に記載の集積回路構造。
The gate insulator layer of the first gate structure comprises a high dielectric constant insulator material;
The integrated circuit structure of claim 1 or 2, wherein the gate insulator layer of the second gate structure comprises a high dielectric constant insulator material.
第1方向に沿って最長寸法を有する第1フィンと、
前記第1方向に沿って最長寸法を有する第2フィンと、
前記第1方向と直交する第2方向に沿って最長寸法を有する、前記第1フィンの上の第1ゲート構造と、
前記第2方向に沿って最長寸法を有し、前記第2方向に沿って前記第1ゲート構造とは非連続であり、前記第2方向に沿って前記第1ゲート構造のエッジに面するエッジを有する、前記第2フィンの上の第2ゲート構造と、
前記第2方向に沿って、前記第1ゲート構造の前記エッジと前記第2ゲート構造の前記エッジとの間にあり、前記第1ゲート構造の前記エッジと前記第2ゲート構造の前記エッジと接触し、前記第1方向に沿った前記第1ゲート構造および前記第2ゲート構造の長さよりも長い前記第1方向に沿った長さを有する、ゲートエッジ分離構造と、
前記ゲートエッジ分離構造と横方向に隣接しかつ接触し、前記ゲートエッジ分離構造の組成とは異なる組成を有する絶縁体材料と、
を備え、
前記ゲートエッジ分離構造は、前記第1ゲート構造の高さおよび前記第2ゲート構造の高さよりも高い高さを有する、集積回路構造。
A first fin having a longest dimension along a first direction;
A second fin having a longest dimension along the first direction;
A first gate structure on the first fin having a longest dimension along a second direction orthogonal to the first direction;
An edge having a longest dimension along the second direction, discontinuous with the first gate structure along the second direction, and facing an edge of the first gate structure along the second direction A second gate structure on the second fin,
Along the second direction, between the edge of the first gate structure and the edge of the second gate structure, and in contact with the edge of the first gate structure and the edge of the second gate structure A gate edge isolation structure having a length along the first direction that is longer than a length of the first gate structure and the second gate structure along the first direction;
An insulator material laterally adjacent to and in contact with the gate edge isolation structure and having a composition different from the composition of the gate edge isolation structure;
With
The gate edge isolation structure, said has a height greater than the height of the height and the second gate structure of the first gate structure, Integrated Circuit structure.
前記第1ゲート構造の一部の上であり、前記ゲートエッジ分離構造の一部の上であり、かつ、前記第2ゲート構造の一部の上に配置されるローカルインターコネクトを更に備える、請求項に記載の集積回路構造。 The apparatus further comprises a local interconnect over a portion of the first gate structure, over a portion of the gate edge isolation structure, and over a portion of the second gate structure. 5. The integrated circuit structure according to 4 . 前記ローカルインターコネクトは、前記第1ゲート構造を前記第2ゲート構造に電気的に結合する、請求項に記載の集積回路構造。 The integrated circuit structure of claim 5 , wherein the local interconnect electrically couples the first gate structure to the second gate structure. 前記第1ゲート構造の上の前記ローカルインターコネクトの一部の上に接するが、前記第2ゲート構造の上の前記ローカルインターコネクトの一部の上には接しないゲートコンタクトを更に備える、請求項に記載の集積回路構造。 7. The method of claim 6 , further comprising a gate contact that contacts a portion of the local interconnect on the first gate structure, but does not contact a portion of the local interconnect on the second gate structure. An integrated circuit structure as described. 第1方向に沿って最長寸法を有する第1フィンと、
前記第1方向に沿って最長寸法を有する第2フィンと、
前記第1方向と直交する第2方向に沿って最長寸法を有する、前記第1フィンの上の第1ゲート構造と、
前記第2方向に沿って最長寸法を有し、前記第2方向に沿って前記第1ゲート構造とは非連続であり、前記第2方向に沿って前記第1ゲート構造のエッジに面するエッジを有する、前記第2フィンの上の第2ゲート構造と、
前記第2方向に沿って、前記第1ゲート構造の前記エッジと前記第2ゲート構造の前記エッジとの間にあり、前記第1ゲート構造の前記エッジと前記第2ゲート構造の前記エッジと接触し、前記第1方向に沿った前記第1ゲート構造および前記第2ゲート構造の長さよりも長い前記第1方向に沿った長さを有する、ゲートエッジ分離構造と、
前記ゲートエッジ分離構造と横方向に隣接しかつ接触し、前記ゲートエッジ分離構造の組成とは異なる組成を有する絶縁体材料と、
を備え、
前記ゲートエッジ分離構造は、シリコンおよび窒素を備える、集積回路構造。
A first fin having a longest dimension along a first direction;
A second fin having a longest dimension along the first direction;
A first gate structure on the first fin having a longest dimension along a second direction orthogonal to the first direction;
An edge having a longest dimension along the second direction, discontinuous with the first gate structure along the second direction, and facing an edge of the first gate structure along the second direction A second gate structure on the second fin,
Along the second direction, between the edge of the first gate structure and the edge of the second gate structure, and in contact with the edge of the first gate structure and the edge of the second gate structure A gate edge isolation structure having a length along the first direction that is longer than a length of the first gate structure and the second gate structure along the first direction;
An insulator material laterally adjacent to and in contact with the gate edge isolation structure and having a composition different from the composition of the gate edge isolation structure;
With
The gate edge isolation structure comprises silicon and nitrogen, Integrated Circuit structure.
第1方向に沿って最長寸法を有する第1フィンを形成する段階と、
前記第1方向に沿って最長寸法を有する第2フィンを形成する段階と、
前記第1方向と直交する第2方向に沿って最長寸法を有する第1ゲート構造を前記第1フィンの上に形成する段階と、
前記第2方向に沿って最長寸法を有し、前記第2方向に沿って前記第1ゲート構造とは非連続であり、前記第2方向に沿って前記第1ゲート構造のエッジに面するエッジを有する第2ゲート構造を前記第2フィンの上に形成する段階と、
前記第2方向に沿って、前記第1ゲート構造の前記エッジと前記第2ゲート構造の前記エッジとの間にあり、前記第1ゲート構造の前記エッジと前記第2ゲート構造の前記エッジと接触し、前記第1方向に沿った前記第1ゲート構造および前記第2ゲート構造の長さよりも長い前記第1方向に沿った長さを有する、ゲートエッジ分離構造を形成する段階と、
前記ゲートエッジ分離構造の組成とは異なる組成を有する絶縁体材料を、前記ゲートエッジ分離構造と横方向に隣接しかつ接触して形成する段階と、
を備え、
前記第1ゲート構造が第1ゲート絶縁体層および第1ゲート電極を備え、
前記第2ゲート構造が第2ゲート絶縁体層および第2ゲート電極を備え、
前記ゲートエッジ分離構造は、前記第1ゲート構造のゲート絶縁体層および前記第2ゲート構造のゲート絶縁体層と接触する、集積回路構造を製造する方法。
Forming a first fin having a longest dimension along a first direction;
Forming a second fin having a longest dimension along the first direction;
Forming a first gate structure on the first fin having a longest dimension along a second direction orthogonal to the first direction;
An edge having a longest dimension along the second direction, discontinuous with the first gate structure along the second direction, and facing an edge of the first gate structure along the second direction Forming a second gate structure on the second fin with
Along the second direction, between the edge of the first gate structure and the edge of the second gate structure, and in contact with the edge of the first gate structure and the edge of the second gate structure Forming a gate edge isolation structure having a length along the first direction that is longer than a length of the first gate structure and the second gate structure along the first direction;
Forming an insulator material having a composition different from the composition of the gate edge isolation structure laterally adjacent to and in contact with the gate edge isolation structure;
Bei to give a,
The first gate structure comprises a first gate insulator layer and a first gate electrode;
The second gate structure comprises a second gate insulator layer and a second gate electrode;
A method of manufacturing an integrated circuit structure, wherein the gate edge isolation structure contacts a gate insulator layer of the first gate structure and a gate insulator layer of the second gate structure .
前記ゲートエッジ分離構造は、前記第1ゲート構造のメタルゲート電極層および前記第2ゲート構造のメタルゲート電極層と接触する、請求項に記載の方法。 The method of claim 9 , wherein the gate edge isolation structure is in contact with a metal gate electrode layer of the first gate structure and a metal gate electrode layer of the second gate structure. 前記第1ゲート構造の前記ゲート絶縁体層が高誘電率絶縁体材料を備え、
前記第2ゲート構造の前記ゲート絶縁体層が高誘電率絶縁体材料を備える、請求項9または10に記載の方法。
The gate insulator layer of the first gate structure comprises a high dielectric constant insulator material;
11. The method of claim 9 or 10 , wherein the gate insulator layer of the second gate structure comprises a high dielectric constant insulator material.
第1方向に沿って最長寸法を有する第1フィンを形成する段階と、
前記第1方向に沿って最長寸法を有する第2フィンを形成する段階と、
前記第1方向と直交する第2方向に沿って最長寸法を有する第1ゲート構造を前記第1フィンの上に形成する段階と、
前記第2方向に沿って最長寸法を有し、前記第2方向に沿って前記第1ゲート構造とは非連続であり、前記第2方向に沿って前記第1ゲート構造のエッジに面するエッジを有する第2ゲート構造を前記第2フィンの上に形成する段階と、
前記第2方向に沿って、前記第1ゲート構造の前記エッジと前記第2ゲート構造の前記エッジとの間にあり、前記第1ゲート構造の前記エッジと前記第2ゲート構造の前記エッジと接触し、前記第1方向に沿った前記第1ゲート構造および前記第2ゲート構造の長さよりも長い前記第1方向に沿った長さを有する、ゲートエッジ分離構造を形成する段階と、
前記ゲートエッジ分離構造の組成とは異なる組成を有する絶縁体材料を、前記ゲートエッジ分離構造と横方向に隣接しかつ接触して形成する段階と、
を備え、
前記ゲートエッジ分離構造は、前記第1ゲート構造の高さおよび前記第2ゲート構造の高さよりも高い高さを有する、集積回路構造を製造する方法。
Forming a first fin having a longest dimension along a first direction;
Forming a second fin having a longest dimension along the first direction;
Forming a first gate structure on the first fin having a longest dimension along a second direction orthogonal to the first direction;
An edge having a longest dimension along the second direction, discontinuous with the first gate structure along the second direction, and facing an edge of the first gate structure along the second direction Forming a second gate structure on the second fin with
Along the second direction, between the edge of the first gate structure and the edge of the second gate structure, and in contact with the edge of the first gate structure and the edge of the second gate structure Forming a gate edge isolation structure having a length along the first direction that is longer than a length of the first gate structure and the second gate structure along the first direction;
Forming an insulator material having a composition different from the composition of the gate edge isolation structure laterally adjacent to and in contact with the gate edge isolation structure;
With
The method of manufacturing an integrated circuit structure, wherein the gate edge isolation structure has a height higher than a height of the first gate structure and a height of the second gate structure.
前記第1ゲート構造の一部の上であり、前記ゲートエッジ分離構造の一部の上であり、かつ、前記第2ゲート構造の一部の上に配置されるローカルインターコネクトを形成する段階を更に備える、請求項12に記載の方法。 Forming a local interconnect over the portion of the first gate structure, over the portion of the gate edge isolation structure, and over the portion of the second gate structure; 13. The method of claim 12 , comprising. 前記ローカルインターコネクトは、前記第1ゲート構造を前記第2ゲート構造に電気的に結合する、請求項13に記載の方法。 The method of claim 13 , wherein the local interconnect electrically couples the first gate structure to the second gate structure. 前記第1ゲート構造の上の前記ローカルインターコネクトの一部の上に接するが、前記第2ゲート構造の上の前記ローカルインターコネクトの一部の上には接しないゲートコンタクトを形成する段階を更に備える、請求項14に記載の方法。 Forming a gate contact overlying a portion of the local interconnect over the first gate structure but not over a portion of the local interconnect over the second gate structure; The method according to claim 14 . 第1方向に沿って最長寸法を有する第1フィンを形成する段階と、
前記第1方向に沿って最長寸法を有する第2フィンを形成する段階と、
前記第1方向と直交する第2方向に沿って最長寸法を有する第1ゲート構造を前記第1フィンの上に形成する段階と、
前記第2方向に沿って最長寸法を有し、前記第2方向に沿って前記第1ゲート構造とは非連続であり、前記第2方向に沿って前記第1ゲート構造のエッジに面するエッジを有する第2ゲート構造を前記第2フィンの上に形成する段階と、
前記第2方向に沿って、前記第1ゲート構造の前記エッジと前記第2ゲート構造の前記エッジとの間にあり、前記第1ゲート構造の前記エッジと前記第2ゲート構造の前記エッジと接触し、前記第1方向に沿った前記第1ゲート構造および前記第2ゲート構造の長さよりも長い前記第1方向に沿った長さを有する、ゲートエッジ分離構造を形成する段階と、
前記ゲートエッジ分離構造の組成とは異なる組成を有する絶縁体材料を、前記ゲートエッジ分離構造と横方向に隣接しかつ接触して形成する段階と
を備え、
前記ゲートエッジ分離構造は、シリコンおよび窒素を備える、集積回路構造を製造する方法。
Forming a first fin having a longest dimension along a first direction;
Forming a second fin having a longest dimension along the first direction;
Forming a first gate structure on the first fin having a longest dimension along a second direction orthogonal to the first direction;
An edge having a longest dimension along the second direction, discontinuous with the first gate structure along the second direction, and facing an edge of the first gate structure along the second direction Forming a second gate structure on the second fin with
Along the second direction, between the edge of the first gate structure and the edge of the second gate structure, and in contact with the edge of the first gate structure and the edge of the second gate structure Forming a gate edge isolation structure having a length along the first direction that is longer than a length of the first gate structure and the second gate structure along the first direction;
Forming an insulator material having a composition different from that of the gate edge isolation structure laterally adjacent to and in contact with the gate edge isolation structure;
With
The gate edge isolation structure comprises silicon and nitrogen, methods better to manufacture the integrated circuit structure.
基板の上方に配置され、第1方向に長さを有する半導体フィンと、
前記半導体フィンの上に配置され、前記第1方向と直交する第2方向に第2端部と向かい合う第1端部を有し、最上面を有するゲート構造と、
前記半導体フィンを中央とする一対のゲートエッジ分離構造と
を備え、
前記一対のゲートエッジ分離構造のうちの第1ゲートエッジ分離構造が前記ゲート構造の前記第1端部に直接隣接して配置され、
前記一対のゲートエッジ分離構造のうちの第2ゲートエッジ分離構造が前記ゲート構造の前記第2端部に直接隣接して配置され、
前記一対のゲートエッジ分離構造が、前記ゲート構造の前記最上面よりも上方にある最上面を有する、半導体構造。
A semiconductor fin disposed above the substrate and having a length in a first direction;
A gate structure disposed on the semiconductor fin, having a first end facing the second end in a second direction orthogonal to the first direction, and having a top surface;
A pair of gate edge isolation structures centered on the semiconductor fin, and
A first gate edge isolation structure of the pair of gate edge isolation structures is disposed immediately adjacent to the first end of the gate structure;
A second gate edge isolation structure of the pair of gate edge isolation structures is disposed immediately adjacent to the second end of the gate structure;
The semiconductor structure, wherein the pair of gate edge isolation structures has a top surface that is above the top surface of the gate structure.
基板の上方に配置され、第1方向に長さを有する半導体フィンと、
前記半導体フィンの上に配置され、前記第1方向と直交する第2方向に第2端部と向かい合う第1端部を有し、最上面を有するゲート構造と、
前記半導体フィンを中央とする一対のゲートエッジ分離構造と、
前記ゲート構造の両側の、前記半導体フィンの中に配置されるソース領域およびドレイン領域と、
前記ソース領域の上に配置される第1トレンチコンタクトおよび前記ドレイン領域の上に配置される第2トレンチコンタクト、
を備え、
前記一対のゲートエッジ分離構造のうちの第1ゲートエッジ分離構造が前記ゲート構造の前記第1端部に直接隣接して配置され、
前記一対のゲートエッジ分離構造のうちの第2ゲートエッジ分離構造が前記ゲート構造の前記第2端部に直接隣接して配置され、
前記一対のゲートエッジ分離構造が、前記ゲート構造の前記最上面と同一平面にあるか、または上方にある最上面を有し、
前記第1トレンチコンタクトおよび前記第2トレンチコンタクトのそれぞれは前記第2方向に第2端部と向かい合う第1端部を有し、
前記一対のゲートエッジ分離構造のうちの前記第1ゲートエッジ分離構造が、前記第1トレンチコンタクトの前記第1端部および前記第2トレンチコンタクトの前記第1端部と直接隣接して配置され、
前記一対のゲートエッジ分離構造のうちの前記第2ゲートエッジ分離構造が、前記第1トレンチコンタクトの前記第2端部および前記第2トレンチコンタクトの前記第2端部と直接隣接して配置される、半導体構造。
A semiconductor fin disposed above the substrate and having a length in a first direction;
A gate structure disposed on the semiconductor fin, having a first end facing the second end in a second direction orthogonal to the first direction, and having a top surface;
A pair of gate edge isolation structures centered on the semiconductor fin;
A source region and a drain region disposed in the semiconductor fin on both sides of the gate structure;
A first trench contact disposed over the source region and a second trench contact disposed over the drain region;
Bei to give a,
A first gate edge isolation structure of the pair of gate edge isolation structures is disposed immediately adjacent to the first end of the gate structure;
A second gate edge isolation structure of the pair of gate edge isolation structures is disposed immediately adjacent to the second end of the gate structure;
The pair of gate edge isolation structures has a top surface that is coplanar with or above the top surface of the gate structure;
Each of the first trench contact and the second trench contact has a first end facing the second end in the second direction;
The first gate edge isolation structure of the pair of gate edge isolation structures is disposed directly adjacent to the first end of the first trench contact and the first end of the second trench contact;
The second gate edge isolation structure of the pair of gate edge isolation structures is disposed directly adjacent to the second end of the first trench contact and the second end of the second trench contact. , semi-conductor structure.
基板の上方に配置され、第1方向に長さを有する半導体フィンと、
前記半導体フィンの上に配置され、前記第1方向と直交する第2方向に第2端部と向かい合う第1端部を有し、最上面を有するゲート構造と、
前記半導体フィンを中央とする一対のゲートエッジ分離構造と、
前記基板の上方に配置され、前記第1方向に長さを有し、前記半導体フィンから離間する第2半導体フィンと、
前記第2半導体フィンの上に配置され、前記第2方向に第2端部と向かい合う第1端部を有する第2ゲート構造と、
前記第2ゲート構造の前記第2端部に直接隣接して配置される第3ゲートエッジ分離構造と、
前記ゲート構造および前記第2ゲート構造の上方に配置され、かつ前記ゲート構造および前記第2ゲート構造を電気的に結合するローカルインターコネクトと
を備え、
前記一対のゲートエッジ分離構造のうちの第1ゲートエッジ分離構造が前記ゲート構造の前記第1端部に直接隣接して配置され、
前記一対のゲートエッジ分離構造のうちの第2ゲートエッジ分離構造が前記ゲート構造の前記第2端部に直接隣接して配置され、
前記一対のゲートエッジ分離構造が、前記ゲート構造の前記最上面と同一平面にあるか、または上方にある最上面を有し、
前記一対のゲートエッジ分離構造のうちの前記第2ゲートエッジ分離構造が前記第2ゲート構造の前記第1端部と直接隣接して配置され、
前記第3ゲートエッジ分離構造および前記一対のゲートエッジ分離構造のうちの前記第2ゲートエッジ分離構造が、前記第2半導体フィンを中央とし、
前記ローカルインターコネクトは、前記一対のゲートエッジ分離構造および前記第3ゲートエッジ分離構造と自己整合される、半導体構造。
A semiconductor fin disposed above the substrate and having a length in a first direction;
A gate structure disposed on the semiconductor fin, having a first end facing the second end in a second direction orthogonal to the first direction, and having a top surface;
A pair of gate edge isolation structures centered on the semiconductor fin;
A second semiconductor fin disposed above the substrate and having a length in the first direction and spaced from the semiconductor fin;
A second gate structure having a first end disposed on the second semiconductor fin and facing the second end in the second direction;
A third gate edge isolation structure disposed directly adjacent to the second end of the second gate structure;
A local interconnect disposed above the gate structure and the second gate structure and electrically coupling the gate structure and the second gate structure;
With
A first gate edge isolation structure of the pair of gate edge isolation structures is disposed immediately adjacent to the first end of the gate structure;
A second gate edge isolation structure of the pair of gate edge isolation structures is disposed immediately adjacent to the second end of the gate structure;
The pair of gate edge isolation structures has a top surface that is coplanar with or above the top surface of the gate structure;
The second gate edge isolation structure of the pair of gate edge isolation structures is disposed directly adjacent to the first end of the second gate structure;
The second gate edge isolation structure of the third gate edge isolation structure and the pair of gate edge isolation structures is centered on the second semiconductor fin,
It said local interconnect, wherein the pair of gate edge isolation structure and said third gate edge isolation structure and self-aligned, semi-conductor structure.
前記ゲート構造は、N型ゲート構造であり、前記第2ゲート構造は、P型ゲート構造である、請求項19に記載の半導体構造。 The semiconductor structure according to claim 19 , wherein the gate structure is an N-type gate structure, and the second gate structure is a P-type gate structure. 前記ゲート構造は、高誘電率ゲート絶縁体層およびメタルゲート電極を備える、請求項17から20の何れか1項に記載の半導体構造。 21. The semiconductor structure according to any one of claims 17 to 20, wherein the gate structure comprises a high dielectric constant gate insulator layer and a metal gate electrode. 前記一対のゲートエッジ分離構造は、酸化シリコン、窒化シリコン、炭化シリコン、およびこれらの組み合わせからなるグループから選択される材料を備える、請求項17から21の何れか1項に記載の半導体構造。 The semiconductor structure according to any one of claims 17 to 21, wherein the pair of gate edge isolation structures comprises a material selected from the group consisting of silicon oxide, silicon nitride, silicon carbide, and combinations thereof. 基板の上方に配置され、第1方向に長さを有する半導体フィンと、
前記半導体フィンの上に配置され、前記第1方向と直交する第2方向に第2端部と向かい合う第1端部を有し、高誘電率ゲート絶縁体層およびメタルゲート電極を備えるゲート構造と、
一対のゲートエッジ分離構造と
前記ゲート構造の両側の、前記半導体フィンの中に配置されるソース領域およびドレイン領域と、
前記ソース領域の上に配置される第1トレンチコンタクトおよび前記ドレイン領域の上に配置される第2トレンチコンタクトと
を備え、
前記一対のゲートエッジ分離構造のうちの第1ゲートエッジ分離構造は、前記一対のゲートエッジ分離構造のうちの第2ゲートエッジ分離構造が前記半導体フィンの第2側面から離間されるのと同じだけ前記半導体フィンの第1側面から離間され、
前記一対のゲートエッジ分離構造のうちの前記第1ゲートエッジ分離構造は、前記ゲート構造の前記第1端部と直接隣接して配置され、前記一対のゲートエッジ分離構造のうちの前記第2ゲートエッジ分離構造は、前記ゲート構造の前記第2端部と直接隣接して配置され、
前記第1トレンチコンタクトおよび前記第2トレンチコンタクトのそれぞれは前記第2方向に第2端部と向かい合う第1端部を有し、
前記一対のゲートエッジ分離構造のうちの前記第1ゲートエッジ分離構造が、前記第1トレンチコンタクトの前記第1端部および前記第2トレンチコンタクトの前記第1端部と直接隣接して配置され、
前記一対のゲートエッジ分離構造のうちの前記第2ゲートエッジ分離構造が、前記第1トレンチコンタクトの前記第2端部および前記第2トレンチコンタクトの前記第2端部と直接隣接して配置される、半導体構造。
A semiconductor fin disposed above the substrate and having a length in a first direction;
A gate structure disposed on the semiconductor fin, having a first end facing the second end in a second direction orthogonal to the first direction, and comprising a high dielectric constant gate insulator layer and a metal gate electrode; ,
A pair of gate edge isolation structure and
A source region and a drain region disposed in the semiconductor fin on both sides of the gate structure;
A first trench contact disposed over the source region and a second trench contact disposed over the drain region ;
The first gate edge isolation structure of the pair of gate edge isolation structures is the same as the second gate edge isolation structure of the pair of gate edge isolation structures being separated from the second side surface of the semiconductor fin. Spaced from the first side of the semiconductor fin;
The first gate edge isolation structure of the pair of gate edge isolation structures is disposed directly adjacent to the first end of the gate structure, and the second gate of the pair of gate edge isolation structures An edge isolation structure is disposed directly adjacent to the second end of the gate structure ;
Each of the first trench contact and the second trench contact has a first end facing the second end in the second direction;
The first gate edge isolation structure of the pair of gate edge isolation structures is disposed directly adjacent to the first end of the first trench contact and the first end of the second trench contact;
The second gate edge isolation structure of the pair of gate edge isolation structures is disposed directly adjacent to the second end of the first trench contact and the second end of the second trench contact. , Semiconductor structure.
基板の上方に配置され、第1方向に長さを有する半導体フィンと、
前記半導体フィンの上に配置され、前記第1方向と直交する第2方向に第2端部と向かい合う第1端部を有し、高誘電率ゲート絶縁体層およびメタルゲート電極を備えるゲート構造と
一対のゲートエッジ分離構造と、
前記基板の上方に配置され、前記第1方向に長さを有し、前記半導体フィンから離間する第2半導体フィンと、
前記第2半導体フィンの上に配置され、前記第2方向に第2端部と向かい合う第1端部を有する第2ゲート構造と、
前記第2ゲート構造の前記第2端部に直接隣接して配置される第3ゲートエッジ分離構造と、
前記ゲート構造および前記第2ゲート構造の上方に配置され、かつ前記ゲート構造および前記第2ゲート構造を電気的に結合するローカルインターコネクトと
を備え、
前記一対のゲートエッジ分離構造のうちの第1ゲートエッジ分離構造は、前記一対のゲートエッジ分離構造のうちの第2ゲートエッジ分離構造が前記半導体フィンの第2側面から離間されるのと同じだけ前記半導体フィンの第1側面から離間され、
前記一対のゲートエッジ分離構造のうちの前記第1ゲートエッジ分離構造は、前記ゲート構造の前記第1端部と直接隣接して配置され、前記一対のゲートエッジ分離構造のうちの前記第2ゲートエッジ分離構造は、前記ゲート構造の前記第2端部と直接隣接して配置され、
前記一対のゲートエッジ分離構造のうちの前記第2ゲートエッジ分離構造が前記第2ゲート構造の前記第1端部と直接隣接して設けられ、
前記第3ゲートエッジ分離構造および前記一対のゲートエッジ分離構造のうちの前記第2ゲートエッジ分離構造が、前記第2半導体フィンを中央とし、
前記ローカルインターコネクトは、前記一対のゲートエッジ分離構造および前記第3ゲートエッジ分離構造と自己整合される、半導体構造。
A semiconductor fin disposed above the substrate and having a length in a first direction;
A gate structure disposed on the semiconductor fin, having a first end facing the second end in a second direction orthogonal to the first direction, and comprising a high dielectric constant gate insulator layer and a metal gate electrode; ,
A pair of gate edge isolation structures;
A second semiconductor fin disposed above the substrate and having a length in the first direction and spaced from the semiconductor fin;
A second gate structure having a first end disposed on the second semiconductor fin and facing the second end in the second direction;
A third gate edge isolation structure disposed directly adjacent to the second end of the second gate structure;
A local interconnect disposed above the gate structure and the second gate structure and electrically coupling the gate structure and the second gate structure;
With
The first gate edge isolation structure of the pair of gate edge isolation structures is the same as the second gate edge isolation structure of the pair of gate edge isolation structures being separated from the second side surface of the semiconductor fin. Spaced from the first side of the semiconductor fin;
The first gate edge isolation structure of the pair of gate edge isolation structures is disposed directly adjacent to the first end of the gate structure, and the second gate of the pair of gate edge isolation structures An edge isolation structure is disposed directly adjacent to the second end of the gate structure;
The second gate edge isolation structure of the pair of gate edge isolation structures is provided directly adjacent to the first end of the second gate structure;
The second gate edge isolation structure of the third gate edge isolation structure and the pair of gate edge isolation structures is centered on the second semiconductor fin,
It said local interconnect, wherein the pair of gate edge isolation structure and said third gate edge isolation structure and self-aligned, semi-conductor structure.
前記ゲート構造は、N型ゲート構造であり、前記第2ゲート構造は、P型ゲート構造である、請求項24に記載の半導体構造。 25. The semiconductor structure of claim 24 , wherein the gate structure is an N-type gate structure and the second gate structure is a P-type gate structure. 前記ゲート構造は、高誘電率ゲート絶縁体層およびメタルゲート電極を備える、請求項23から25の何れか1項に記載の半導体構造。 26. The semiconductor structure according to any one of claims 23 to 25, wherein the gate structure comprises a high dielectric constant gate insulator layer and a metal gate electrode. 前記一対のゲートエッジ分離構造は、酸化シリコン、窒化シリコン、炭化シリコン、およびこれらの組み合わせからなるグループから選択される材料を備える、請求項23から26の何れか1項に記載の半導体構造。 27. The semiconductor structure according to any one of claims 23 to 26, wherein the pair of gate edge isolation structures comprises a material selected from the group consisting of silicon oxide, silicon nitride, silicon carbide, and combinations thereof.
JP2018077178A 2018-04-12 2018-04-12 Semiconductor structure, integrated circuit structure, and manufacturing method thereof Active JP6602910B2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2018077178A JP6602910B2 (en) 2018-04-12 2018-04-12 Semiconductor structure, integrated circuit structure, and manufacturing method thereof
JP2019185955A JP6973813B2 (en) 2018-04-12 2019-10-09 Integrated circuit structures and computing devices

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018077178A JP6602910B2 (en) 2018-04-12 2018-04-12 Semiconductor structure, integrated circuit structure, and manufacturing method thereof

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2016526912A Division JP6325669B2 (en) 2013-12-19 2013-12-19 Semiconductor structure, integrated circuit structure, and manufacturing method thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019185955A Division JP6973813B2 (en) 2018-04-12 2019-10-09 Integrated circuit structures and computing devices

Publications (2)

Publication Number Publication Date
JP2018129533A JP2018129533A (en) 2018-08-16
JP6602910B2 true JP6602910B2 (en) 2019-11-06

Family

ID=63173245

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018077178A Active JP6602910B2 (en) 2018-04-12 2018-04-12 Semiconductor structure, integrated circuit structure, and manufacturing method thereof

Country Status (1)

Country Link
JP (1) JP6602910B2 (en)

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004356472A (en) * 2003-05-30 2004-12-16 Renesas Technology Corp Semiconductor device and method for manufacturing the same
US7223650B2 (en) * 2005-10-12 2007-05-29 Intel Corporation Self-aligned gate isolation
US7781274B2 (en) * 2008-03-27 2010-08-24 Kabushiki Kaisha Toshiba Multi-gate field effect transistor and method for manufacturing the same
JP5646416B2 (en) * 2011-09-01 2014-12-24 株式会社東芝 Manufacturing method of semiconductor device
DE112011106155B3 (en) * 2011-12-28 2022-05-25 Intel Corporation Integration of Dielectric Multigate Transistors in a Tri-Gate Process (FINFET)
US9287179B2 (en) * 2012-01-19 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Composite dummy gate with conformal polysilicon layer for FinFET device
US8946782B2 (en) * 2012-04-19 2015-02-03 International Business Machines Corporation Method for keyhole repair in replacement metal gate integration through the use of a printable dielectric

Also Published As

Publication number Publication date
JP2018129533A (en) 2018-08-16

Similar Documents

Publication Publication Date Title
JP6325669B2 (en) Semiconductor structure, integrated circuit structure, and manufacturing method thereof
US11581315B2 (en) Self-aligned gate edge trigate and finFET devices
KR102449437B1 (en) Non-planar semiconductor device having omega-fin with doped sub-fin region and method to fabricate same
TWI778209B (en) Fin shaping using templates and integrated circuit structures resulting therefrom
JP6602910B2 (en) Semiconductor structure, integrated circuit structure, and manufacturing method thereof
JP6973813B2 (en) Integrated circuit structures and computing devices
US20240096896A1 (en) Non-planar integrated circuit structures having mitigated source or drain etch from replacement gate process
US20240105716A1 (en) Integrated circuit structures having uniform grid metal gate and trench contact plug
JP6330942B2 (en) Non-planar semiconductor devices having omega fins with doped subfin regions and methods of manufacturing the same
KR20230091776A (en) Integrated circuit structures having dielectric anchor and confined epitaxial source or drain structure

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180412

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180412

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190221

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190326

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190626

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190910

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20191009

R150 Certificate of patent or registration of utility model

Ref document number: 6602910

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250