JP6568457B2 - Plasma processing method - Google Patents

Plasma processing method Download PDF

Info

Publication number
JP6568457B2
JP6568457B2 JP2015221010A JP2015221010A JP6568457B2 JP 6568457 B2 JP6568457 B2 JP 6568457B2 JP 2015221010 A JP2015221010 A JP 2015221010A JP 2015221010 A JP2015221010 A JP 2015221010A JP 6568457 B2 JP6568457 B2 JP 6568457B2
Authority
JP
Japan
Prior art keywords
gas
plasma processing
processing method
processing chamber
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015221010A
Other languages
Japanese (ja)
Other versions
JP2017092264A (en
JP2017092264A5 (en
Inventor
侯然 廣田
侯然 廣田
功一 中宇▲禰▼
功一 中宇▲禰▼
佳幸 大田
佳幸 大田
角屋 誠浩
誠浩 角屋
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Priority to JP2015221010A priority Critical patent/JP6568457B2/en
Publication of JP2017092264A publication Critical patent/JP2017092264A/en
Publication of JP2017092264A5 publication Critical patent/JP2017092264A5/ja
Application granted granted Critical
Publication of JP6568457B2 publication Critical patent/JP6568457B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Landscapes

  • Drying Of Semiconductors (AREA)

Description

本発明は、プラズマ処理方法に関する。   The present invention relates to a plasma processing method.

近年の半導体集積回路の高集積化及び高速化に伴い、今後数年の間に高速ロジックデバイスのゲート寸法は10nmレベルに達し、Åレベル(3σ=1nm以下)の加工寸法精度が要求されている。わずかなゲート電極の寸法変動がソース/ドレイン電流やスタンバイ時のリーク電流値を大きく変動させるため、ゲート電極の寸法(CD:Critical Dimension)精度等加工形状の安定化は、歩留まり向上のための重要な要件である。   With the recent high integration and high speed of semiconductor integrated circuits, the gate size of high speed logic devices will reach the 10 nm level in the next few years, and the processing dimensional accuracy of Å level (3σ = 1 nm or less) is required. . Slight variations in gate electrode dimensions cause large fluctuations in source / drain current and standby leakage current values, so stabilization of the processing shape such as gate electrode dimension (CD: Critical Dimension) accuracy is important for improving yield. It is a necessary requirement.

加工形状(CD精度、形状異方性、材料選択比等)に影響を与える一つの要因に、処理室表面へのエッチング反応生成物の堆積がある。堆積物の有無により、同じ条件でプラズマを生成したとしても、処理室表面におけるラジカルの消費量やラジカルの再結合確率が著しく変化することがプラズマ中の気相計測により解明されてきた。例えば、非特許文献1には、Clプラズマ中のClラジカル量が処理室表面のSiCl堆積物の有無によって数倍異なることが開示されている。プラズマ中のラジカル密度が変化すれば、加工形状もまた変化する。また、加工時の反応生成物は、トランジスタに用いられる材料やガス種により多岐に渡る種類がある。近年トランジスタ特性を改善するため、従来のPoly−Si/SiO構造からHigh−K/MetalGate構造へ移行すると共に、平面型トランジスタから立体型トランジスタ構造への移行が進んでいる。このため、トランジスタに使用される材料(例えば、ゲートのメタル化、High−Kゲート絶縁膜材料、III−V族チャネルの採用等)の種類は多様化しており、処理室表面に堆積する難揮発性材料の種類やそのクリーニング方法も多様化している。また、非特許文献2には、処理室表面のTi堆積物とプロセス変動の関係や、プロセス変動の原因となるTiのクリーニング方法等が開示されている。また、適切にメタルクリーニングが実施されれば、プロセス変動は抑制できる。 One factor that affects the processing shape (CD accuracy, shape anisotropy, material selection ratio, etc.) is the deposition of etching reaction products on the surface of the processing chamber. It has been elucidated by gas phase measurement in plasma that even if plasma is generated under the same conditions depending on the presence or absence of deposits, radical consumption and radical recombination probability on the surface of the processing chamber change significantly. For example, Non-Patent Document 1 discloses that the amount of Cl radicals in the Cl 2 plasma varies several times depending on the presence or absence of SiCl x deposits on the surface of the processing chamber. If the radical density in the plasma changes, the machining shape also changes. Further, there are various kinds of reaction products at the time of processing depending on materials and gas types used for transistors. In recent years, in order to improve transistor characteristics, a transition from a conventional Poly-Si / SiO 2 structure to a High-K / MetalGate structure and a transition from a planar transistor to a three-dimensional transistor structure are progressing. For this reason, the types of materials used for transistors (for example, metallization of gates, use of high-K gate insulating film materials, III-V channel, etc.) are diversified, and hardly volatilize deposited on the processing chamber surface. The types of functional materials and their cleaning methods are also diversifying. Non-Patent Document 2 discloses a relation between Ti deposits on the surface of the processing chamber and process variations, a Ti cleaning method that causes process variations, and the like. If metal cleaning is performed appropriately, process fluctuations can be suppressed.

歩留まり向上のための重要なもう一つの要素に、パターン欠陥の抑制がある。エッチング処理装置においてパターン欠陥を生じる原因には、処理室表面へのウエハやガスの一部を含む反応生成物起因や処理表面部材の削れや腐食で生じる処理室表面部材起因やそれらの複合物がある。処理室表面部材起因では、特に部材に金属やランタノイド系化合物を含む不揮発性物質が含まれる場合に問題となる。一旦不揮発性物質が削れると、直接ウエハ上に落下する場合や、削れた場所や部材とは異なる処理室表面に一旦付着した後、量産処理の経過とともに成長して、ある時に剥離してウエハ上に落下するためである。落下物はウエハ下層のエッチングを阻害するマスクとして働くため、パターン欠陥を生み、製品の歩留まり低下を招く。このようなエッチングを阻害する物質は異物と呼ばれる。ゲート寸法の細線化に伴い、許容される異物粒径と異物数は年々低下しており、量産処理はその厳しさを増している。   Another important factor for improving the yield is suppression of pattern defects. Causes of pattern defects in the etching processing apparatus are caused by reaction products including a part of the wafer and gas on the surface of the processing chamber, processing chamber surface members caused by scraping and corrosion of the processing surface members, and composites thereof. is there. Due to the processing chamber surface member, it becomes a problem particularly when the member contains a non-volatile substance containing a metal or a lanthanoid compound. Once the non-volatile material is shaved, it may fall directly onto the wafer, or once attached to the surface of the processing chamber that is different from the shaved place or member, it grows with the progress of mass production processing and peels off at some point on the wafer. It is because it falls to. Since the fallen object acts as a mask that hinders etching of the lower layer of the wafer, a pattern defect is generated and the yield of the product is reduced. Such a substance that inhibits etching is called foreign matter. As the gate dimension is reduced, the allowable particle size and the number of foreign particles are decreasing year by year, and the mass production process is becoming more severe.

上記をまとめると、量産の歩留まりを向上させるためには、加工形状の安定化と異物の低減を両立するエッチング技術が必要となる。そして、加工形状の安定化では処理室表面の堆積物と密接な関係があり、異物低減では処理室表面の堆積物に加えて処理室表面部材の削れや腐食と密接な関係がある。   In summary, in order to improve the yield of mass production, an etching technique that achieves both stabilization of the processing shape and reduction of foreign matters is required. The stabilization of the processing shape has a close relationship with deposits on the surface of the processing chamber, and the reduction of foreign matter has a close relationship with scraping and corrosion of the processing chamber surface members in addition to the deposits on the processing chamber surface.

従来、ウエハ処理毎又はロット毎にプラズマクリーニング処理やシーズニング処理を用いて処理室表面状態を一定に保つ技術が検討されてきた。また、特許文献1には、処理室表面にCFやSiを含有する膜を堆積させるコーティングを実施して、処理室表面状態変化に伴うプロセス変動への影響を低減する技術が開示されている。更に、特許文献2には、Siを含有するコーティング膜に起因する異物を低減可能とするアフタートリートメント法や処理室表面に堆積するメタル反応生成物起因のプロセス変動を低減可能なメタル対応クリーニングを用いる技術が開示されている。   Conventionally, a technique for keeping the surface state of a processing chamber constant by using a plasma cleaning process or a seasoning process for each wafer process or lot has been studied. Further, Patent Document 1 discloses a technique for reducing the influence on process variation caused by a change in the processing chamber surface state by performing a coating for depositing a film containing CF or Si on the processing chamber surface. Further, Patent Document 2 uses an after-treatment method that can reduce foreign matters caused by a coating film containing Si and a metal-compatible cleaning that can reduce process variations caused by metal reaction products deposited on the surface of the processing chamber. Technology is disclosed.

米国特許7,204,913号明細書US Patent 7,204,913 特開2014−53644号公報JP 2014-53644 A

S. J. Ullal, T. W. Kim, V. Vahedi, and E. S. Aydil, J. Vac. Sci. Technol., A 21, 589 (2003).S. J. Ullal, T. W. Kim, V. Vahedi, and E. S. Aydil, J. Vac. Sci. Technol., A 21, 589 (2003). Kosa Hirota, Naoshi Itabashi and Junichi Tanaka, J. Vac. Sci. Technol., A 32, 061304 (2014).Kosa Hirota, Naoshi Itabashi and Junichi Tanaka, J. Vac. Sci. Technol., A 32, 061304 (2014).

上記従来技術(特許文献1及び2)は、処理室の表面材料を問わずにコーティングを行うものである。しかし、発明者らが検討した結果、処理室表面の材料に応じてコーティング膜を付着させるべき表面とそうでない表面があり、処理室表面材料とコーティング条件は適切に考慮され選択されることが必要であることが分かった。他の課題を含めて整理すると次の3つがあげられる。   The prior arts (Patent Documents 1 and 2) perform coating regardless of the surface material of the processing chamber. However, as a result of investigations by the inventors, there are a surface to which a coating film is to be attached and a surface to which the coating film is not attached depending on the material of the processing chamber surface, and the processing chamber surface material and coating conditions need to be appropriately considered and selected. It turns out that. The following three items can be listed if other issues are included.

一つ目は、Si系のコーティング膜やC系のコーティング膜は、一般的に処理室表面材料よりもプラズマ耐性がなく、容易に削れることに起因したプロセス条件構築に関する課題である。例えば、コーティングで堆積するCH,SiOは、O(酸素)やF(フッ素)やCl(塩素)ラジカルを含むプラズマ中において処理室表面材料で用いられる石英やSUS(ステンレス)、Y(イットリウム)やAl(アルミニウム)を含有する金属よりも容易に削れる。そのため、製品ウエハをエッチング中のラジカルバランスに大きく寄与し、エッチング特性は、コーティング膜の影響を大きく受ける。このため、所望のエッチング形状やエッチング選択比を実現しようとする場合に、処理室全てにコート膜を堆積させると、コート膜からの揮発物(Si,C,H,F等)やその反応生成物の供給が障壁となりプロセスウインドウが狭くなる可能性が高くなる。 The first problem is that Si-based coating films and C-based coating films are generally less plasma-resistant than processing chamber surface materials and are related to process condition construction due to easy cutting. For example, CH x F y and SiO x deposited by coating are quartz, SUS (stainless steel), Y used as a processing chamber surface material in plasma containing O (oxygen), F (fluorine), and Cl (chlorine) radicals. (Yttrium) and can be cut more easily than metals containing Al (aluminum). Therefore, it greatly contributes to radical balance during etching of the product wafer, and the etching characteristics are greatly affected by the coating film. Therefore, when a desired etching shape and etching selection ratio are to be realized, if a coating film is deposited in the entire processing chamber, volatile substances (Si, C, H, F, etc.) from the coating film and their reaction products are generated. There is a high possibility that the process window becomes narrow due to the supply of goods.

二つ目は、処理室最表面温度に起因するプロセス変動の課題である。量産処理中に処理室最表面温度を一定にしなければラジカルの収支が変わり、プロセス変動を生じることがよく知られている。そして、処理室最表面温度の制御はエッチング装置業界において非常に難しい課題の一つでもある。一つ目の理由と関連して、処理室表面よりもコーティング膜においてエッチングレートが高い分、温度変化に対してプロセス変動幅をより拡大してしまう恐れがある。   The second problem is a process variation caused by the surface temperature of the processing chamber. It is well known that radical balance changes and process fluctuations occur if the surface temperature of the processing chamber is not constant during mass production processing. And control of the process chamber outermost surface temperature is one of the very difficult subjects in the etching apparatus industry. In connection with the first reason, there is a possibility that the process fluctuation range may be further expanded with respect to the temperature change because the etching rate is higher in the coating film than in the processing chamber surface.

三つ目は、必要のない処理室表面にまでコート膜を堆積させることにより、コート膜そのものによる異物発生リスクが高まるとの課題である。コート膜を堆積させるのは必要最小限の処理室表面部材に留めることが望ましい。   The third problem is that by depositing the coat film on the surface of the processing chamber which is not necessary, the risk of foreign matter generation due to the coat film itself is increased. It is desirable to deposit the coat film on the minimum required surface of the processing chamber.

本発明は、これらの課題に着目してなされたものであり、処理室表面部材起因の異物を低減可能なプラズマ処理方法を提供することを目的とする。   The present invention has been made paying attention to these problems, and it is an object of the present invention to provide a plasma processing method capable of reducing foreign substances caused by a processing chamber surface member.

上記目的を達成するための一実施形態として、SiとOを含む第1材料領域と、難揮発性材料を含む第2材料領域とが表面に配置された処理室と、前記処理室の内部にガスを導入するガス導入手段と、前記ガスをプラズマ化するプラズマ生成手段とを有するプラズマ処理装置を用いたプラズマ処理方法において、
前記処理室の前記第1材料領域に対して前記第2材料領域にCH膜を選択的に堆積させる第1工程と、
その後、前記処理室の内部に配置された被処理物をプラズマ処理する第2工程と、
を有することを特徴とするプラズマ処理方法とする。
As an embodiment for achieving the above object, a processing chamber in which a first material region containing Si and O and a second material region containing a hardly volatile material are arranged on the surface, and inside the processing chamber In a plasma processing method using a plasma processing apparatus having a gas introducing means for introducing a gas and a plasma generating means for converting the gas into a plasma,
A first step of selectively depositing a CH x F y film in the second material region with respect to the first material region of the processing chamber;
Thereafter, a second step of plasma processing the object to be processed disposed inside the processing chamber;
A plasma processing method characterized by comprising:

また、石英製の内筒及びシャワープレートと、アース部とを含み、プラズマにより被処理物を処理する処理室を備えたプラズマ処理装置を用いたプラズマ処理方法において、
前記内筒及びシャワープレートの表面温度t1と、前記アース部の表面温度t2の関係がt1>t2となる条件で、前記処理室の内部に導入したCとF或いはCとH或いはCとHとFを含むガスのプラズマを用いて、前記アース部にCH膜を被膜し、前記内筒及びシャワープレートにはCH膜を堆積させない選択コーティング処理を行う第1工程と、
その後、前記被処理物をプラズマエッチング処理する第2工程と
を有することを特徴とするプラズマ処理方法とする。
In addition, in a plasma processing method using a plasma processing apparatus including a processing chamber for processing an object to be processed with plasma, including a quartz inner cylinder and a shower plate, and an earth part,
C and F or C and H introduced into the processing chamber under the condition that the relationship between the surface temperature t 1 of the inner cylinder and the shower plate and the surface temperature t 2 of the ground portion is t 1 > t 2 First, a CH x F y film is coated on the ground portion using a plasma of a gas containing C, H, and F, and a selective coating process is performed in which the CH x F y film is not deposited on the inner cylinder and the shower plate. Process,
Then, the plasma processing method includes a second step of performing a plasma etching process on the object to be processed.

本発明により、処理室表面部材起因の異物を低減可能なプラズマ処理方法を提供することができる。   According to the present invention, it is possible to provide a plasma processing method capable of reducing foreign substances caused by a processing chamber surface member.

本発明の第一の実施例に係るプラズマ処理方法を実施するためのプラズマ処理装置(マイクロ波ECRエッチング装置)の一例を示す縦断面図である。It is a longitudinal cross-sectional view which shows an example of the plasma processing apparatus (microwave ECR etching apparatus) for enforcing the plasma processing method based on the 1st Example of this invention. 本発明の各実施例に係るプラズマ処理方法を説明するための処理フロー図である。It is a processing flowchart for demonstrating the plasma processing method which concerns on each Example of this invention. 図1に示すプラズマ処理装置の処理室内部でCHFプラズマを生成した場合の処理室表面材料(Y,YF3,SUS等難揮発性材料)とプラズマ中のラジカルの反応を示す模式図である。FIG. 1 is a schematic view showing a reaction between a processing chamber surface material (a hardly volatile material such as Y 2 O 3 , YF 3, and SUS) and radicals in the plasma when CHF 3 plasma is generated inside the processing chamber of the plasma processing apparatus shown in FIG. FIG. 図1に示すプラズマ処理装置の処理室内部でCHFプラズマを生成した場合の処理室表面材料(石英製材料)とプラズマ中のラジカルの反応を示す模式図である。FIG. 2 is a schematic diagram showing a reaction between a processing chamber surface material (quartz material) and radicals in the plasma when CHF 3 plasma is generated inside the processing chamber of the plasma processing apparatus shown in FIG. 1. 難揮発性部材にはCHxFyが堆積して、石英部材上には堆積しないガス条件の適性表である。It is an aptitude table of gas conditions in which CHxFy is deposited on the hardly volatile member and is not deposited on the quartz member. 本発明の各実施例に係るプラズマ処理方法を用いて、目標寸法16nmのハードマスク加工(製品エッチング)を1ロット25枚処理したときの寸法トレンドを示すグラフである。It is a graph which shows a dimension trend when 25 lots of 1 lot of hard mask processing (product etching) with a target dimension of 16 nm is processed using the plasma processing method according to each embodiment of the present invention. 不揮発性部材へのCHコーティング処理有無における不揮発性物質組成の異物数の推移を示すグラフである。Is a graph showing the CH x F y coated foreign matter the change in the number of non-volatile material composition in the presence and absence of the non-volatile member. 本発明の第三及び第四の実施例に係るプラズマ処理方法を説明するための処理フロー図である。It is a processing flowchart for demonstrating the plasma processing method which concerns on the 3rd and 4th Example of this invention.

以下、本発明を実施例により説明する。   Hereinafter, the present invention will be described with reference to examples.

本発明の第1の実施例に係るプラズマ処理方法について図を用いて説明する。本プラズマ処理方法が適用されるエッチング装置の例として、図1に示すマイクロ波ECRエッチング装置を用いることができる。本エッチング装置は、処理容器120の内部にウエハ(被処理物)110を載置する電極111と、ガス供給装置132と、天板140と石英製シャワープレート101と、石英製内筒102と、難揮発性材料で構成する接地されたアース103と、電磁石142と、プラズマを発生させる高周波電源150と、RFバイアス電源161と整合器162と、処理室の真空排気バルブ171とを有している。   A plasma processing method according to a first embodiment of the present invention will be described with reference to the drawings. As an example of an etching apparatus to which the present plasma processing method is applied, a microwave ECR etching apparatus shown in FIG. 1 can be used. This etching apparatus includes an electrode 111 for placing a wafer (object to be processed) 110 inside a processing container 120, a gas supply device 132, a top plate 140, a quartz shower plate 101, a quartz inner cylinder 102, It has a grounded earth 103 made of a hardly volatile material, an electromagnet 142, a high-frequency power source 150 for generating plasma, an RF bias power source 161, a matching unit 162, and a vacuum exhaust valve 171 for the processing chamber. .

図1に示す装置構成をベースに、本実施例に係るプラズマ処理方法について図2を用いて説明する。図2は、本発明の各実施例に係るプラズマ処理方法を説明するための処理フロー図である。本実施例1は、図2に示すエッチングフローのうち、CHコーティング処理を行う第1の工程S210と製品ウエハのエッチング処理を行う第2の工程S220を有する。 A plasma processing method according to the present embodiment will be described with reference to FIG. 2 based on the apparatus configuration shown in FIG. FIG. 2 is a process flow diagram for explaining a plasma processing method according to each embodiment of the present invention. The first embodiment includes a first step S210 for performing a CH x F y coating process and a second step S220 for performing a product wafer etching process in the etching flow shown in FIG.

第1の工程S210は、処理室表面材料の内、難揮発性材料で構成する接地されたアースにCH膜を堆積させる一方で、処理室表面材料の内、石英製シャワープレート101や石英製内筒102といった石英製の処理室表面にはCH膜を堆積させないプラズマ処理を行う。発明者らは、処理室表面材料とプラズマに用いるガスを適切に選ぶことで、この堆積の違いを実現し得ることを見出した。すなわち、難揮発性材料にはCHが堆積して、石英製の表面にはCH膜が堆積しないことを確認した(今後、この現象を選択デポと表記する)。このメカニズムの一例について図3Aと図3Bを用いて以下に説明する。 In the first step S210, the CH x F y film is deposited on a grounded earth composed of a hardly volatile material among the processing chamber surface materials, while the quartz shower plate 101 and the like are included in the processing chamber surface materials. Plasma treatment is performed so that a CH x F y film is not deposited on the surface of a quartz treatment chamber such as the quartz inner cylinder 102. The inventors have found that this deposition difference can be realized by appropriately selecting the processing chamber surface material and the gas used for the plasma. That is, it was confirmed that CH x F y was deposited on the hardly volatile material, and that no CH x F y film was deposited on the quartz surface (this phenomenon will be referred to as a selection deposit in the future). An example of this mechanism will be described below with reference to FIGS. 3A and 3B.

図3Aと図3Bは、図1に示すプラズマ処理装置の処理室内部でCHFプラズマを生成した場合の各処理室表面材料とプラズマ中のラジカルの反応を示す模式図である。図3Aが処理室表面材料として難揮発性材料(Y,YF,SUS)を用いた場合、図3Bが処理室表面材料として石英製材料を用いた場合の反応メカニズムを示す模式図である。 3A and 3B are schematic views showing the reaction between each processing chamber surface material and radicals in the plasma when CHF 3 plasma is generated inside the processing chamber of the plasma processing apparatus shown in FIG. 3A is a schematic diagram showing a reaction mechanism when a hardly volatile material (Y 2 O 3 , YF 3 , SUS) is used as a processing chamber surface material, and FIG. 3B is a reaction mechanism when a quartz material is used as the processing chamber surface material. It is.

難揮発性材料は、Y化合物やSUSとFが反応してもYFやCrFのような不揮発性物質にしかならず、エッチングレートが非常に遅いことが知られている。このため図3Aに示すように、コーティング開始時から難揮発性材料表面にはCH膜が容易に付着して、処理時間の増加に従ってCH膜が成長することになる。この膜の成長速度は、プラズマの処理時間に対して線形と考えて良い。 It is known that a hardly volatile material becomes a non-volatile substance such as YF x or CrF x even if Y compound or SUS reacts with F, and the etching rate is very slow. Therefore, as shown in FIG. 3A, the CH x F y film easily adheres to the surface of the hardly volatile material from the start of coating, and the CH x F y film grows as the processing time increases. The growth rate of this film may be considered linear with respect to the plasma processing time.

一方、図3Bに示すように、石英製材料では、SiO+2CHF→SiF+2CO+2HFのような反応が起こると推定される。この結果、不揮発性物質上に堆積したCは、大半はCOとして揮発する。更に、微量のCが付着しても、残ったHFが更に石英表面に化学吸着してエッチングされる。このため、石英表面上のCは容易にリフトオフされ、CH膜が付着することはない。 On the other hand, as shown in FIG. 3B, it is estimated that a reaction such as SiO 2 + 2CHF 3 → SiF 4 + 2CO + 2HF occurs in the quartz material. As a result, most of the C deposited on the nonvolatile material is volatilized as CO. Furthermore, even if a small amount of C is deposited, the remaining HF is further chemically adsorbed on the quartz surface and etched. For this reason, C on the quartz surface is easily lifted off, and the CH x F y film does not adhere.

更に、我々は種々のガス系で、このような選択デポが可能なエッチングガスを調べた。図4は、難揮発性部材にはCHが堆積して、石英部材上には堆積しないガス条件の適性表である。CFでは両材料(難揮発性部材、石英部材)にて堆積しない条件のため適性がない。一方、堆積性の強いことが知られるC、C、CHFガスでは石英上にも堆積してしまうため適性がない。 In addition, we investigated etching gases that allow such selective deposition in various gas systems. FIG. 4 is an aptitude table of gas conditions in which CH x F y is deposited on the hardly volatile member but not on the quartz member. CF 4 is not suitable because it is not deposited with both materials (hardly volatile member, quartz member). On the other hand, C 4 F 6 , C 4 F 8 , and CH 3 F gas, which are known to have strong deposition properties, are not suitable because they are deposited on quartz.

しかし、CガスにHとOガスやNを添加することにより、選択デポを可能とできることがわかった。これは、OやNの添加によりCのデポ性を弱める効果があるためと考えられる。すなわち、C+O→COやC+N→CNとなり揮発して、C/F比においてFを増加させつつ、Hの添加によりHFの生成を促進して石英上にデポが堆積しなくなるためと考えられる。 However, it has been found that selective deposition can be made possible by adding H 2 and O 2 gas or N 2 to C 4 F 8 gas. This is presumably because the addition of O 2 or N 2 has the effect of weakening the C depotability. That is, it is considered that C + O → CO and C + N → CN are volatilized, increasing the F in the C / F ratio, and promoting the generation of HF by adding H 2 , thereby preventing deposition from depositing on quartz.

また、この理屈から、CガスにHとSFガスやCFガスを添加しても、選択デポを実現可能であることを確認した。また、SはCと反応してCSとなるため、Cの量を制御できる。 Further, from this reason, it was confirmed that selective depot can be realized even if H 2 and SF 6 gas or CF 4 gas is added to C 4 F 8 gas. Moreover, since S reacts with C to become CS, the amount of C can be controlled.

更にデポ性の弱いCFガスには、Hを添加することで選択デポを実現可能であることを確認した。H+F→HFによりC/F比のF低下と共に、HFが石英のエッチングを促進したためと考えられる。 Furthermore, it was confirmed that selective deposition can be realized by adding H 2 to CF 4 gas having a weak deposition property. This is considered to be because HF promoted the etching of quartz as the C / F ratio decreased due to H + F → HF.

これらのことから、選択デポを実現するには、適切なC/F比制御を行うと共に、デポの元になるCの存在と、石英表面のエッチングを促進するHFの存在がキーとなることがわかった。従って、HFが生成される場合に、選択デポが実現し得ることがわかった。   From these facts, in order to realize the selective depot, the appropriate C / F ratio control is performed, and the presence of C as the source of the depot and the presence of HF that promotes the etching of the quartz surface are key. all right. Therefore, it has been found that a selection depot can be realized when HF is generated.

以上より、本実施例第1の工程S210において、難揮発性材料で構成される処理室表面にCH膜を石英材料に対して選択的に堆積させる。次いで、第2の工程において、製品ウエハをエッチングする。これにより、第2の工程S220において、難揮発性材料の削れや腐食を原理的に抑制できるため、難揮発性物質である処理室表面部材起因(アース103)の異物を低減することができる。また、石英材料の上にはCHの堆積がなくCHの剥がれ等による処理室表面での材料変動が抑制され、処理室表面における温度が一定となり、プロセス変動が低減され、安定した量産処理を行うことができる。 As described above, in the first step S210 of this embodiment, the CH x F y film is selectively deposited on the quartz material on the surface of the processing chamber made of the hardly volatile material. Next, in a second step, the product wafer is etched. Thereby, in the 2nd process S220, since a shaving and corrosion of a hardly volatile material can be controlled in principle, a foreign substance derived from a processing chamber surface member (earth 103) which is a hardly volatile substance can be reduced. Further, there is no deposition of CH x F y on the quartz material, material fluctuation on the surface of the processing chamber due to peeling of CH x F y and the like is suppressed, the temperature on the surface of the processing chamber becomes constant, process fluctuation is reduced, Stable mass production processing can be performed.

尚、製品エッチング(第2の工程S220)は、ウエハを1枚だけ処理しても、1ロット(25枚)のように複数枚を連続処理しても良い。すなわち、処理枚数に応じてCHコーティング処理を行う第1の工程S210の処理時間を調整して、難揮発性材料で構成される処理室表面のCH膜の被覆が除去されないように調整することが可能だからである。また、本実施例では、処理室表面材料に石英製シャワープレート101や石英製内筒102といった石英製部品を例に説明したが、SiとOを含有する表面材料であればCHの選択デポは実現できる。 In the product etching (second step S220), only one wafer may be processed, or a plurality of sheets may be continuously processed as in one lot (25 sheets). That is, the processing time of the first step S210 for performing the CH x F y coating process according to the number of processed sheets is adjusted, and the coating of the CH x F y film on the surface of the processing chamber made of a hardly volatile material is not removed. It is because it is possible to adjust as follows. Further, in this embodiment, the quartz material such as the quartz shower plate 101 and the quartz inner cylinder 102 has been described as an example of the processing chamber surface material. However, if the surface material contains Si and O, CH x F y is used. Selection depots can be realized.

最後に、石英製シャワープレート101や石英製内筒102といったSiとOを含む材料の表面温度t1と難揮発性材料で構成する接地されたアース103の表面温度t2の関係がt1>t2で且つt1とt2の差を大きくすることが望ましい。この適用により、選択デポをより顕著にすることが可能となる。すなわち、石英製シャワープレート101や石英製内筒102といったSiとOを含む材料にはCHが堆積しないのに対して、難揮発性材料で構成する接地されたアース103には高レートでCHが堆積するようになる。 Finally, the relationship between the surface temperature t 1 of the material containing Si and O, such as the quartz shower plate 101 and the quartz inner cylinder 102, and the surface temperature t 2 of the grounded earth 103 composed of the hardly volatile material is t 1 > it is desirable to increase the difference in t 1 and t 2 and at t 2. This application makes it possible to make the selection depot more prominent. That is, CH x F y is not deposited on materials containing Si and O such as the quartz shower plate 101 and the quartz inner cylinder 102, whereas the grounded earth 103 made of a hardly volatile material has a high rate. As a result, CH x F y is deposited.

以上本実施例によれば、処理室表面部材起因の異物を低減可能なプラズマ処理方法を提供することができる。また、CH膜は選択的に堆積され石英材料の上にはCHの堆積がなく、処理室表面温度を一定にできるためプロセス変動が低減され、安定した量産処理を行うことができる。 As described above, according to the present embodiment, it is possible to provide a plasma processing method capable of reducing foreign substances caused by the processing chamber surface member. Further, the CH x F y film is selectively deposited and there is no CH x F y deposition on the quartz material, and the process chamber surface temperature can be kept constant, thereby reducing process fluctuations and performing stable mass production processing. Can do.

本発明の第2の実施例に係るプラズマ処理方法について説明する。なお、実施例1に記載され本実施例に未記載の事項は特段の事情がない限り本実施例にも適用することができる。実施例1では、図2に示すエッチングフローのうち、CHコーティング処理を行う第1の工程S210と製品エッチング処理を行う第2の工程S220を有する処理方法を説明した。第2の実施例では、図2に示すエッチングフローのうち第1と第2の工程に加えて第1と第2の工程の間に、石英部材の表面状態を整えるシーズニング処理S310を含む。 A plasma processing method according to the second embodiment of the present invention will be described. Note that the matters described in the first embodiment and not described in the present embodiment can also be applied to the present embodiment unless there are special circumstances. In the first embodiment, the processing method including the first step S210 for performing the CH x F y coating process and the second step S220 for performing the product etching process in the etching flow shown in FIG. 2 has been described. In the second embodiment, in addition to the first and second steps in the etching flow shown in FIG. 2, a seasoning process S310 for adjusting the surface state of the quartz member is included between the first and second steps.

シーズニング処理S310は、製品エッチング(第2の工程S210)の前に処理室を製品ウエハに搬送する前に実施する。ダミーウエハを用いるかウエハレスでよい。シーズニング処理は、主に石英部材の最表面1〜2nmの状態を整えるために実施し、製品エッチング中と同じ表面状態を実現するために行う処理である。   The seasoning process S310 is performed before the process chamber is transferred to the product wafer before the product etching (second step S210). A dummy wafer may be used or waferless. The seasoning process is a process performed mainly for adjusting the state of the outermost surface of 1 to 2 nm of the quartz member and realizing the same surface state as during product etching.

図5は、目標寸法16nmのハードマスク加工(製品エッチング)を1ロット25枚処理したときの寸法トレンドを示すグラフである。シーズニング処理有無を異なるプロットにて示している。シーズニング処理を実施しない場合は、1枚目で特に寸法が小さくなる1stウエハ効果が見られる一方で、シーズニング処理有では1stウエハ効果が改善されている。本実施例では、シーズニング処理のプラズマ条件は、製品エッチングの処理条件と全く同じであるが、その一部(例えば複数レシピで構成されていれば、最後の数レシピ)が同じであっても同様の効果が期待できる場合がある。   FIG. 5 is a graph showing a dimensional trend when 25 lots of 1 lot of hard mask processing (product etching) with a target dimension of 16 nm are processed. The presence or absence of seasoning is indicated by different plots. In the case where the seasoning process is not performed, the 1st wafer effect in which the size is particularly reduced is seen in the first sheet, while the 1st wafer effect is improved with the seasoning process. In this embodiment, the seasoning process plasma conditions are exactly the same as the product etching process conditions, but the same is true even if some of them are the same (for example, the last few recipes if they are composed of multiple recipes). May be expected.

以上より、本実施例のシーズニング処理S310を実施することにより、石英部材の最表面1〜2nmの状態を整えることができるため、実施例1に比べより安定した量産処理を行うことができる。   As described above, since the state of the outermost surface of 1 to 2 nm of the quartz member can be adjusted by performing the seasoning process S310 of the present embodiment, a more stable mass production process can be performed as compared with the first embodiment.

以上本実施例によれば、実施例1と同様の効果を得ることができる。また、シーズニング処理を行うことにより、実施例1に比べより安定した量産処理を行うことができる。   As described above, according to the present embodiment, the same effects as those of the first embodiment can be obtained. Further, by performing the seasoning process, a more stable mass production process can be performed as compared to the first embodiment.

本発明の第3の実施例に係るプラズマ処理方法について説明する。なお、実施例1又は2に記載され本実施例に未記載の事項は特段の事情がない限り本実施例にも適用することができる。実施例1では、図2に示すエッチングフローのうち、CHコーティング処理を行う第1の工程S210と製品エッチング処理を行う第2の工程S220を有する処理方法を説明した。第3の実施例では、図2に示すエッチングフローのうち第1と第2の工程に加えて、製品ウエハをエッチング処理して生じ処理室表面に堆積した反応生成物をクリーニング処理する工程(メタルクリーニング処理工程)S410とアース103のCH堆積物のクリーニングを行う工程S420を有する。 A plasma processing method according to the third embodiment of the present invention will be described. Note that matters described in the first or second embodiment but not described in the present embodiment can also be applied to the present embodiment unless there are special circumstances. In the first embodiment, the processing method including the first step S210 for performing the CH x F y coating process and the second step S220 for performing the product etching process in the etching flow shown in FIG. 2 has been described. In the third embodiment, in addition to the first and second steps in the etching flow shown in FIG. 2, a step of cleaning the reaction product produced by etching the product wafer and deposited on the surface of the processing chamber (metal) (Cleaning process step) S410 and a step S420 for cleaning the CH x F y deposit on the ground 103.

難揮発性反応生成物としてよく知られるのは、Al(AlO、AlF)やTi(TiF、TiO)である。第2の工程S220でこれらの金属が処理室表面に堆積する場合には、引き続いて量産処理を行うとプロセス変動の原因となる。従って、適切なメタルクリーニングを行わなければならない。メタルクリーニング処理工程S410で用いるガスとして、BCl、SiCl、HClを含むガスやこれらのガスとClの混合ガス、CとClを含む混合ガス、HとClを含む混合ガスが有効である。これは、Al,Tiは塩化物において揮発性が高いことに起因しており、塩化を促進する必要があるためである。つまり、Cl以外の含有物は塩化を促進するための還元剤としての機能を期待するものである。 Al (AlO, AlF) and Ti (TiF, TiO) are well known as hardly volatile reaction products. In the case where these metals are deposited on the surface of the processing chamber in the second step S220, subsequent mass production processing may cause process variations. Therefore, proper metal cleaning must be performed. As the gas used in the metal cleaning process step S410, a gas containing BCl 3 , SiCl 4 , HCl, a mixed gas of these gases and Cl 2, a mixed gas containing C and Cl, or a mixed gas containing H and Cl is effective. . This is due to the fact that Al and Ti are highly volatile in chloride, and it is necessary to promote chlorination. That is, inclusions other than Cl are expected to function as a reducing agent for promoting chlorination.

次に、アース部のCH膜は、第2の工程後に除去されてしまう場合とそうでない場合がある。除去が不十分な場合には、量産処理を行うことでCH膜が成長を続けてしまい、この膜が剥がれて異物となる可能性がある。そこで、CH膜のクリーニングが有効である。CHクリーニング処理工程S420で用いるガスとしては、アース部のCHxFy膜を除去するのに有効なOやNを含むガスが好適であり、製品ウエハのエッチング中にSiを含有する場合には、Si系反応生成物に有効なF系ガスであるSF、CF、NFを含むガスを用いるか、OやNを含む混合ガスが最適である。 Next, the CH x F y film in the ground portion may or may not be removed after the second step. If the removal is insufficient, the CH x F y film may continue to grow by performing mass production processing, and this film may peel off and become a foreign substance. Therefore, cleaning of the CH x F y film is effective. As the gas used in the CH x F y cleaning processing step S420, a gas containing O or N effective for removing the CHxFy film in the ground portion is suitable, and when Si is contained during the etching of the product wafer. A gas containing SF 6 , CF 4 , or NF 3 which is an effective F-based gas for the Si-based reaction product is used, or a mixed gas containing O or N is optimal.

図2のシーケンスにおいて、第1の工程S210,シーズニング処理工程S310,第2の工程S220,メタルクリーニング処理工程S410,CHクリーニング処理工程S420の順に処理するシーケンスにおいて、第1の工程S210の処理有無による連続処理を行い、粒径30nm以上の異物数の推移をReview SEMを用いて調べた。図6は、CHコーティング処理有無における不揮発性物質組成の異物数の推移を示すグラフである。CHコーティング処理無では、10個程度の異物が観測されている一方で、CHコーティング処理有では、1個も異物が観測されず、大変良好な結果が得られた。 In the sequence shown in FIG. 2, the first process S210, the seasoning process S310, the second process S220, the metal cleaning process S410, and the CH x F y cleaning process S420 are performed in the order of the first process S210. A continuous treatment was performed depending on the presence or absence of the treatment, and the transition of the number of foreign matters having a particle size of 30 nm or more was examined using a Review SEM. FIG. 6 is a graph showing the transition of the number of foreign substances of the non-volatile substance composition with and without the CH x F y coating treatment. In the absence of the CH x F y coating treatment, about 10 foreign matters were observed, while in the presence of the CH x F y coating treatment, no foreign matter was observed and very good results were obtained.

以上より、第1の工程におけるCHコーティング処理により異物付着を抑制できる(処理無に比し処理有での異物数が少ない)ことが確認された。また、第1の工程に更に本実施例で新たに加えたメタルクリーニング処理工程S410とCHクリーニング処理工程S420を用いるにより、第2の工程で生成した処理室表面の難揮発性反応生成物も除去される(付着異部数が観測されず)ことが分かる。したがって、メタルクリーニング処理工程とCHクリーニング処理工程を実施することで、実施例1に比べ、より長期に渡る量産処理おけるプロセス変動を抑制することができる。また、アース103上へのCH膜の極度な堆積被膜の増加による異物発生を抑えることができるため、より安定した量産処理を行うことができる。なお、実際の量産における製品エッチングでは、第2の工程とメタルクリーニング処理工程S410との間で製品(ウエハ)を処理室から取り出すことができる。また、メタルクリーニング処理工程S410とCHクリーニング処理工程S420との間で製品(ウエハ)を処理室から取り出すことができる。 From the above, it was confirmed that the foreign matter adhesion can be suppressed by the CH x F y coating treatment in the first step (the number of foreign matters with treatment is smaller than that without treatment). Further, by using the metal cleaning processing step S410 and the CH x F y cleaning processing step S420 newly added to the first step in the first step, the generation of a hardly volatile reaction on the surface of the processing chamber generated in the second step. It can be seen that the objects are also removed (the number of attached different parts is not observed). Therefore, by performing the metal cleaning process and the CH x F y cleaning process, it is possible to suppress process fluctuations in the mass production process over a longer period than in the first embodiment. In addition, since the generation of foreign matter due to an extreme increase in the deposited film of the CH x F y film on the earth 103 can be suppressed, more stable mass production processing can be performed. In product etching in actual mass production, the product (wafer) can be taken out from the processing chamber between the second step and the metal cleaning processing step S410. Further, the product (wafer) can be taken out from the processing chamber between the metal cleaning processing step S410 and the CH x F y cleaning processing step S420.

尚、難揮発性材料で構成する接地されたアース103にダメージを与える主要因が図2のシーケンスのうちメタルクリーニング処理(工程S410)の場合がある。この場合は、図7に示すシーケンスを用いることができる。図7は、本実施例に係るプラズマ処理方法を説明するための他の処理フロー図であり、CHコーティング(第1の工程S210)後にメタルクリーニング処理(工程S411)を実施する量産エッチングフローである。この場合は、メタルクリーニング中のみ接地されたアース部のCH膜が被膜されていれば、異物は発生しない。一方、石英表面上の難揮発性反応生成物は容易に除去することが可能となる。 The main factor that damages the grounded earth 103 made of a hardly volatile material may be a metal cleaning process (step S410) in the sequence of FIG. In this case, the sequence shown in FIG. 7 can be used. FIG. 7 is another process flow chart for explaining the plasma processing method according to the present embodiment, and mass production etching for performing a metal cleaning process (process S411) after the CH x F y coating (first process S210). It is a flow. In this case, no foreign matter is generated as long as the CH x F y film of the grounding portion that is grounded only during metal cleaning is coated. On the other hand, the hardly volatile reaction product on the quartz surface can be easily removed.

以上本実施例によれば、実施例1と同様の効果を得ることができる。また、メタルクリーニング処理(工程S410、S411)とCH膜のクリーニング処理(工程S420)を用いるにより、より付着異物数を低減することができる。 As described above, according to the present embodiment, the same effects as those of the first embodiment can be obtained. Further, the number of adhered foreign matters can be further reduced by using the metal cleaning process (steps S410 and S411) and the CH x F y film cleaning process (step S420).

本発明の第4の実施例に係るプラズマ処理方法について説明する。なお、実施例1乃至3のいずれかに記載され本実施例に未記載の事項は特段の事情がない限り本実施例にも適用することができる。実施例1では、図2に示すエッチングフローのうち、CHコーティング処理を行う第1の工程S210と製品エッチング処理を行う第2の工程S220を有する処理方法を説明した。第4の実施例では、図2に示すエッチングフローのうち第1と第2の工程の前に処理室表面温度を上昇させるヒーティング処理を行う工程S510を有する。 A plasma processing method according to the fourth embodiment of the present invention will be described. Note that the matters described in any of the first to third embodiments but not described in the present embodiment can also be applied to the present embodiment unless there are special circumstances. In the first embodiment, the processing method including the first step S210 for performing the CH x F y coating process and the second step S220 for performing the product etching process in the etching flow shown in FIG. 2 has been described. The fourth embodiment includes a step S510 of performing a heating process for increasing the processing chamber surface temperature before the first and second steps in the etching flow shown in FIG.

第1の実施例に示した第1の工程S210だけでもCHの選択デポが可能であり石英表面上へのCHの堆積を抑制することが可能だが、処理室表面の温度を制御することにより石英表面への極薄いnmレベルでの付着を極限まで減少させることができ、選択性が向上する。これは、石英表面へのCHデポの付着係数を低下させる効果とともに、HFの化学吸着による反応レートの増加、すなわち石英のエッチングレートを上昇させてCのリフトオフ効果をより期待できる。従って、第1の工程S210の前にプラズマを用いて処理室最表面温度を上昇させるヒーティング処理(工程S510)を行い、その後第1の工程S210を実施することにより、石英表面へのデポ付着を極限まで少なくすることが可能になる。ヒーティング処理(工程S510)に用いるガスは、処理室表面状態に影響を与えない、もしくはSiやCクリーニング効果もあるAr、He、O、Fを含むガスなどが好適である。 But it is possible to suppress the deposition of CH x F y to the first step S210 only the selected depot CH x F y in is possible and quartz surface shown in the first embodiment, the temperature of the processing chamber surfaces By controlling the above, it is possible to reduce the adhesion at the ultra-thin nm level to the quartz surface to the limit, and the selectivity is improved. In addition to the effect of reducing the CH x F y deposit adhesion coefficient on the quartz surface, this can be expected to increase the reaction rate due to chemical adsorption of HF, that is, to increase the etching rate of quartz, thereby further increasing the C lift-off effect. Therefore, a deposition process on the quartz surface is performed by performing a heating process (process S510) for increasing the surface temperature of the processing chamber using plasma before the first process S210, and then performing the first process S210. Can be reduced to the limit. The gas used for the heating process (step S510) is preferably a gas containing Ar, He, O, or F that does not affect the surface state of the processing chamber or has a Si or C cleaning effect.

以上より、本実施例のヒーティング処理工程S510を実施することにより、石英表面へのCHデポ付着を極限まで少なくすることが可能となる。このため本実施例1の効果をより顕著にすることが可能となり、安定に量産処理を行うことができる。 As described above, the CH x F y deposit adhesion on the quartz surface can be reduced to the minimum by performing the heating processing step S510 of the present embodiment. For this reason, it becomes possible to make the effect of Example 1 more remarkable, and mass production processing can be performed stably.

以上本実施例によれば、実施例1と同様の効果を得ることができる。また、CHコーティング処理の前にヒーティング処理を行うことにより、実施例1に比べCHコーティング時の選択性が向上する。 As described above, according to the present embodiment, the same effects as those of the first embodiment can be obtained. Further, by performing the heating process before the CH x F y coating process, the selectivity during the CH x F y coating is improved as compared with the first embodiment.

なお、本発明は上記した実施例に限定されるものではなく、様々な変形例が含まれる。例えば、上記した実施例は本発明を分かりやすく説明するために詳細に説明したものであり、必ずしも説明した全ての構成を備えるものに限定されるものではない。また、ある実施例の構成の一部を他の実施例の構成に置き換えることも可能であり、また、ある実施例の構成に他の実施例の構成を加えることも可能である。また、各実施例の構成の一部について、他の構成の追加・削除・置換をすることが可能である。   In addition, this invention is not limited to an above-described Example, Various modifications are included. For example, the above-described embodiments have been described in detail for easy understanding of the present invention, and are not necessarily limited to those having all the configurations described. Further, a part of the configuration of a certain embodiment can be replaced with the configuration of another embodiment, and the configuration of another embodiment can be added to the configuration of a certain embodiment. Further, it is possible to add, delete, and replace other configurations for a part of the configuration of each embodiment.

101:石英製シャワープレート、102:石英製内筒、103:難揮発性材料で構成する接地されたアース、110:ウエハ(被処理物)、111:電極、132:ガス供給装置、140:天板、142:電磁石、150:プラズマを発生させる高周波電源、161:RFバイアス電源、162:整合器、171:処理室の真空排気バルブ、S210:CHコーティング処理工程(第1の工程)、S220:製品ウエハのエッチング処理工程(第2の工程)、S310:石英部材の表面状態を整えるシーズニング処理、S410:製品ウエハをエッチング処理して生じた反応生成物のクリーニング処理工程、S420:アース部のCH堆積物のクリーニング処理工程、S510:処理室表面温度を上昇させるヒーティング処理工程。 DESCRIPTION OF SYMBOLS 101: Quartz shower plate, 102: Quartz inner cylinder, 103: Grounding earth | ground comprised with a hardly volatile material, 110: Wafer (to-be-processed object), 111: Electrode, 132: Gas supply apparatus, 140: Sky Plate: 142: Electromagnet, 150: High-frequency power source for generating plasma, 161: RF bias power source, 162: Matching unit, 171: Vacuum exhaust valve of the processing chamber, S210: CH x F y coating processing step (first step) , S220: Product wafer etching process (second process), S310: Seasoning process for adjusting the surface state of the quartz member, S410: Reaction product cleaning process generated by etching the product wafer, S420: Earth cleaning process of the CH x F y deposits parts, S510: heating increases the processing chamber surface temperature computing Management process.

Claims (8)

Si元素とO元素を含有する第1の材料と難揮発性材料である第2の材料とを表面材料とする処理室にて被処理物をプラズマ処理するプラズマ処理方法において、
前記第1の材料により構成された処理室表面に対して前記第2の材料により構成された処理室表面に選択的にC元素とH元素とF元素を含有する膜を堆積させる第1の工程と、
前記第1の工程後、前記被処理物をプラズマ処理する第2の工程とを有することを特徴とするプラズマ処理方法。
In a plasma processing method of performing plasma processing on an object to be processed in a processing chamber using a first material containing Si element and O element and a second material which is a hardly volatile material as a surface material ,
A first step of selectively depositing a film containing a C element, an H element, and an F element on the surface of the processing chamber constituted by the second material with respect to the surface of the processing chamber constituted by the first material. When,
And a second step of plasma-treating the object to be treated after the first step.
請求項1に記載のプラズマ処理方法において、
前記第1の工程は、C元素とH元素とF元素を含有するガスによるプラズマを用いて前記C元素とH元素とF元素を含有する膜を堆積させることを特徴とするプラズマ処理方法。
The plasma processing method according to claim 1,
The plasma processing method is characterized in that the first step deposits a film containing the C element, the H element, and the F element by using plasma with a gas containing a C element, an H element, and an F element.
請求項2に記載のプラズマ処理方法において、
前記ガスは、CHFガス、CHFガスとOガスの混合ガス、CFガスとHガスの混合ガス、CガスとHガスとOガスの混合ガス、CガスとHガスとNガスの混合ガス、CガスとHガスとSFガスの混合ガスまたはCガスとHガスとCFガスの混合ガスであることを特徴とするプラズマ処理方法。
The plasma processing method according to claim 2,
The gas is CHF 3 gas, mixed gas of CHF 3 gas and O 2 gas, mixed gas of CF 4 gas and H 2 gas, mixed gas of C 4 F 8 gas, H 2 gas and O 2 gas, C 4 F It is a mixed gas of 8 gas, H 2 gas and N 2 gas, a mixed gas of C 4 F 8 gas, H 2 gas and SF 6 gas or a mixed gas of C 4 F 8 gas, H 2 gas and CF 4 gas A plasma processing method characterized by the above.
請求項1乃至請求項3のいずれか一項に記載のプラズマ処理方法において、
前記第2の材料は、ステンレス(SUS)またはイットリウム(Y)元素もしくはアルミニウム(Al)元素を含む材料であることを特徴とするプラズマ処理方法。
In the plasma processing method according to any one of claims 1 to 3,
The plasma processing method, wherein the second material is stainless steel (SUS) or a material containing an yttrium (Y) element or an aluminum (Al) element.
請求項1乃至請求項4のいずれか一項に記載のプラズマ処理方法において、
前記第1の工程は、前記第1の材料により構成された処理室表面温度が前記第2の材料により構成された処理室表面の温度より大きい場合に行われることを特徴とするプラズマ処理方法。
In the plasma processing method according to any one of claims 1 to 4,
The first step is a plasma processing method characterized in that the temperature of the first configured processing chamber surface of a material is performed when the temperature is greater than the second configured processing chamber surfaces by material .
請求項1乃至請求項5のいずれか一項に記載のプラズマ処理方法において、
前記第1の材料により構成された処理室表面温度を上昇させるヒーティング工程を前記第1の工程の前に行うことを特徴とするプラズマ処理方法。
In the plasma processing method as described in any one of Claims 1 thru | or 5,
The plasma processing method characterized by performing the heating process which raises the temperature of the process chamber surface comprised with the said 1st material before the said 1st process.
請求項1乃至請求項6のいずれか一項に記載のプラズマ処理方法において、
前記第2の工程のプラズマ処理の一部または全てと同じプラズマ処理を行うシーズニング工程を前記第1の工程と前記第2の工程との間に行うことを特徴とするプラズマ処理方法。
In the plasma processing method according to any one of claims 1 to 6,
A plasma processing method, wherein a seasoning step for performing the same plasma processing as part or all of the plasma processing in the second step is performed between the first step and the second step.
請求項1乃至請求項7のいずれか一項に記載のプラズマ処理方法において、
前記第2の材料により構成された処理室表面に前記C元素とH元素とF元素を含有する膜を堆積させている時、前記処理室に堆積する金属の反応生成物を除去するメタルクリーニング工程を前記第1の工程と前記第2の工程との間に行うことを特徴とするプラズマ処理方法。
In the plasma processing method as described in any one of Claims 1 thru | or 7,
A metal cleaning step for removing a reaction product of a metal deposited in the processing chamber when a film containing the C element, H element, and F element is deposited on the surface of the processing chamber constituted by the second material. Is performed between the first step and the second step.
JP2015221010A 2015-11-11 2015-11-11 Plasma processing method Active JP6568457B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2015221010A JP6568457B2 (en) 2015-11-11 2015-11-11 Plasma processing method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2015221010A JP6568457B2 (en) 2015-11-11 2015-11-11 Plasma processing method

Publications (3)

Publication Number Publication Date
JP2017092264A JP2017092264A (en) 2017-05-25
JP2017092264A5 JP2017092264A5 (en) 2018-06-07
JP6568457B2 true JP6568457B2 (en) 2019-08-28

Family

ID=58768469

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015221010A Active JP6568457B2 (en) 2015-11-11 2015-11-11 Plasma processing method

Country Status (1)

Country Link
JP (1) JP6568457B2 (en)

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2687769B2 (en) * 1991-07-09 1997-12-08 ソニー株式会社 Dry etching method
JP3288306B2 (en) * 1997-07-22 2002-06-04 松下電器産業株式会社 Method for manufacturing semiconductor device
US7316785B2 (en) * 2004-06-30 2008-01-08 Lam Research Corporation Methods and apparatus for the optimization of etch resistance in a plasma processing system
JP2009188257A (en) * 2008-02-07 2009-08-20 Tokyo Electron Ltd Plasma etching method, plasma etching apparatus, and storage medium
JP5450187B2 (en) * 2010-03-16 2014-03-26 株式会社日立ハイテクノロジーズ Plasma processing apparatus and plasma processing method
JP6235471B2 (en) * 2012-06-20 2017-11-22 東京エレクトロン株式会社 Seasoning method, plasma processing apparatus, and manufacturing method

Also Published As

Publication number Publication date
JP2017092264A (en) 2017-05-25

Similar Documents

Publication Publication Date Title
TWI496210B (en) A plasma etch method and a plasma etch apparatus and a memory medium
TWI713683B (en) Plasma processing method
CN112154534A (en) In-situ CVD and ALD coating of Metal-contaminated Chambers
US20150000694A1 (en) Method of reducing contamination in cvd chamber
CN102856191A (en) Plasma processing method
KR102513051B1 (en) Etching method
JP2008078515A (en) Plasma treatment method
TWI694531B (en) Etching method
JP2008078678A (en) Method for processing plasma
TWI766866B (en) Etching method
KR101953149B1 (en) Plasma processing method
CN109844904B (en) Aluminum fluoride reduction by plasma treatment
JP6275610B2 (en) Plasma processing method and plasma processing apparatus
TWI404140B (en) Dry etching method
JP6568457B2 (en) Plasma processing method
US20230139267A1 (en) Conditioning treatment for ald productivity
JP6486215B2 (en) Plasma processing equipment
JP7339032B2 (en) Substrate processing method and substrate processing apparatus
KR101190804B1 (en) Plasma processing method
Kang et al. Inductively coupled plasma reactive ion etching of sapphire using C2F6-and NF3-based gas mixtures
JPH04313223A (en) Manufacture of semiconductor device
JP3277552B2 (en) ECR plasma CVD method
CN106540927A (en) The cleaning method of reaction chamber
JP2021118221A (en) Film formation method and film formation device
CN106356297B (en) A kind of lithographic method of tantalum nitride TaN film

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180420

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180420

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190305

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20190424

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190620

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190716

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190802

R150 Certificate of patent or registration of utility model

Ref document number: 6568457

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350