JP6250552B2 - マルチレベルソーラーセルメタライゼーション - Google Patents

マルチレベルソーラーセルメタライゼーション Download PDF

Info

Publication number
JP6250552B2
JP6250552B2 JP2014550535A JP2014550535A JP6250552B2 JP 6250552 B2 JP6250552 B2 JP 6250552B2 JP 2014550535 A JP2014550535 A JP 2014550535A JP 2014550535 A JP2014550535 A JP 2014550535A JP 6250552 B2 JP6250552 B2 JP 6250552B2
Authority
JP
Japan
Prior art keywords
layer
metal
solar cell
emitter
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2014550535A
Other languages
English (en)
Other versions
JP2015507848A (ja
JP2015507848A5 (ja
Inventor
メールダッド エム モスレヒ
メールダッド エム モスレヒ
パワン カプール
パワン カプール
カール ジョセフ クラマー
カール ジョセフ クラマー
トム スタルカップ
トム スタルカップ
Original Assignee
ソレクセル、インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ソレクセル、インコーポレイテッド filed Critical ソレクセル、インコーポレイテッド
Publication of JP2015507848A publication Critical patent/JP2015507848A/ja
Publication of JP2015507848A5 publication Critical patent/JP2015507848A5/ja
Application granted granted Critical
Publication of JP6250552B2 publication Critical patent/JP6250552B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022408Electrodes for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/022425Electrodes for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • H01L31/022441Electrode arrangements specially adapted for back-contact solar cells
    • H01L31/022458Electrode arrangements specially adapted for back-contact solar cells for emitter wrap-through [EWT] type solar cells, e.g. interdigitated emitter-base back-contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/028Inorganic materials including, apart from doping material or other impurities, only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0682Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells back-junction, i.e. rearside emitter, solar cells, e.g. interdigitated base-emitter regions back-junction cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Sustainable Development (AREA)
  • Sustainable Energy (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Photovoltaic Devices (AREA)

Description

関連出願の相互参照
本出願は、2011年12月30日に出願した米国特許仮出願番号第61/582,184号に対する優先権を主張し、引用により全体が本明細書に組み込まれる。
本開示は、全体として光発電および半導体マイクロエレクトロニックスの分野に関する。より詳細には、本開示は、高効率裏面コンタクト結晶シリコン光発電ソーラーセルに関する方法、アーキテクチャおよび装置に関する。
現在、結晶シリコン(マルチ結晶シリコンおよび単結晶シリコンの両者)は、光発電(PV)産業において最大の市場シェアを有し、全世界PV市場シェアの約85%を占める。より薄い結晶シリコンソーラーセルへと移行することがPVコスト低減のために最も強力であり効果的な方法の1つであると長い間理解されているが、(全PVモジュールコストの一部としてソーラーセルにおいて使用される結晶シリコンウェハの比較的高い材料コストのために)、より薄い結晶ウェハを利用することは、薄いウェハが極端に脆いこと、ウェハ取り扱いおよびセル処理中の機械的破壊、ならびに薄くかつ脆いシリコンウェハによって引き起こされる結果としての製造歩留り損失の問題によって妨げられている。他の問題は、シリコンが間接バンドギャップ半導体材料であり、より長い波長の赤色および赤外フォトンの吸収(特に、約900nm〜1150nmの波長範囲内の吸収)が比較的長い光路長(多くの場合ウェハ厚さそれ自体よりもはるかに長い)を必要とするので、薄いセル構造内の光トラッピングが不十分であることを含む。さらに、既知の設計および製造技術により、コスト的に効率良く、PV工場において高い製造歩留りで、高い機械的降伏の要件とウェハ破壊率の低減とをバランスさせることは、しばしば難しい。
現在の結晶シリコンウェハソーラーセルに関して、基板(半導体吸収体)厚さに関係して、140μm〜200μmの現在の厚さ範囲よりもわずかに薄いものへ移行することでさえ、セルおよびモジュール製造中の機械的降伏をひどく損ない始めている。これは、特に、(より小さな125mm×125mmセルと比較して)156mm×156mmおよび210mm×210mmセルなどのより大きなセルサイズにとっての大きな挑戦である。したがって、約100μmよりも薄くミクロンサイズスケールおよびサブミクロンの厚さまでのセル半導体吸収体の場合などの、非常に薄いソーラーセル構造を処理することを対象とする製造可能な解決策は、プロセスフロー全体を通して一時的なおよび/もしくは恒久的なホストキャリアのいずれかによってセルが十分に支持されるセルプロセス、または新規な自己支持、スタンドアロン、構造的な技術革新を用いる基板を利用するセルプロセスをしばしば利用しなければならない。この構造的な技術革新は、セル基板が高スループットのソーラーセルおよびモジュール工場における破壊に対して非常に堅固なものにすることができなければならない。後者の例は、結晶シリコン薄膜を用いて形成した新規な3次元ハニカムおよびピラミッド状構造である。
セルアーキテクチャ面では、裏面接合/裏面コンタクト型単結晶半導体(単結晶シリコンなど)ソーラーセルは、非常に高い効率をもたらす。これは、主に、おもて側での金属シェーディングに関係する損失がなく、おもて面上にエミッタがないためであり、これは高い青色応答をもたらすことに役立つ。さらに、n型ベースの使用は、p型ベースと比較してはるかに長い少数キャリアライフタイム、ならびに光誘起劣化(LID)のないことを可能にする。加えて、n型ベースを有する裏面コンタクト/裏面接合セルは、窒化シリコンを含むパッシベーション層(または層スタック)内に正の固定電荷を有する、十分に確立した窒化シリコンおもて側パッシベーションおよび反射防止コーティング層を使用することができる。窒化シリコンは、電界アシスト型パッシベーションによって可能にされる低いおもて側表面再結合速度(FSRV)を有するおもて側表面パッシベーションの改善を提供する。さらに、裏側金属を、より厚くかつより高い面積カバレッジ(例えば、90%をはるかに超える)で作ることができ、おもて面コンタクト型セルについてしばしば検討事項であるシェーディングとのトレードオフについて懸念することなしに非常に低い直列抵抗(または非常に高い金属インターコネクト電気導電率)を確実にする。裏面コンタクト/裏面接合セルは、特に、少なくとも2つの明確な理由のために非常に薄い基板(例えば、ソーラーセル基板)と組み合わせて高導電性である。第1に、高効率裏面コンタクト/裏面接合セルは、基板(または能動結晶半導体吸収体)の厚さの少なくとも5倍(少なくともほぼ5倍)の少数キャリア拡散長(Leffとして知られる)を有するという厳格な要件を有する。(例えば、約80ミクロン未満、より好ましくは約50ミクロン未満の結晶半導体層厚さを有する)非常に薄いソーラーセル基板は、非常に高いバルク基板ライフタイムまたは非常に高品質な材料を必要とせずにこの要件を可能にし、したがって、実際には、最も厳格な基板品質要件を取り除いた安価な出発材料で実現される。これは間接的に、さらなるコスト面での利点を与える。すなわち材料を薄くすることに加えて、材料の品質を緩和することが可能である。第2の理由は、裏面コンタクト/裏面接合セルの製造を可能にするプロセスフローに関係する(後続の項においてさらに論じられることになる)。裏面コンタクトセルアーキテクチャおよび関係するプロセスフローを、すべて高温プロセスステップ(すなわち、ほぼ400℃〜約1150℃の範囲内のプロセス温度を有する任意のセルプロセスステップ)をセルの一方の側にすることができるので、薄い基板のキャリアが他方の側での処理を受ける時の、薄い基板のキャリアに関する要件を、かなり緩める。したがって、裏面コンタクト/裏面接合アーキテクチャと共に(例えば、約80ミクロン未満、より好ましくは約50ミクロン未満の結晶半導体層厚さを有する)非常に薄い基板を使用することは、理想的なソーラーセル組み合わせを表すことができる。
過去には、薄い基板用にガラスなどのキャリアを使用することがソーラーPV R&Dにおいて試みられてきている。しかしながら、これらのキャリアは、ソーダライムガラス(または大部分の他のシリコン以外の異質の(foreign)材料)のケースでは、比較的低い最高処理温度を含む深刻な制限に悩まされており、処理温度をほぼ400℃よりも十分に低く制限することを伴う−これは潜在的にソーラーセル効率を損なうことがある。深刻な破壊の懸念のない小面積(例えば、10cm2よりもはるかに小さいセル面積)の薄いセルを作ることが、やはり試みられてきている(とはいえ、小面積の薄いセルは、ほぼ400℃よりも十分に低くまでのプロセス温度の制限を含む熱処理制限に依然として悩まされている)。しかしながら、大きなセル面積(100cm2よりはるかに大きな面積)は、コスト効率の高い製造を介した実用化がしばしば必要とされる。
したがって、ソーラーセル用のメタライゼーションに関する製造方法および設計が求められている。
開示した主題によれば、ソーラーセルのマルチレベルメタライゼーションのための方法、構造、および装置が提供される。これらの発明は、以前に開発されたソーラーセルに関係する欠点および問題を実質的に低減または排除する。
開示した主題の一態様によれば、ソーラーセルのマルチレベルメタライゼーションに関係する製造方法および構造が説明される。一実施形態では、裏面コンタクトソーラーセルは、受光おもて側表面と、パターン形成エミッタおよびベース領域を形成するための裏側表面とを有する基板を備える。第1の導電性メタライゼーション層は、裏側ベースおよびエミッタ領域上にパターン形成される。電気絶縁層は、第1の導電性メタライゼーション層上に形成され、第2の導電性メタライゼーション層は、電気絶縁層上に形成される。第2の導電性メタライゼーション層は、電気絶縁層内に形成された導電性ビアプラグを介して第1の導電性メタライゼーション層に接続される。
開示した主題のこれらの利点および他の利点、ならびにさらなる新規な特徴は、本明細書中に与えられた記述から明らかであろう。この概要の意図は、主題の包括的な記述ではなく、むしろ主題の機能のうちのいくつかの短い概観を提供することである。ここに与えられた他のシステム、方法、特徴および利点は、下記の図および詳細な説明を考察すれば当業者には明らかになるであろう。本明細書内に含まれるすべてのこのようなさらなるシステム、方法、特徴および利点は、特許請求の範囲の範囲内であるものとする。
開示した主題の特徴、特質、および利点は、図面を併用すると下記に記述した詳細な説明からより明確になり、図面では類似の参照番号は類似の構成を示す。
ソーラーセル処理キャリア組み合わせを図示する図である。 裏面コンタクトソーラーセル実施形態の断面図である。 エクスサイチュ(ex−situ)エミッタプロセスフロー実施形態を図示する図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 裏面コンタクトソーラーセルの処理ステップ後の断面図である。 裏面コンタクトソーラーセルの処理ステップ後の断面図である。 裏面コンタクトソーラーセルの処理ステップ後の断面図である。 裏面コンタクトソーラーセルの処理ステップ後の断面図である。 裏面コンタクトソーラーセルの処理ステップ後の断面図である。 裏面コンタクトソーラーセルの処理ステップ後の断面図である。 裏面コンタクトソーラーセルの処理ステップ後の断面図である。 裏面コンタクトソーラーセルの処理ステップ後の断面図である。 裏面コンタクトソーラーセルの処理ステップ後の断面図である。 裏面コンタクトソーラーセルの処理ステップ後の断面図である。 裏面コンタクトソーラーセルの処理ステップ後の断面図である。 裏面コンタクトソーラーセルの処理ステップ後の断面図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 へき開した基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 バルクウェハを使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 バルクウェハを使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 バルクウェハを使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 バルクウェハを使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 バルクウェハを使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 バルクウェハを使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 バルクウェハを使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 バルクウェハを使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 バルクウェハを使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 バルクウェハを使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 選択エミッタについての裏面コンタクトソーラーセル製造プロセスフローの図である。 図46のフローから得られるセルの断面図である。 裏面コンタクトソーラーセル製造プロセスフローの図である。 図48のフローから得られるセルの断面図である。 裏面コンタクトソーラーセル製造プロセスフローの図である。 レトログレードレジスト側壁を有する構造の断面図である。 さまざまな処理ステップ後のソーラーセルバックプレーン実施形態の上面図である。 さまざまな処理ステップ後のソーラーセルバックプレーン実施形態の上面図である。 さまざまな処理ステップ後のソーラーセルバックプレーン実施形態の上面図である。 さまざまな処理ステップ後のソーラーセルバックプレーン実施形態の上面図である。 さまざまな処理ステップ後のソーラーセルバックプレーン実施形態の上面図である。 さまざまな処理ステップ後のソーラーセルバックプレーン実施形態の上面図である。 ヘテロ接合セルについての裏面コンタクトソーラーセル製造プロセスフローの図である。 ヘテロ接合セルについての裏面コンタクトソーラーセル製造プロセスフローの図である。 ヘテロ接合アーキテクチャを有するソーラーセルの断面図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 エピタキシャル基板を使用する裏面コンタクトソーラーセル製造プロセスフローの図である。 バックプレーン処理ステップ後の裏面コンタクトソーラーセルの上面図である。 バックプレーン処理ステップ後の裏面コンタクトソーラーセルの断面図である。 バックプレーン処理ステップ後の裏面コンタクトソーラーセルの断面図である。 バックプレーン処理ステップ後の裏面コンタクトソーラーセルの断面図である。 バックプレーン処理ステップ後の裏面コンタクトソーラーセルの上面図である。 バックプレーン処理ステップ後の裏面コンタクトソーラーセルの断面図である。 バックプレーン処理ステップ後の裏面コンタクトソーラーセルの断面図である。 ある処理ステップ後のプルート構造の断面図である。 ある処理ステップ後のプルート構造の断面図である。 ある処理ステップ後のプルート構造の断面図である。 ある処理ステップ後のプルート構造の断面図である。 4層バックプレーンオアシス構造の断面図を示す図である。 4層バックプレーンオアシス構造の上面図を示す図である。 4層バックプレーンオアシス構造の上面図を示す図である。 4層バックプレーンオアシス構造のプロセスフローを示す図である。 4層バックプレーンオアシス構造の断面図を示す図である。 4層バックプレーンオアシス構造の断面図を示す図である。 さまざまなセルバックプレーンメタルフィンガ設計の上面図である。 さまざまなセルバックプレーンメタルフィンガ設計の上面図である。 さまざまなセルバックプレーンメタルフィンガ設計の上面図である。 さまざまなセルバックプレーンメタルフィンガ設計の上面図である。 バックプレーン実施形態の上面図である。 オアシス構造の断面図を示す図である。 ハイブリッド構造の断面図である。 ハイブリッド構造の断面図である。 ハイブリッド構造の断面図である。 浸漬コンタクトボンディング構造実施形態の断面図である。 浸漬コンタクトボンディング構造実施形態の断面図である。 裏面コンタクトソーラーセル製造プロセスフローの図である。 プルートバックプレーン構造を製造するためのプロセスフローを示す図である。 オアシスバックプレーン構造を製造するためのプロセスフローを示す図である。 裏面コンタクトソーラーセルプロセスフローのプルート実施形態の製造ステップ中のセルの断面図を示す図である。 裏面コンタクトソーラーセルプロセスフローのプルート実施形態の製造ステップ中のセルの断面図を示す図である。 裏面コンタクトソーラーセルプロセスフローのプルート実施形態の製造ステップ中のセルの断面図を示す図である。 裏面コンタクトソーラーセルプロセスフローのプルート実施形態の製造ステップ中のセルの断面図を示す図である。 裏面コンタクトソーラーセルプロセスフローのプルート実施形態の製造ステップ中のセルの断面図を示す図である。 裏面コンタクトソーラーセルプロセスフローのプルート実施形態の製造ステップ中のセルの断面図を示す図である。 裏面コンタクトソーラーセルプロセスフローのプルート実施形態の製造ステップ中のセルの断面図を示す図である。 裏面コンタクトソーラーセルプロセスフローのプルート実施形態の製造ステップ中のセルの断面図を示す図である。 裏面コンタクトソーラーセルプロセスフローのプルート実施形態の製造ステップ中のセルの断面図を示す図である。 裏面コンタクトソーラーセルプロセスフローのプルート実施形態の製造ステップ中のセルの断面図を示す図である。 裏面コンタクトソーラーセルプロセスフローのオアシス実施形態の製造ステップ中のセルの上面図を示す図である。 裏面コンタクトソーラーセルプロセスフローのオアシス実施形態の製造ステップ中のセルの断面図を示す図である。 裏面コンタクトソーラーセルプロセスフローのオアシス実施形態の製造ステップ中のセルの上面図を示す図である。 裏面コンタクトソーラーセルプロセスフローのオアシス実施形態の製造ステップ中のセルの断面図を示す図である。 事前に開孔した誘電体シートを使用するオアシス構造2ステップラミネーションの断面図を示す図である。 事前に開孔した誘電体シートを使用するオアシス構造単一ステップラミネーションの断面図を示す図である。 裏面コンタクトソーラーセル形成中のプルートハイブリッド構造の断面図である。 裏面コンタクトソーラーセル形成中のプルートハイブリッド構造の断面図である。 裏面コンタクトソーラーセル形成中のプルートハイブリッド構造の断面図である。 裏面コンタクトソーラーセル形成中のプルートハイブリッド構造の断面図である。 互いに組み合わせられた裏面コンタクトソーラーセルの例示的なマルチレベルメタライゼーション実施形態を示す図である。 互いに組み合わせられた裏面コンタクトソーラーセルの例示的なマルチレベルメタライゼーション実施形態を示す図である。 互いに組み合わせられた裏面コンタクトソーラーセルの例示的なマルチレベルメタライゼーション実施形態を示す図である。 裏側マルチレベルメタライゼーション設計を備えた裏面コンタクトソーラーセルの断面図である。 裏側マルチレベルメタライゼーション設計を備えた裏面コンタクトソーラーセルの断面図である。 裏側マルチレベルメタライゼーション設計を備えた裏面コンタクトソーラーセルの断面図である。 メタル1設計の関数としての電力損に対する設計関連計算結果を示すグラフである。 メタライゼーションピッチおよびM1ベース−エミッタ金属幅比に対するM2バックプレーン直交フィンガの数の相対感度を示すグラフである。 オンセルアルミニウム金属(M1)の厚さと、絶対セル効率損失=0.25%(60mW)におけるバックプレーン直交アルミニウム−金属−箔フィンガペア(M2)の数を示すグラフである。 オンセルアルミニウム金属(M1)の厚さと、絶対セル効率損失=0.50%(120mW)におけるバックプレーン直交アルミニウム−金属−箔フィンガペア(M2)の数を示すグラフである。
下記の説明は、限定的な意味で捉えられるベきではなく、本開示の一般的な原理を説明する目的でなされる。本開示の範囲は、特許請求の範囲を参照して決定されるベきである。本開示の例示的な実施形態は、図面において図示され、類似の番号を、さまざまな図面の類似の部分および対応する部分を参照するために使用する。
そして、本開示を結晶シリコンおよび他の製造材料などの具体的な実施形態を参照して説明するが、当業者なら、本明細書において論じた原理を過度に実験せずに他の材料、技術領域、および/または実施形態に適用することができる。
開示した主題は、好ましくは厚さで約1ミクロン(1μm)未満から約100ミクロン(100μm)に至るまでの範囲、さらに詳細には、厚さで約1ミクロン(1μm)〜約50ミクロン(50μm)までの範囲のセル吸収体層(または基板)を有する単結晶シリコンなどの薄い結晶半導体吸収体を具体的に使用する高効率裏面接合/裏面コンタクト型ソーラーセルに関するさまざまな構造および製造方法を提供する。提供されたセル構造および製造方法はまた、厚さで約100μm〜約200μmまでの範囲である(これはやはり、さらに慣習的なCZまたはFZウェハ厚さについての厚さ範囲を含む)より厚い結晶半導体基板または吸収体にも当てはまる。結晶性ソーラーセル基板を、いずれか、エピタキシャル成長(大気圧エピタキシなど)を含む化学気相堆積(CVD)法、または他の結晶シリコン材料形成技術(いわゆるカーフレススライシングもしくは陽子注入利用剥離法、金属−応力−誘起剥離、またはレーザを含むが、これらに限定されない)を使用して形成することができる。非常に薄い結晶半導体ソーラーセル基板を処理するすべての態様に適しているので製造方法のさまざまな実施形態を、注入支援型ウェハへき開法などのカーフレスへき開方法を含む他のタイプの材料およびウェハに基づく手法に拡張することができる。提供するさまざまなセル実施形態の鍵となる特質は、実質的に、半導体(例えば、シリコン)材料消費量の低減、非常に低い製造コスト、高いセル効率、および比較的高いエネルギー歩留り、したがってソーラー光発電モジュール性能の改善を含む。具体的には、これは、本発明の独特なセル設計アーキテクチャおよび製造方法の組み合わせから生じ、これは、薄い結晶半導体層を使用する裏面接合/裏面コンタクト型ソーラーセルを製造することを必然的に伴い、薄い結晶半導体基板において非常に高い変換効率をもたらし、非常に低いコストをもたらす。さまざまな開示した実施形態を、さまざまな結晶半導体材料(シリコン、ガリウムヒ素、ゲルマニウム、等など)に適用することができる一方で、単結晶シリコンに関する好ましい実施形態が提供される(これはやはり、ガリウムヒ素、ゲルマニウム、窒化ガリウム、等を含む他の単結晶半導体にも当てはまる)。
開示した主題は、裏面接合/裏面コンタクトアーキテクチャを有する非常に薄い結晶ソーラーセル(約1μmから150μmに至るまで、より好ましくは約1μm〜50μmの厚さ範囲内のセル吸収体)に特に適した技術革新を提供する。第1に、新規な非常に薄い(1ミクロン〜150ミクロンの厚さ範囲の)裏面コンタクト型/裏面接合結晶シリコンセル構造を提供する。第2に、裏面コンタクト型/裏面接合結晶シリコンセル構造を製造するための方法を提供する。第3に、薄い基板がラインを通して処理され、かつ基板を現場において展開しながら、(キャリアを使用して)薄い基板を支持ための方法を提供する。これら3つのカテゴリーのさまざまな組み合わせは、無数のセットの構造、プロセスフロー、および薄いセル支持キャリアを作り出す。図1は、本明細書において開示する、一時的な薄膜キャリア1および恒久的な薄膜キャリア2を含むさまざまな薄膜キャリア組み合わせを示す図式的流れ図である。図1は、そのさまざまな組み合わせが、非常に薄い裏面コンタクト型/裏面接合結晶半導体ソーラーセルを製造するための新規な構造および方法ならびに本明細書において開示する具体的な実施形態を構成する2つの種類のキャリアを示す。2つの種類のキャリアは、第1のキャリアおよび第2のキャリアを含む。図3に示されるように、本明細書においては、一旦キャリア1および2が設定された後の、さまざまなセル製造プロセスフローに関する選択肢もまた提供される。大部分のキャリア1とキャリア2との組み合わせと対になる任意のプロセスフローを有することが可能であることに留意されたい。
これらの独特な組み合わせを使用して得られる最終構造は、裏面コンタクトソーラーセルである。重要なことに、本開示が構造、プロセスフロー、および薄いセル支持キャリアのセットの多くの独特なセットを提供するが、基づく可能なプロセスフローのすべてのセットが、この文書によって明示的にカバーされるのではない。しかし、本明細書において、カバーされなかったプロセスフローが開示するセル設計およびプロセスフローアーキテクチャに基づいて暗示されることが理解される。本明細書中に詳細に提供されるいくつかのプロセスフローおよび代替実施形態は、さまざまな開示した態様を当業者が組み合わせることを可能にする。
本開示は、(薄い単結晶シリコンなどの)薄い半導体セルを支持するために使用するさまざまなホストキャリア方法および構造を提供する。我々は、薄膜シリコン基板(以後TFSS)を取り扱うことおよび支持することに適したカテゴリーを、その製造および恒久的に補強することを通して、最初に取り扱うことによって始める。これを図1に薄いキャリア1および薄膜キャリア2として示す。
高い製造歩留りは、商業的な薄いシリコンソーラー技術にとって必要条件である。この文書において論じられる(約1μmから150μmに至るまで、より好ましくは約5μm〜約60μmの厚さ範囲内のセル吸収体の)非常に薄いソーラーセルは、高い製造歩留りを維持するためおよび商業的な実現可能性のために、セル取り扱いおよび処理の全体を通して十分にかつ継続的に支持される。これは、薄いセルが一時的なまたは恒久的な支持張り付け部品(やはり基板キャリアとも呼ばれる)を用いずに決して処理されない、または取り扱われないことを意味する。これらの薄い半導体セルは、一旦、野外での据え付けおよび運転のために光発電モジュール内に組み立てられるとやはり恒久的に支持され(および補強され)、モジュールラミネーション/パッケージング、野外据え付け、および野外運転中に、機械的な弾性、信頼性、および高い耐力を維持する。ソーラーセルの両側が(セル裏側および太陽の当たる側を完成させるために)アクセスされ処理される必要があるという理由で、一般的には、2つのキャリアが、ソーラーセルの各面を処理するために1つずつ、(取り扱い、処理、および最終的なモジュールパッケージングの全体を通して薄い半導体基板を常に支持するために)TFSS用に必要である。キャリアは、いくつかの重要な基準を満足しなければならない。すなわち、第1に、キャリアはコスト効率が良く(すなわち、セル当たり非常に低コストまたはピーク出力当たり非常に低コストで)なければならない。これらの総計の償却引きコストは、(旧来のウェハに基づくソーラーセルと比較して)節約する薄いセル内のシリコンのコストよりも低くすベきである。第2に、キャリアのうちの少なくとも一方は、熱膨張係数(CTE)のミスマッチに起因するおよび/またはセル中へと導入される望ましくない不純物に起因する何らかの厄介な問題なしに、高効率ソーラーセルの製造に必要な比較的高温処理(特に、ほぼ300℃から1150℃程度に至るまでの範囲内の温度)に耐えることが可能であるベきである。加えて、キャリアのうちの一方だけが、高温セル処理(すなわち、CVDエピタキシを使用してセル基板それ自体を形成するため、ならびに必要に応じてセル裏側デバイス構造を完成させるための高温処理)を支持することが可能である場合には、プロセスフローは、すべての必要な高温処理ステップがこの高温可能なキャリア(これは一時的な再使用可能なキャリアとして働くことになる)上で行われるようにすベきである。前に述ベたように、これらの特有な基準は、裏面コンタクト型/裏面接合セルに対して非常に好ましく、したがって、高効率な裏面コンタクト、裏面接合の薄いセルを真に可能にする。第3に、キャリアのうちの少なくとも一方は、ソーラーセルを製造するために必要なウェット処理および最終セルメタライゼーションに耐えることが、好ましくは可能であるベきである。鍵となるウェット処理ステップの一例は、希釈し加熱したアルカリ(KOHおよび/またはNaOHおよび/またはTMAHを含む)溶液中でのシリコンおもて表面ランダムピラミッドテクスチャリングエッチングを含む。第4に、一旦、第1の側(好ましくは、裏面コンタクト/裏面接合セル処理にとってのセル裏側)が部分的にまたは完全に処理されると、高歩留りでおよび第2の側の処理のために(最初に処理された側、好ましくは裏面コンタクトセルにとってはセル裏側に張り付けられた)、(一時的な再使用可能なキャリアとして働く)キャリアについて、リフトオフ取り外しプロセスと同時に他のキャリアに移したTFSS層を用いて、薄いセル(薄膜半導体基板:TFSS)を、要求に応じてキャリアから容易に取り外すまたはリフトオフすることができるようにされるベきである。引き続いて、第1の側(好ましくはセル裏側)が部分的にだけ処理されたケースでは、残りのプロセスステップ(例えば、最終セルメタライゼーションの完成など)を、例えば、下記に詳細に説明するさまざまな実施形態を使用して完成させることができる。好ましくは、本発明の実施形態の中では、高温可能な一時的なキャリアおよび高温処理ステップは、恒久的なキャリアならびにウェット処理および最終セルメタライゼーションステップに優先する。さらに、CVDエピタキシを使用する薄いシリコン基板の形成で始まり、TFSS層への恒久的なキャリアのプレリフトオフ張り付けまで、一時的なキャリア上にある間にTFSS上に実行されるすべてのプロセスステップは、好ましくはドライ処理ステップである(CVDエピタキシによるTFSS層の形成に先立つウェット多孔質シリコンプロセスステップ以外は一時的なキャリア上ではウェット処理がない)。さらに、セルコンタクトメタライゼーションは、好ましくは、セルコンタクトの形成後で、恒久的なキャリアの張り付けに先立ちかつ一時的な再使用可能なキャリアまたはテンプレートからTFSS層のリフトオフ分離に先立って実行される。
TFSS用の支持キャリア#1(再使用可能なテンプレート)
裏面コンタクト/裏面接合アーキテクチャとのTFSSの組み合わせに関して、第1のキャリア(以後キャリア1)に関する2つの選択を開示する。これらの選択肢を図1に示す。残りの文書では、裏面コンタクト/裏面接合セルの太陽が当たる側は、セル「おもて側」と互換的に呼ばれ、一方で、太陽が当たらない側は、セル「裏側」と互換的に呼ばれることになる。
1.キャリア1に関する第1の開示した選択肢は、比較的厚い(好ましくは、約0.2mm〜2mmの厚さ範囲内の)半導体(例えば、好ましくは、高効率単結晶シリコンソーラーセル用の単結晶シリコン)ウェハ(150cm2から2,000cm2を超えるまでの範囲内のウェハ面積を有する)であり、これはやはり再使用可能なテンプレートとしても働く(したがって、数多くのテンプレート再使用サイクルにわたってコストを償却する)。例えば、156mm×156mmの正方形形状をしたセル寸法(このサイズを、少なくとも210mm×210mmに至るまでさらには300mm×300mmおよび450mm×450mmに至るまでのより大きなサイズに拡大することができる)の望ましいセル面積を有する大面積の薄いソーラーセル基板は、再使用可能な結晶半導体テンプレートの上面上にエピタキシャル半導体(エピタキシャルシリコン)成長を使用して最初に製造され、その後に取り外される。再使用可能なテンプレートを実質的に平坦とすることができる、または別の実施形態では、事前に構造を形成した3次元プレパターンを有する。この文書は、実質的に平坦なテンプレートに焦点を当てるが、さまざまな実施形態を、ランダムな構造またはパターン形成した規則的構造3D構成を有する事前に構造を形成したテンプレートに適用することが可能である。テンプレートを、エピ(エピタキシャルシリコン)成長のために数回(好ましくは、少なくとも数10回)再使用することができ、これは再使用サイクルにわたってコストを償却する。その有用な再使用寿命の後で、CZ結晶成長およびウェハスライシングを介して新しいテンプレートを作るために、再使用可能なテンプレートが最終的にリサイクルされることが可能である。TFSSを、犠牲リリース層を使用して再使用可能なテンプレートからリリースし、好ましい一実施形態では、犠牲リリース層を、多孔質シリコン層とすることができ、好ましくは、少なくとも2つの異なる気孔率(より高い気孔率の埋め込みリリース層およびより低い気孔率のシード層)または段階的な気孔率を有する。再使用可能なテンプレートは、好ましくは比較的厚い(好ましくは、約0.2mm〜2mmの範囲内の)シリコンウェハであるので、引き続くTFSSとの何らかのCTEミスマッチ問題なしに、かつ何らかの汚染の懸念なしに比較的高い処理温度(例えば、約1150℃あるいはそれ以上)に耐えることが可能であり、上に概要を述ベたキャリア1に関する鍵となる基準のうちの1つを満足する。テンプレートを、156mm、165mm、200mm、300mmまたは450mm(または、約100mm〜数100mm、少なくとも450mmに至るまでの範囲内の任意の直径もしくは辺寸法)などのさまざまなサイズ、丸または正方形または多角形などの形状、ならびに少なくとも約200μm(および約2mmまたはそれどころかより厚い厚さ)のテンプレート厚さを有し、クラックまたは破損なしに全体または部分的にソーラーセルプロセスを耐え抜くことが可能な厚さとすることができる。コスト効率に関係するキャリア1に関する第2の基準は、複数のTFSS製造サイクルにわたって再使用することおよびテンプレートコストを償却することによって(ならびに、必要であるまたは望まれる場合には、ポリッシュしないテンプレートを使用することによって)達成される。最後に、このキャリアはまた、高い繰り返し性および一貫性でTFSSの高歩留り取り外しに対して助けになるという前述のキャリア基準を満たす。これは、好ましくは、HFおよびIPA(またはHFおよび酢酸、または別の適切な材料と混合したHF)を含む液体中でのウェット電気化学エッチングプロセスを使用して、テンプレートとTFSSとの間に多孔質シリコン層(エピタキシャルシード層、そしてその後のリリース層として働く)の形成でTFSSのエピタキシャル成長を始めることによって実現される。多孔質シリコン層の気孔率は、(低気孔率上部層および高気孔率埋め込み底部層を使用することによって)与えられ、深さに空間的に調節され、i)エピタキシャルプロセス中に高い忠実度でテンプレートの結晶性を転写すること、およびii)テンプレートから要求に応じて非常に高歩留りの取り外しおよびリリースを提供することをさらに可能にする、という2つの目的を達成する。セルリリースを、機械的リリース(MR)もしくは液体中での音波処理機械的リリース(SMR)などのプロセス、または別の適切な方法を使用して実現することができ、恒久的なキャリア2への張り付けまたはラミネーション後にTFSS層のリフトオフ取り外しをもたらす。
2.キャリア1に関する第2の開示した選択肢を、再使用可能な厚いウェハまたはインゴットとすることが可能である。TFSSの取り外しを、MeV(メガ電子ボルト)陽子(水素イオン)注入などの高注入エネルギーを使用し、ホストウェハまたはインゴットから薄いスライスを分離することを実現することができる。
ホストキャリア上の多孔質シリコン/エピ技術を厚いウェハ/インゴットおよび注入誘起分離技術と比較すると、いくつかのトレードオフを特定することが可能である。注入を有するウェハ/インゴットは、多孔質シリコンおよびエピタキシャル成長ならびに付随する反応装置を必要としないという利点を有する(しかしながら、ポリシリコン供給原料およびインゴット成長への依存性を有する)。一方で、かなり高額なMeV陽子注入資本設備および注入装置を運転するための大きなエネルギー消費量を必要とする。シリコンの品質を、インゴットのコストに応じて高くすることができ、潜在的にウェット処理をやはり可能にすることが可能である。マイナス面は、過剰に高い陽子注入ドーズ量の必要性を取り除くためにインゴットが<111>方位を有することができるという理由で、ウェハは、標準ウェットテクスチャリングとは対照的により費用がかかり損傷を生成するドライテクスチャリングに依存し得ることである。多孔質シリコン/エピ組み合わせは、標準アルカリウェットテクスチャリングと互換性があり、高効率要件の助けになるものが何であろうとも、基板ドーピングを変調する/段階的にすることができるという利点を有する。また、(約1ミクロンに至るまでの)非常に薄いシリコンセル基板は、多孔質シリコン/エピ製造方法を使用して可能であり、そしてドーピングプロファイルを、設計しエピタキシャル成長プロセス中に調節することが可能である(陽子注入によって作られた薄いシリコン層に対しては不可能である)。
TFSS用の支持キャリア#2:バックプレーン。
第2のキャリアは、裏面コンタクト型/裏面接合セルの特定の状況では、好ましくはいくつかの基準を満足すベきである。明らかなものは、第2のキャリアが残りのプロセスステップを通してTFSSを支持しなければならないことである。第2に、第2のキャリアが張り付けられる側(我々の特定のアーキテクチャに関しては裏側)上への以前の処理を、第2のキャリアが保護すベきであり、一方で、他方の側(おもて側)を処理する。これは、第2のキャリアが好ましくはおもて側の処理中に使用されるウェット化学薬品(特にそして主に、TFSSの太陽が当たる側を洗浄しテクスチャリングするため使用するウェット化学薬品)に対して比較的影響を受けないまたは耐性があることを必要とする。第3に、第2のキャリアは、その不可欠な部分として高導電率メタライゼーション層(好ましくは、アルミニウムおよび/または銅を含む)を有することも持たないこともある。第2のキャリアがメタライゼーションを有するケースに関して、キャリア(好ましくは、非常に低コストの恒久的に張り付けられたキャリア)であることに加えて、第2のキャリアは、低抵抗でセル上の金属に継ぎ目なしに張り付けられるメタライゼーションを与える。最後に、優先度が高くはないが、第2のキャリアは、優れたおもて側パッシベーションを実現するために十分な(それゆえ、好ましくは、約180℃の温度に少なくとも至るまで、より好ましくは、約250℃さらには300℃の温度に少なくとも至るまで)シリコンとの何らかのCTEミスマッチに起因してTFSS内にクラックを生成せずに、かつキャリア材料を劣化させずに、熱処理能力を有するベきである。ソーラーセル裏側に張り付けられたこの第2のキャリアは、今後は、ソーラーセル「バックプレーン」として識別されることになる。
図1にいくつかのバックプレーン実施形態の概要を示す。図1にキャリア2について概要を示したいくつかの選択肢のうちのいずれかを、上に論じた2つのキャリア1選択肢のうちのいずれかと共に使用することができ、すなわち、いずれかのキャリア2実施形態が、再使用可能なテンプレート/エピ/多孔質シリコン選択肢のいずれかを用いて、またはインゴット(もしくは厚いウェハ)/注入選択肢を用いて使用されることに留意することは重要である。
キャリア2(バックプレーン)を、2つの広い種類へと分割することができる(図1)。第1のカテゴリー、「キャリア1上の全裏側プロセス」は、キャリア2が付着する側(裏側)上のすべての必要な処理がキャリア1上で終了した後にだけ、キャリア2が張り付けられる場合である。裏面コンタクト/裏面接合セルでは、これは、パターン形成したドーパント拡散、コンタクト開口、および完全な裏側コンタクトメタライゼーションを含むすべての太陽が当たらない側(裏側)処理ステップを終わらせることを必然的に伴うはずである。最終的なセルメタライゼーションへの電気的アクセスが要求されるいくつかのケースを除いて、さらなるプロセスは、この側には要求されない。第2のカテゴリー、「キャリア2上の部分的な裏側プロセス」は、裏側に部分的な処理だけが終わった後にキャリア2が張り付けられる場合である。この文書は、部分的な処理を有する後者のカテゴリーに焦点を当て、このパラダイム内で可能であるいくつかのサブグループを論じるが、第1のカテゴリーによる全処理に必然的に伴う変形形態が、潜在的に含まれ、本発明の範囲内であることが理解される。
太陽が当たらない側(すなわち、セル裏側)上の部分処理パラダイムの背景にある原動力のうちの1つは、銅などの潜在的に有害な物質(ライフタイム劣化物質を含む)が、裏側処理の一部である場合に、これらがキャリア1を汚染しないことを確実にすることであり、キャリア1を、他のTFSSを運ぶために再使用することが(したがって、製造ラインにおける金属クロスコンタミネーションのリスクを防止することが)可能である。これは、製造ラインにおけるクロスコンタミネーションおよび結果としての効率劣化を防止する(したがって、セルへのクロスコンタミネーションのリスクなしに高歩留りのテンプレート再使用を可能にする)。したがって、太陽が当たらない側の部分処理の背景にある思想は、TFSSがキャリア1から取り外され、リリースされた後で、可能性としてライフタイムを劣化させる物質およびプロセス(高導電率銅メッキメタライゼーションなど)を導入することであり、したがって、クロスコンタミネーションのリスクを取り除く。
部分処理パラダイム内のバックプレーンの3つのサブカテゴリーを図1に示す。おもて表面補強、「FSR」、と呼ばれる第1のケースでは、TFSSは、部分的に処理した裏側に張り付けられた一時的なキャリアを使用してテンプレートからリリースされる。引き続いて、テクスチャおよびパッシベーションなどのおもて側セルプロセスを、TFSSを支持する一時的な裏側キャリアを用いて実行する。一時的なキャリアは、TFSSのリリースの容易さによって選択され、電気(例、可動静電チャック、MESC)、可動真空チャック、MOVAC、または加熱でもしくはUV露光でリリースされる一時的な接着剤、などの既知の方法を利用することができる。残りの裏側ステップ(例えば、銅メタライゼーション)は、一時的な裏側支持体から光学的に透明な恒久的なおもて側補強材(例えば、低コストEVA封入剤/ガラス組み合わせ)へとTFSSを移すことによって実行され、したがって、残りの処理(例えば、残りのメタライゼーションステップ)のために裏側を完全に自由にする。おもて側補強の具体的な必要事項は、おもて側補強がモジュールレベルパッケージングに起因して通常受ける劣化を超えて光透過およびカップリングを劣化させないことである。したがって、EVA/ガラス系の補強等が好ましいが、他の材料セット(ETFEで作られた透明なおもて面フッ素ポリマーシートを有するEVAなど)もやはり可能である。
部分裏側処理を用いるバックプレーンの第2および第3のサブカテゴリー、「メタライゼーションのないバックプレーン」および「メタライゼーションを有するバックプレーン」は、(前述のFSRとは対照的に)恒久的であるバックプレーンによって特徴付けられる。これら2つのカテゴリー間の相違は、「メタライゼーションのないバックプレーン」がそれ自体の構造内に一体化されたまたは埋め込まれた厚いメタライゼーションを持たないことであり、このメタライゼーションは、おもて側(太陽が当たる側)が処理された後でバックエンドに向けて付けられる。ところが、「メタライゼーションを有するバックプレーン」は、バックプレーンへと一体化された厚い第2のレベルのメタライゼーション(例えば、パターン形成した金属箔)を有する。バックプレーン上の厚いメタライゼーション層は、TFSS上の薄いメタライゼーション層に接続し、インターコネクトの第2の層を形成し、そしてやはりバスバーを含むことができる。この厚い高電気導電率メタライゼーション層(好ましくは、アルミニウムおよび/または銅で作られる)は、裏面コンタクト型セルについての抵抗を減少させる。
本開示は、バックプレーンの「メタライゼーションのないバックプレーン」サブカテゴリー内の3つの特定の実施形態を詳細に説明する。重要なことには、これは、これら3つの実施形態に対するこのパラダイムの限定として解釈されるベきではない。第1のケースは、裏表面補強または「BSR」と呼ばれる。このプロセスフローでは、TFSSは、恒久的な裏側補強を使用してテンプレート(第1のキャリア)からリリースされる。恒久的な裏側補強は裏側を部分的にだけ覆い、したがって、おもて側プロセスがやはりBSR支持を用いて終了した後で、解放領域を通して裏側を処理することを可能にする。この構造的な例は、太陽が当たらない裏側での最後のいくつかの処理ステップのために裏側へのアクセスを与えるグリッド間に実質的に大きな開放領域を有するグリッドパターンへと作られたバックプレーンである。
恒久的な「メタライゼーションのないバックプレーン」の第2の実施形態は、頭字語「PLUTO(プルート)」として知られた設計である。このプロセスフローでは、バックプレーン材料が第1のキャリアに張り付けられたままで、簡単で安価なバックプレーン材料(例えば、樹脂およびファイバの混合物を含む比較的低CTEのプリプレグ(Pre−preg)材料)をTFSSに張り付ける。バックプレーン張り付けを、(材料がその中に接着剤を有する場合には)直接ボンディング/ラミネーションとすることができる。または、中間接着剤層、例えば、スクリーン印刷などの手段を使用して印刷する(またはスプレイ塗布機またはローラー塗布機を使用して塗布する)ことができる誘電性接着剤(DA)を使用することができる。プリプレグアセンブリ/材料選択は、下記の基準を満たすようにすベきである。
a.リリースされたTFSS/プリプレグアセンブリは、非常にわずかな湾曲を有し、相対的に応力フリーでありクラックフリーである。
b.バックプレーンは、クラックフリー特性を維持すベきであり、TFSS内に応力クラックを誘起すベきではなく、一方で、(例えば、熱KOHを使用する)おもて側テクスチャリングプロセスおよびPECVDパッシベーションプロセスなどの引き続く処理ステップに耐え抜く。
c.バックプレーンは、テクスチャリングおよびポストテクスチャ表面洗浄(およびいずれかの可能なプレテクスチャシリコンエッチング)などのおもて側処理中に使用される化学薬品に相対的に耐性がある。
すべてのおもて側処理がPLUTOバックプレーンを使用して終了した後で、アクセスホール(数100から数1000の穴)を、バックプレーン(プリプレグ材料など)を貫通して、好ましくは、高生産性レーザドリリング機器を使用して開孔し、残りのセルメタライゼーションをメッキすることによって、あるいはパターン形成した導電性シードペーストのスクリーン印刷と事前にパターン形成した金属箔層(アルミニウムおよび/または銅を含む)の張り付けとの組み合わせを使用することによって終わらせる。これらの穴は、TFSSがテンプレート上にあった間に形成された、下にあるセル上のパターン形成した金属へのアクセスを提供する(具体的な例が、プロセスフローに関する引き続く検討の間に図説されることになる)。穴の開孔を、無数のレーザおよび機械的な方法を使用して実現することができ、具体的な例では、これを、高速スループットCO2レーザを使用して実現することができる。ドリリング技術に関する必要事項は、高速スループット、TFSSまたは下にあるTFSS上の金属への損傷がないこと、下にあるTFSS上の金属への低抵抗電気的アクセスを有するように(必要な場合には)レーザ開口したコンタクトを洗浄するための信頼性の高い方法、および下にある金属に対するホールの適正なアライメントを含む。レーザドリリングに引き続いて、(第2のレベルのメタルを含む)メタライゼーションの残りを、メッキ(無電解および/または電解メッキの両方)、火炎溶射などのダイレクト厚金属ライト(write)技術、バックプレーンへのメタライゼーションを有する安価なブレッドボード張り付け、パターン形成した導電性シードペーストのスクリーン印刷後の金属箔フィンガの張り付け、またはモノリシックモジュールアセンブリ(MMA)などの手法においてモジュールアセンブリの一部としてメタライゼーションを有することを含むいくつかの方法を使用して終わらせることができる。わずかな変形プロセスは、プリプレグが、(TFSSへのレーザドリリング誘起損傷のリスクを取り除くために)TFSSへのその張り付け/ラミネーションに先立って事前に開孔した穴を有し、別の容易に脱着可能な安価な薄い金属層またはシート(薄いマイラシートまたは別の適切な材料など)によって保護される実施形態を含む。この実施形態では、脱着可能な保護シートは、太陽が当たる側のセル処理(ウェットテクスチャおよびPECVDパッシベーションプロセスのところで、を含む)の終了後で、最終セルメタライゼーションの終了に先立って(またはMMAのケースではモジュールアセンブリに先立って)好ましくは除去されることになる。
図1の恒久的な「メタライゼーションのないバックプレーン」の第3の実施形態、「Cuプラグ」、は、前述のいわゆるPLUTO実施形態のわずかな変形を伴う設計である。そして、ネーミングの通念として金属で具体的に識別したが、この手法は、導電性材料として銅に限定されように解釈されるベきではない。このケースでは、バックプレーンは、PLUTOと比較して追加層裏打ちを有する。例えば、バックプレーンは、(DNP Solarからの)封入材PV−FS Z68、短くZ68とも呼ばれる、またはエチルビニルアセテート(EVA)などの柔軟な張り付け材料を有するガラスまたは他のより固い固体バックシート材料(例えば、陽極酸化したAl)から構成することができる。バックシートは、事前に開孔した穴を有することができるが、下にある張り付け材料は、(おもて面ウェットアルカリテクスチャリング中などの)おもて側処理中に化学的に腐食されることからTFSS金属を保護するシール剤とし働く。テクスチャおよびパッシベーションプロセスの後で、シール剤材料を、バックシート(例えば、ソーダライムガラス、SLG)中の事前に開孔した穴を介して開口する。これを、レーザドリリングまたは機械的打抜き加工などの無数の方法を使用して実行することができる。一旦、これらの穴が開口されると、連続したシード金属層を、金属インク/ペースト印刷(ステンシルプリンタ、スクリーンプリンタ、インクジェットプリンタ、またはエアロゾルジェットプリンタを使用する)などのダイレクトライトスキーム、PVD(例えば、プラズマスパッタリング)、もしくは無電解メッキを使用して堆積する。次に、金属はメッキによって厚くされ、バックシートの上面上のp型拡散コンタクト金属とn型拡散コンタクト金属との間でアイソレーションされる。例えば、スクリーン印刷レジスト、次に金属のブランケットメッキ、次にレジストのエッチングバック、そしてマスクとしてメッキした金属を使用した下にある薄いシード金属層のエッチングを含む、さまざまな既知のメッキプロセスおよびアイソレーションプロセスを使用することができる。我々の実施形態では、パターン形成した導電性ペーストを、適切なペースト(例えば、銅またはニッケルまたは別の適切な導電体を含有するペースト)のスクリーン印刷を用いるなどで、バックプレーン上にダイレクトライトによって形成する。次に、最終メタライゼーションを、パターン形成したメッキシード上に(例えば、銅メッキなどの)直接メッキを使用して終了する(したがって、犠牲レジスト、およびレジスト剥離、およびシードエッチングバックプロセスに対する必要性を取り除く)。
別の一実施形態は、片面またはドライおもて側テクスチャリングプロセスを使用し、その結果、部分的に処理した裏側を保護する必要性を除去し、すべてのアクセス点を、バックプレーンの張り付け前またはおもて側を処理する前のいずれかで、(レーザドリリングまたは機械的ドリリングまたは打抜きを使用して)事前に開口することができる。
部分裏側処理を有する図1に示したような、バックプレーンの「メタライゼーションを有するバックプレーン」サブカテゴリーは、恒久的であり、一体化されたメタライゼーションを有するバックプレーンによって特徴付けられる。「メタライゼーションを有するバックプレーン」の3つの実施形態を図1に詳細に開示する。すなわち頭字語OASIS、SLG系(ソーダライムガラス)、および「非基板側のメタライゼーション」(TFSSから遠くに面するメタライゼーションを有するバックプレーン)で示す。2つの実施形態、OASISおよびSLG系、では、バックプレーン一体型金属は、TFSSへのラミネーション/ボンディング中にはTFSSに面し、一方で第3の実施形態、「非基板側のメタライゼーション」では、バックプレーンのメタライゼーションはTFSSから遠くに面する。
OASISバックプレーン実施形態は、いくつかの構成要素を有する。第1に、OASISバックプレーンは金属バックプレートから構成され、メタライゼーション層として働くことも働かないこともある。特定の実施形態では、バスバーを有する互いに組み合わせられたフィンガへとパターニングされるこのメタライゼーション層を、例えば、Al箔またははんだ付け可能なアルミニウム箔から作ることができる。Al箔を、ニッケルおよびSn(またはSnはんだ合金)を用いて事前にコーティングするまたは事前にメッキすることができ、第2のレベルのインターコネクトをTFSS上の第1のレベルのインターコネクトへと接続する導電性ビアのより優れた接着を実現する。Z68、EVAもしくはプリプレグなどの適切な保護層または別の適切なポリマー/プラスチックカバーシートによって上面上への化学的腐食から、バックプレートを保護することができる。これらの層を、テスティングのためのアクセスおよび上面からのモジュール接続を実現するために最終的に開口する。EVAまたはZ68のような材料へのパターン形成した金属のラミネーション中には、事実上の平坦性を、張り付け材料のフローを利用することによって実現しなければならず、その結果、最終アセンブリは、上面および底面の両者とも実質的に平坦なはずである。このアセンブリの平坦な底面において、下にあるTFSS金属へのAl箔金属の接続が、導電性ビアを収容するギャップを有する誘電体層内の選択的導電性ポストまたはビアを使用して行われる。好ましい実施形態における導電性ビア(以後導電性エポキシまたはCE)および誘電体材料(以後誘電性エポキシまたはDE)を、TFSS上またはバックプレーン上のいずれかにスクリーン印刷する。CE材料の要件は、コスト効率、高い導電性、好ましい実施形態ではスクリーン印刷可能であること、ならびに上にあるバックプレーン金属および下にあるTFSS金属の両者に低コンタクト抵抗で張り付くことを含む。DE材料の要件は、コスト効率、非導電性誘電体であること、好ましい実施形態ではスクリーン印刷可能であること、ならびに上にあるバックプレーン材料(金属およびEVAまたはZ68誘電体封入剤の両者)およびTFSS金属と誘電体との両者から構成される下にあるTFSS材料の両者にうまく接着することを含む。例えば、OASISバックプレーンは、下記のカテゴリーにおける選択に基づく無数の変形形態を有することができる。
a.バックプレーン内のバックプレート材料:例えば、アルミニウム箔、SnでコーティングしたAl箔、またはガラス(ソーダライムガラスを含むさまざまな種類のガラス)または他のポリマー材料が含まれる。必要条件は、バックプレート材料がTFSSを搬送するためにバックプレーンに強度および剛性を与えることである。また、引き続く熱プロセス中に、熱膨張係数ミスマッチという理由でTFSS内にクラックを誘起しないようにすベきである。
b.パターン形成したメタライゼーション材料:例えば、導電性ビアへの低コンタクト抵抗張り付けのために導電性にする他の金属でコーティングすることが可能なAl箔が含まれる。別の一例では、これらを、事前にコーティングしたAl箔とすることができる。一実施形態では、メタライゼーション材料を、バックプレート材料と同じにすることができる、または接着剤を使用してバックプレート材料に張り付けることができる。メタライゼーションの厚さは、バックプレートと同じである場合には強度要件、および抵抗要件によって規定される。
c.メタライゼーションのパターン設計:選択肢は、主に、使用される互いに組み合わせられたフィンガの数、したがって幅から構成される。使用される最も広い幅および最も少ないフィンガの数を、導電性ビアポスト間のTFSS金属ライン上の(充填率を劣化させない)最大の許容可能な抵抗によって決定することができる。パターン設計に分類される第2の検討事項は、金属箔が追加の機能を有するかどうかである。例えば、金属箔を、部分的にばねのような作用を与えるように設計することが可能であり、これを、例えば、各フィンガ内でこれらを物理的に分離することによって、または蛇のようなパターンにこれらを部分的に切断することのいずれかによって実現することができる、しかしながら、さまざまな設計が可能である。ばねのような機能は、自由に延びることおよび収縮することを金属箔に与えることに合わせて調節され、その結果、金属箔が熱膨張係数ミスマッチに起因してCEまたはTFSSを破断しない。
d.誘電体材料および導電性接続材料の選択:これらの材料の選択に関する基準は、既に上に論じられている。
e.CE材料およびDE材料を堆積する方法:好ましい一実施形態では、これらをスクリーン印刷する。この印刷を、TFSS上またはバックプレーン上のいずれかとすることが可能である。
f.直交配列対平行配列:バックプレーンメタライゼーション(第2のレベルのメタルまたはM2)がセル上のTFSSメタライゼーション(第1のレベルのメタルまたはM1)に平行であるか直交するかどうかは、いくつかの検討事項によって規定される。直交バックプレーン(M2フィンガがM1に直交するまたは横切るまたは垂直である)は、バックプレーン上のラインの幅(またはM2フィンガの幅)を、一般に独立とすることが可能であり、具体的には、M1フィンガよりもはるかに広くすることが可能であるという利点を有する。これは、M1よりもはるかに粗くかつ厳密でないアライメント要件でこのメタライゼーションを作る際に役立つ。しかしながら、直交ラインがショートしないことを確実にするために、予防策を取ることが必要である。したがって、誘電体材料は、優れたカバレッジを持たなければならない。平行配列は、バックプレーンメタル(M2)のピッチおよび寸法をセル上のTFSSメタル(M1)配列と同じになるように制限する。セル上のこの配列は、一般にかなりタイトであり、順に、ベース抵抗の低減、電気的シェーディングの低減、等を含むいくつかのデバイス検討事項によって規定される。
g.モジュール接続用の箔バスバーのアクセススキーム:例えば、これを、保護層を貫通するスルーホールを介して行うことができる。または、Al箔がバックプレーンの上面に巻き付けられ、例えば、おもて側の処理中にラミネーション型ポリマーによって保護されるラップアラウンドとすることができ、箔へのコンタクトアクセスをプロセスの最後には可能にする。
図2は、SLG系裏面コンタクトソーラーセル実施形態の断面図である。本明細書において開示するようなソーダライムガラスまたはSLG系実施形態は、いわゆるOASISバックプレーンのサブカテゴリーであり、バックプレーン材料は、図2に示したようにソーダライムガラスシートである。これは、Z68(または別の適切な封入剤)材料を使用してSnコーティングした(またははんだ合金コーティングした)Al箔メタライゼーションに張り付けられる。Al箔は、ガラスバックプレーン材料の上面上にバスバーを有するようにガラスに巻き付けられ、したがって、同様に保護材Z68を用いて側面上を封止する。「非基板側のメタライゼーション」裏面コンタクトソーラーセル実施形態は、TFSSから遠くに面する側の上にバックプレーンの一体型メタライゼーションを有する。
裏面コンタクトソーラーセルを形成するためのプロセスフローへとこれらのバックプレーンを組み込むことができる具体的な例を、下記の製造方法に概説する。
TFSS系裏面接合/裏面コンタクト型ソーラーセルに関する一般的な構造および方法 上記の議論は、TFSS裏面接合/裏面コンタクト型ソーラーセルに関する高い処理/製造可能な歩留りを確実にするための第1および第2の(バックプレーン)キャリアに関係する選択および組み合わせに関係する。下記の項は、これらのキャリアを用いる全体のTFSS系ソーラーセルに関する製造方法およびプロセスフローを扱う。プロセスフローを示しているが、いくつかのケースでは、バックプレーンが抜き取られる。この抜き取りを、上の項において論じられたいくつかのバックプレーン選択肢のうちのいずれかによって置き換えることができる。加えて、特定のフローとのバックプレーンの組み合わせを、テンプレート/多孔質シリコン(PS)系キャリア1またはインゴット(または厚いウェハ)/注入系キャリア1のいずれかで使用することができる。これら2つのケースに関係する特定のフローが示されることになる。図1は、プロセスフロー選択肢ならびにキャリア1およびキャリア2とのこれらの関係を示す。しかしながら、再び、図1におけるプロセスフローまたは下記のプロセスフローが、説明的な例であり、限定的な意味で使用すベきではないことに留意すベきである。さらに、これらの例示的なプロセスフロー実施形態を、無数のバックプレーン選択肢ならびに2つのキャリア1選択肢のうちのいずれかで使用することが可能であると解釈すベきである。これに対する記述した例外は、インサイチュ(in−situ)エミッタに基づくプロセスフローを、インゴット(または厚いウェハ)/注入キャリア1選択肢で使用しないことがあることである。
エクスサイチュエミッタ対インサイチュエミッタ。
図1に示したプロセスフローを、プロセスフローの2つの広いカテゴリーへとさらに分類することができる。すなわち、エミッタがエピタキシャル成長プロセスの必須部分として形成されず、TFSSの後で作られるエクスサイチュエミッタを、大気圧化学気相堆積(APCVD)エピタキシャル成長などの技術を使用して製造する。そして、インサイチュエミッタは、キャリア1テンプレート/多孔質シリコン選択肢にとっては適切であり、TFSSのシリコンエピタキシャル成長の一部として成長する(したがって、エミッタの引き続く形成のための必要性を取り除く)。本開示は、エクスサイチュエミッタ形成を有する実施形態に焦点を当てる。しかしながら、インサイチュエミッタに基づくフローは、当業者によっていくつかの事例においてやはり適用可能であり得る。エクスサイチュエミッタおよびインサイチュエミッタの前述の選択肢に関して、下記の検討事項に留意すベきである。
1.エピタキシを使用してインサイチュでリン系のn型エピタキシャル基板を成長した後で、エクスサイチュでホウ素ドープしたp+エミッタは形成される。パターン形成したエクスサイチュエミッタは、好ましくは、APCVD BSG(高濃度にホウ素をドープしたガラス)、BSGのレーザアブレーション、続いてエミッタのドライブインの組み合わせを使用して形成される。
2.エクスサイチュエミッタは、インサイチュエミッタのケースでは存在する、ソーラーセルの大量生産中のエピタキシャルオートドーピングのリスクを取り除く。
3.エクスサイチュエミッタは、ベースをエミッタとアイソレーションするために(またはパターン形成したエミッタおよびベース領域を形成するために)シリコンのパルスピコ秒レーザアブレーションに関する必要性を取り除く。
プロセスフローによって分けられる一般的な構造および製造方法特質。最終的な裏面接合/裏面コンタクト型ソーラーセル構造および製造するための方法の種類の具体的な例を、下記に詳細に説明する。構造および方法がこれらの具体的な例に限定されないことに留意されたい。広範囲の例を、当業者なら前述の一般的なキャリア方法を使用して導き出すことができる。本明細書において詳細に説明するこれらの具体的な構造および方法に関して、認識される共通の特質は、下記を含む。
1.開示したプロセス実施形態における共通の構造的な特質:
a.約25μm(ミクロン)〜50μmのエピ厚さ。より一般的には、この範囲を5μm〜おおよそ200μmの従来からの厚さとすることが可能である。
b.リン系のn型ベースドーピング。一般に、これを、他のn型ドーパント材料(例えば、ヒ素またはアンチモンまたはインジウム)とすることができ、同様に、ホウ素またはガリウムドーピングによって形成されるものなどのp型ベースとすることができるが、これに限定されない。
2.開示したプロセス実施形態における共通の製造方法特質:
a.キャリア1(厚いウェハ/インゴットのいずれかのテンプレート)上のプロセスは、下記を含む。
i.APCVDに基づくプロセスは、好ましくは、エクスサイチュエミッタを形成するために炉アニールと共に使用される。APCVDは、一般に、ホウケイ酸ガラス(BSG)およびリンケイ酸ガラス(PSG)の両者を有する。しかしながら、APCVD PSGに代わる他の代替物もやはり可能であり、論じられる。
ii.上に述ベたように、テンプレート/多孔質シリコン(PS)の第1のキャリアに関係する別の一実施形態では、エクスサイチュAPCVDエミッタを、エピタキシャルに基づくインサイチュエミッタ、続いてベースをエミッタ領域からアイソレーションするためのレーザに基づくシリコンアブレーションによって置き換えることができる。
iii.エミッタ−ベースアイソレーション、エミッタおよびベースコンタクト、ならびにセル上のバスバーレスAlフィンガのためのパルスピコ秒ベースのレーザアブレーションパターン。一般的なケースでは、パターンを、ナノ秒(ns)レーザなどの他のレーザによって画定することができる。加えて、セル上のAl(またはAl−Siなどのアルミニウム合金)フィンガを、より優れたセル性能のために導電性の任意の設計のものとすることができる。これは、バックプレーンのところなどのセル上のメタライゼーションレベルより上で接続されたそれ自体のバスバーを有する(単一基板上の)いくつかのミニセルを含むことができるが、これに限定されない。
iv.任意選択の酸化を伴うアニールステップ、これはBSGドーパント(および、存在する場合にはPSG)のドライビングおよび活性化の両者、ならびに熱酸化膜系の裏表面パッシベーションを作ることを扱う。好ましい実施形態では、これは、同じステップで行われる。しかしながら、必要がある場合には、一般に別々のステップへと分解することができる。加えて、これを、チューブに基づく熱処理炉またはインライン熱処理炉のいずれかにおいて行うことができる。
v.メタル1堆積ステップ、これを、プラズマスパッタリングまたは蒸着またはイオンビーム堆積などの物理気相堆積(PVD)などの真空系堆積とすることができ、次いでこの後に、前記メタル1層をパターニングするために、パルスピコ秒レーザアブレーションステップなどのレーザアブレーションが続く。あるいは、メタル1(M1)堆積ステップは、処理したTFSS裏側にパターン形成した金属インクまたはペーストを直接堆積するために、例えば、インクジェット、スクリーン印刷、ステンシル印刷、またはエアロゾルジェット印刷を使用するダイレクトライト印刷を必然的に含むことができる。
vi.キャリア1がテンプレート/多孔質シリコン(PS)である場合には、好ましい処理方法の実施形態は、早まったTFSSリフティングまたはバブリングのリスクのために、後で(エピタキシャル成長からキャリア2へ張り付けたTFSSのリフトオフ分離の終了までの間に)キャリア1上にウェット処理を使用しないことである。しかしながら、これを、限定する意味では解釈すベきではない。開示した主題は、例えば、ケイ酸塩ガラスなどの誘電体膜を除去するためにHF蒸気などのエッチング蒸気の使用を通してウェット処理またはセミウェット処理を行うことが可能である一般的なケースを含む。
vii.バックプレーンのキャリア1上のラミネーションおよびキャリア2に張り付けたままでキャリア1からのTFSSのリリース。
b.キャリア2(バックプレーン)上のプロセス
i.処理した多孔質シリコン層から生じる疑似単結晶シリコン(QMS)層を除去するためのポストリリースウェットエッチング。これは、おもて表面をテクスチャリングするためのウェット処理使用を含む。好ましい実施形態では、これらのウェットステップを、KOH系(またはNaOH系)エッチング化学薬品を使用して単一ステップで実行する。しかしながら、必要である場合には、一般に、これらを、2つの別々のステップに分解することが可能であり、両ステップともKOH系化学薬品を使用するか、QMS除去ステップが、TMAH系の化学薬品または別のKOH系(もしくはNaOH系)の化学薬品を使用する。KOHまたはTMAHのいずれか(KOHは、より低いコストの理由のために有利なことがある)を用いたテクスチャのないQMS除去を行うだけの可能性もある。そして、ウェットテクスチャの代わりに、いずれか、レーザまたはプラズマ処理に基づくドライテクスチャの使用、またはテクスチャなしおよび広帯域太陽光に効果的に結合するための他の手段の使用−これらの「他の」手段は、誘電体粒子、または銀粒子もしくは金粒子などの分散されたナノ粒子を頼りにすることがある。
ii.テクスチャリングを伴う場合には、ポストテクスチャ表面洗浄プロセスは、裏面接合/裏面コンタクト型セルにとって重要なステップである。このクリーニングステップは、クリーニングプロセスに続く高品質おもて表面パッシベーション層の形成を可能にする。この目的のための具体的なクリーニング化学薬品を、HF/HCl化学薬品および/またはオゾン処理したHF化学薬品に基づくものとすることができるが、いわゆるRCA洗浄などのより費用がかかる代替案を使用することが可能である。テクスチャ洗浄の後でかつパッシベーション直前に希釈HF浸漬を実行することは、より低いおもて表面再結合速度(したがって、より高品質パッシベーション)を得るためにも重要である。プリプレグまたは下にある追加の接着剤層を有しかつテクスチャおよびポストテクスチャ洗浄プロセス中にバックプレーンの最小限の完全性を有するプリプレグなどの有機バックプレーン材料のケースに関して、a−Siまたはa−SiOx(アモルファスシリコン酸化物)に加えて窒化シリコンなどの1つ以上のパッシベーション層の堆積に先立つ追加のプロセスステップを開示し、この追加のプロセスステップは、バックプレーン材料から再堆積された有機残渣ならびに自然酸化膜の両者を除去するために、減圧または大気圧プラズマまたは(水素ラジカルおよび/またはイオンなどの)ラジカルのストリームを使用することである。このようなプロセスを、(PECVDパッシベーションなどの)パッシベーション機器の初期段階中に好ましくは統合することができる、または代わりにオフラインで実行することができる。
c.低温おもて表面パッシベーションおよび要求されるデバイス仕様を満足するARC層。一般に、これは、選択したバックプレーンを処理することに適応することが可能でありかつ適切な温度で堆積したパッシベーション層を含む。パッシベーションの許容可能な最大温度は、TFSSをクラッキングさせずに、バックプレーン材料を劣化させず、ならびに/またはソーラーセル充填率および他の信頼性に関係するパラメータを損なわずにこれに耐えるバックプレーンの能力に依存する。優れたパッシベーションは、PECVD SiNに関して約150℃以上の範囲内の温度において期待される。一例は、(約150℃〜200℃の範囲内の基板温度でPECVDを使用して堆積した)薄いアモルファスシリコンのPECVD、続いて(好ましくはアモルファスシリコンまたはアモルファスシリコン酸化物と同じ温度での)低温SiN堆積を使用することである。より一般的には、優れたパッシベーションは、シリコンとの非常に低い界面トラップ密度およびおもて表面から遠くへ少数キャリアをはね返す電荷の極性を持たなければならない。n型材料に関して、この組み込まれた電荷は、安定な正電荷である必要がある。パッシベーション後の適切な時間での、いずれか、フォーミングガス、中性、または真空もしくは他の適切な雰囲気中での引き続く熱アニールは、パッシベーション品質を改善するために有益であり得る。このような熱アニールを、(バックプレーン材料の熱安定性およびCTE一致に依存して約300℃に至るまでの)PECVDパッシベーション温度以上の温度で実行することができる。
d.バックプレーン材料およびそのバスバーへのアクセス。本実施形態は、バックプレーンのタイプに依存する。バックプレーンが一体型または埋め込み型金属箔メタライゼーションを有する(上に論じた)種類である場合には、選択は、事前に作られたスルーホール(これはウェット処理中には覆われるはずである)またはラップアラウンドバスバー開口部(これはウェット処理中には覆われるはずである)のいずれかである。バックプレーンメタライゼーションが最終処理ステップであるバックプレーンに関しては、アクセスは問題ではない。
図3は、開示した主題によるエクスサイチュエミッタプロセスフロー実施形態を示す図である。プロセスフローは、4つのカテゴリー、フロー1からフロー4へと分割され、これらはベースコンタクトが作られる方法における違いによって識別される。図3に示したすべてのフローを、テンプレート/多孔質シリコンキャリア1を用いて、またはバルクウェハインゴット/注入キャリア1を用いて、および本開示において概要を示した任意のバックプレーン選択肢を用いる、いずれかを使用することができる。
フロー選択肢1:このプロセスフローは、ベースドーピングを作るためにAPCVD PSGを使用する。PSG層を堆積し、バッチ炉アニールを使用して、またはPSG層のパルスナノ秒レーザホットアブレーションを使用しての、いずれかを使用してリンをドライブインする(後者のケースでは、下にあるTFSSをドープし、ベースコンタクト開口部用のPSG層をアブレーションする)。
フロー選択肢2:このプロセスは、スクリーン印刷した(もしくはステンシル印刷した)シリコンナノ粒子リンまたはインクジェット(もしくはエアロゾルジェット)印刷によって付けられたシリコンナノ粒子リンインクを使用する。この後に、熱アニールが続くことになる。
フロー選択肢3:この選択肢は、リンペーストのスクリーン印刷またはインクジェット印刷によって付けられたリンインクを使用する。この後に、ドーパントをドライブインするためにバッチ炉装置中での熱アニールが続く。
フロー選択肢4:このプロセスは、開始リンドーパント材料としてオキシ塩化リンPOCl3を使用する(好ましくは、プロセスをPOCl3チューブ炉内で実行する)。これはポスト拡散リンガラスウェットエッチングまたはHFベーパーエッチングを必要とする。
図3の4つのフロー選択肢カテゴリーのサブカテゴリーを下記に詳細に説明する。
フロー選択肢1:APCVD PSGに基づくベースドーピング。
この部類には、a)レーザを使用してベースおよびエミッタコンタクトをドライブするために(ならびに、同時にベースおよびエミッタコンタクトホールを開口するために)パルスnsレーザ処理を使用するホットアブレーション、b)炉アニールがベースコンタクト拡散領域を作る場合のコールドアブレーション(好ましくはパルスpsレーザ処理を使用する)の2つのサブカテゴリーがある。図4は、2つのキャリアを用いて薄い裏面接合/裏面コンタクト型ソーラーセルを製造するために選択エミッタを伴うホットレーザアブレーションを使用する(好ましくはパルスnsレーザ処理を使用する)プロセスフローである(図3におけるフロー選択肢1A1に対応する)。プロセスは、マザーテンプレート結晶シリコンウェハのクリーニングで始まる。一例では、これを、200mm直径、200μm〜1.2mm厚さの半導体標準ウェハとすることができる。別の一例では、これを、一辺165mmの完全な正方形、200μm〜1.2mm厚さの結晶シリコンウェハとすることができる。テンプレートを、例えば、KOHなどの化学薬品、およびHF、HClもしくはこれらの組み合わせ(HF/HCl)などの酸、および/またはオゾン処理したHFを含む化学薬品を使用して洗浄する。クリーニングを、金属不純物および有機不純物を洗浄するために知られた任意の他の薬品洗浄を使用して実行することが可能である。別の例は、RCA洗浄である。しかしながら、RCA洗浄は、ソーラーセル製造の目的にとっては多くの費用がかかる。クリーニングの後に、(好ましくは、HF/IPA中での)電気化学エッチングを使用する二層または多層(少なくとも2つの異なる気孔率)の多孔質シリコン形成が続く。形成した第1の層(または最上層)は、低気孔率層である(例えば、これを、限定しないが15〜40%の範囲内の気孔率を有する層とすることが可能である)。この後に、テンプレートにより近く、テンプレートから低気孔率層を分離するように下方に形成されるより高い気孔率を有する第2の層(埋め込み層)(例えば、これを、限定しないが45〜70%気孔率の範囲内の気孔率を有する層とすることが可能である)が続く。一般に、いくつかの鍵となる要件を促進する層である限り、単層、三層または段階的な気孔率の多孔質シリコンなどの他の構成も可能である。鍵となる要件は、低気孔率多孔質シリコン層の上面上に良い品質のエピタキシャルシリコン層の形成を可能にする優れた上部エピタキシャルシード層、テンプレートからのTFSSリフトオフ分離のために高多孔質層の要求に応じた破壊による信頼性が高くかつ高いリリース歩留り、テンプレート上の処理ステップ(好ましくは、限定されないが、リフトオフ分離までのTFSSの形成後のすべてドライのテンプレート上の処理ステップ)中にテンプレートキャリアからのTFSSの早過ぎるリリースまたはバブリングがないことが含まれる。多孔質シリコン形成プロセスの後に、乾燥ステップ、次に、水素プリベーク、および好ましくは、約5μm〜約50μmの厚さ範囲内のエピタキシャルシリコン成長が続く。水素プリベークおよびエピタキシャル成長プロセスの両者は、好ましくは同時に実行される。成長プロセスの必須部分は、プリベーク条件の選択である。水素プリベーク(好ましくは、エピタキシャル成長反応装置内でのその場プリベーク)プロセスステップ中には、プリベークが自然酸化膜および他の可能性のある表面汚染を除去するだけでなく、シリコンのリフローおよび固相拡散も生じさせ、その結果、多孔質シリコンの表面気孔が(低気孔率多孔質シリコンの表面エネルギーの低下によって生じるドライビングフォースのために)低気孔率層の表面を封止し、したがって、高品質TFSS層の引き続くエピタキシャル成長用の優れたエピタキシャルシード層を生成する。これは、より優れたエピタキシャル成長および長い少数キャリアライフタイムを有する高品質その場ドープのTFSS層の形成を容易にする。エピタキシャル成長プロセスの後に、好ましくは、インライン大気圧CVD(APCVD)反応装置を使用するBSG堆積が続く。BSG層は、最終的には、熱アニールを使用して下にあるTFSS中へのBSGからのホウ素の熱拡散によってエミッタ領域を形成するためのホウ素源として働く。図4には厚さが150nmと示されているが、これを、バックミラーおよびエミッタドーピングの要件によって調節することができる。実際には、BSG厚さを、約50nm〜250nmに至るまでの範囲内にすることができ、BSG層を、(約10nm〜100nmの範囲内のアンドープのガラス厚さを有する)酸化膜のアンドープ層でキャップすることができる。BSG層堆積の後に、BSG層のピコ秒(ps)パルスレーザアブレーションが続き、このレーザアブレーションはシリコンで停止し、下にあるシリコンに損傷を与えない(パルスnsレーザアブレーションと比較して無視できる熱の影響を受けたゾーン)。アブレーションした領域は、最終的にはデバイスのベース部になり、そこではエミッタが拡散されず、ドープしたベースコンタクト領域が露出されることになる。デバイス設計に応じて、この面積割合(ベース開口部の割合)は、約3%〜約20%に至るまでの範囲に及ぶことが可能である(80%〜97%のおおよその範囲内のエミッタ面積比に対応する)。より大きなエミッタ面積割合が、より高いセル効率にとって好ましく、これを、パルスpsレーザ処理の使用を介して可能にする。非常に大きな開口部、すなわちベースの大きな割合は、少数キャリアがエミッタに到達するまでにより長い距離を移動しなければならないという結果をもたらす。これは、セル変換効率を引き下げる多くの再結合(電気的シェーディングとしても知られる)という結果をもたらす。開口部の幅のより狭いサイズは、ベース拡散領域およびこの領域内部のコンタクト領域を位置合わせして配置することを可能にすることによって制限される。BSGのレーザアブレーションの後に、任意選択で、アンドープケイ酸塩ガラス(USG)のAPCVDが続き、PSG/USGが続き、三層を形成する。下にあるUSG層は、その厚さに応じて、アニール中のリン拡散の程度を制御する。より厚いUSG層は、リン拡散を防止し、裏表面電界(今後は、BSF)のない真に分離した接合(そこではエミッタ拡散領域およびベース拡散領域が接触しない)を結果としてもらすことになる。BSF層は、デバイスの開回路電圧(Voc)の増加を助けることが可能である。下にあるUSG層が、薄い(またはまったく堆積されない)場合には、一部のリンは、熱アニールステップ中にTFSS表面領域へと拡散する。これは、BSF形成ならびにいわゆるアバッテッドジャンクションセル構造の両者を結果としてもたらす。それぞれPSG層およびBSG層中のリン濃度およびホウ素濃度を、エミッタ領域およびベース領域内に適切なドーピング濃度をもたらすように制御する。セル設計要件に応じて、BSG層およびPSG層中のこれらのドーパント濃度を、約2%〜7%に至るまでの範囲内とすることができる。USG/PSG/USGを堆積した後で(パルスpsレーザアブレーション直接パターニングプロセスの後で)、デバイスを多機能炉アニールステップを通して処理する。ここでは、窒素(または不活性ガス)雰囲気中での不活性アニール、および任意選択の酸化アニールの両方を行うことがあり、任意選択で(好ましくは、鉄などの金属汚染をゲッタリングするために約550℃〜650℃の温度範囲内で実行される)低温その場ゲッタリングアニールが続き、任意選択で(約400℃〜500℃の温度範囲内での)低温その場フォーミングガスアニールが続く。ゴールは、同じ多機能炉アニールプロセスレシピ内で、これらのその場アニールステップの条件を最適化することである。その結果、良い品質の裏表面酸化膜パッシベーション、望ましいリンおよびホウ素ドーパントドライブインおよびドーパント活性化、金属不純物のゲッタリング、および裏側パッシベーション特性のさらなる改善を、単一機器内で実現する。酸化アルミニウムが負電荷の取り込みを可能にする傾向があり、これが順に、電子、エミッタ少数キャリアをその表面からはね返し、その領域内の非常に優れた表面パッシベーションを提供することが可能であるので、すぐ隣接した裏表面に酸化アルミニウムAl23の薄い層を有することは、有利なことがある。このようなAl23層を、BSG層の堆積用に使用する同じAPCVD機器内でその場でかつ最初のステップとして堆積することが可能である。Al23を組み入れるフローを、本開示において後で開示する。
図4に示したように、アニールステップの後に、コンタクトを開口するためのピコ秒パルスレーザアブレーションが続く(パルスnsレーザなどの他のタイプのレーザも使用することが可能である)。しかしながら、ホットレーザアブレーションと呼ばれる特別なレーザアブレーションプロセスを使用することが可能であり、これはエミッタおよびベースの両者にコンタクトを開口するだけでなく、同時にコンタクト開口領域内のTFSSシリコン表面中へとそれぞれのドーパントを急速にドライブインするという2つの役割を実行する。したがって、ベースコンタクトは、USG/PSG層を通して形成され、シリコン中へのPSGからドライブされたリンを伴う(ここではPSGがシリコンと接触している)。ところが、エミッタコンタクトは、USG/PSG/USG/BSGスタックを通して形成され、ホウ素を、(BSG層がシリコンと接触しているまたはAl23の極めて薄い層でだけシリコンから分離されたBSG層から)ドライブインする。ホットアブレーション処理は、高濃度にドープしたn+およびp+コンタクト領域を作ることが可能であり、その下では、(ベースおよびエミッタコンタクトメタライゼーションのために)金属が結局はシリコンと接触する。これは、コンタクト抵抗を減少させため、および金属コンタクトにおける再結合速度を低下させるための両者に望ましい。したがって、局所的な高ドーパント領域を作ることができ、一方で、パッシベーションの下でコンタクト領域から遠くに(より高いセル効率のために望ましい)より低濃度にドープしたエミッタ領域などの(アニールによって規定される)低濃度にドープした領域を維持する。これは、コンタクトに近い領域内のドーピング濃度をコンタクトから離れた領域との独立した最適化を確実にし、選択的エミッタおよびベースの効果的な形成を可能にする。これは、より高いVoc、より優れた赤外量子効率、およびより高い総合セル効率のために有利である。
レーザホットアブレーションの後に、メタル1に関する一実施形態では、(プラズマスパッタリングまたは蒸着を用いるなどの)薄いアルミニウム層またはAl−Si層の物理気相堆積(PVD)に基づく堆積が続く。このアルミニウム(Al)層は、裏側パッシベーション誘電体スタックと共に裏表面リフレクタ(BSR)の機能を果たし、ならびにデバイスベース領域およびエミッタ領域への優れた電気的コンタクトを作る際の手段になる。ドーピングしたエミッタおよびベースコンタクト領域の両者へのAl(またはSiをドープしたAl)PVDのコンタクト抵抗は、重要である。PVDプロセスを、ホットPVD(セル基板を150℃〜450℃のおおよその範囲内の温度に加熱しながらAl層を堆積する)、または、必要な場合には150℃〜450℃の間で実行されるポストPVDアニールのいずれかとして実行することができる。これは、より優れたコンタクト抵抗(したがって、より高い充填率)を確実にすること、ならびに(裏側パッシベーションを改善するためおよびセルVocを改善するためにフォーミングガスアニールの形成を効果的に実行して)アルミニウム(Alアニール)およびAPCVD層からのH2の存在でより優れたパッシベーションを利用することである。引き続いて、他のPVD金属層を、接着の必要性、反射率要件およびレーザ金属アイソレーション要件に応じて堆積することができる。1つの行為では、NiV(またはNi)とSnとの組み合わせもまた、PVDを使用しておよびAlのスパッタ堆積の後でその場で、Alの上面上に第2の層および第3の層としてスパッタリングすることが可能である。Snの最上層を有するこの金属スタックの機能は、バックプレーンメタルまたはM2の接着が危うくならないこと(したがって、セル充填率および長期信頼性を改善すること)を確実にすることになる。このスタックの変形形態では、Al/NiV/Snスタックを、SnとNiVとの間でアニールのようなはんだを行うためにSnの融点未満でアニールすることが可能である。引き続いて、パルスピコ秒レーザを、ベースおよびエミッタ金属領域の両者をアイソレートしかつパターニングするために使用する。典型的な設計は、互いに組み合わせられたフィンガ設計である。好ましい実施形態では、バスバーがなく、互いに組み合わせられたフィンガだけが、M1用のセル上に画定される。これは、バスバーの下の電気的なシェーディングを最小にし、セル効率を高める。しかしながら、バスバーを有し同様にミニセルなどの他の設計を有する他の実施形態を、金属アブレーションレーザプロセスを用いて規定することが可能である。一般に、エミッタ/ベースラインのピッチを含む特定の寸法は、ベースおよびエミッタ拡散抵抗を含むが、これに限定されないいくつかのデバイス設計検討事項によって規定される。PVDは、真空スパッタリング、真空蒸着、イオンビーム堆積(IBD)、大気アーク溶射、および他の熱的物理的な蒸気コーティング法を必然的に含むことができる。別のそれほど好まれない実施形態では、レジストのスクリーン印刷、続くエッチングを、ベースパターンおよびエミッタパターンをアイソレートするためにやはり使用することが可能である。しかしながら、(金属エッチングおよびレジスト剥離ウェットステップのために)テンプレート上にウェット処理を実行することに起因する、この手法におけるリスクがある。
(スパッタリング、蒸着、等などの真空技術を含む)PVD金属を使用する代わりのメタライゼーションプロセスの別の一変形形態では、広く行われている金属スクリーン印刷手法を、使用することが可能である。この手法は、真空プロセスを使用しないという利点を有する。真空プロセスは、費用がかかること、ならびにセルが真空中にある間に、多孔質シリコン界面からのはがれ圧力に起因してマザーテンプレートから早まってエピ基板を移動させることの危険が存在することの両方の傾向がある。金属スクリーン印刷型実施形態では、一般に、ベースおよびエミッタ金属は、スクリーン印刷され(これを、単一のアルミニウムペースト材料を使用する単一スクリーン印刷プロセスとすることができる)、エミッタおよびベース拡散領域へのメタライゼーションコンタクトを作るために熱せられる。ここでは、ベースおよびエミッタ拡散は、いくつかの可能な技術を使用して作られ、そのうちの1つが、上に説明され、いくつかの他のものが引き続いて詳細に説明されることになる。プロセスフローの残りは、同じままである。スクリーン印刷した1つ以上の金属を、同時にまたは逐次的に熱することが可能であり、ベースおよびエミッタに対して同じであってもよいし異なってもよい。さらに、スクリーン印刷した金属を、フリットにする、軽くフリットにする、または(適切なフリットレスアルミニウムペーストなどの)フリットレスとすることができる。このプロセスの具体的な例は、エミッタおよびベースの両者の上にフリットレスAl金属ペーストをスクリーン印刷すること、および同じプロセスステップを使用して同時に熱すること必然的に伴うことがある。M1メタルパターンは、下にあるセル設計に依存することになる。しかしながら、一般には、ウェハレベルの応力を減少させるためにおよびTFSS内でのマイクロクラック形成のリスクを減少させるためにセグメント化した金属ラインを含むことが可能である。このプロセスの別の一例は、ベースコンタクト用のAlのスクリーン印刷および加熱の間に、リンコンタクト用のAgのスクリーン印刷および加熱を必然的に伴うことがある。これらのスクリーン印刷したラインまたはフィンガを、連続とすることもセグメント化することも可能である。この行為では、ベース上でセグメント化されている場合には、PSGを、ベース領域内に選択的に堆積することができ、ベースコンタクトドーピングのポケットを作ることができる。引き続いて、Ag金属を、ドーピング源(このケースではPSG)を介して熱することができ、ベースポケット内にコンタクトを作ることができる。この手法は、はるかに少ないベースコンタクト少数キャリア再結合を確実にすることによって効率優位性を有することが可能であり、ソーラーセルのVocおよびJscの両者を改善する。これはまた、レーザプロセスを使用してベースコンタクトを開口することの必要性を除去する。このセグメント化した金属設計は、バックプレーンの汎用性という理由だけで可能である。バックプレーンは、バックプレーン層で電流を合算しながら電流の垂直引き抜きを可能にする。Ag金属セグメント化と同じぎっしりと詰まったピッチで(これは他のデバイス制約によって規定されることがある)バックプレーンレベル接続を行う際に困難さがあるケースでは、連続した金属を、エミッタ金属が印刷されることと同時にAgセグメントの上面上に(例えば、Alを)スクリーン印刷することが可能である。この金属(Al)がPSG酸化膜を通って侵入しないことを確実にするために、注意を払わなければならず、これは正しい選択の金属ペーストを使用して回避することができる。
プロセスフローの他の変形形態に関する下記の項では明示的には述ベないが、ダイレクトライト金属スクリーン印刷選択肢を、同様に引き続いて論じるプロセスフローのために、PVD金属選択肢の代わりに利用することが可能であることが理解される。
図4に示した具体的な実施形態では、次ステップは、セル上のパターン形成した金属ライン上へと導電性材料(一例として、エポキシ材料)をスクリーン印刷することである。必要な場合には、シャントすることからセルを保護するために、誘電性接着剤層をやはり印刷することができる。これは、必要な場合には、(プロセスフロー図には明示的に示されていないが)導電性接着剤を伴う引き続き論じるすべてのプロセスフローに対して任意選択であると理解される。この後に、金属ラインへのバックプレーンのアライメント、張り付けおよびラミネーションが続く。別の実施形態では、導電性材料および/または誘電体材料のスクリーン印刷を、バックプレーン金属上に実行することができる。引き続いて、導電性材料を有するバックプレーンアセンブリを、テンプレート上の金属ラインにアライメントし、張り付けることができる。バックプレーン上に導電性エポキシを印刷することの利点は、テンプレート上へのスクリーン印刷ステップがないことであり、これが、テンプレート上への完全に接触のない処理を確実にし、機械的な耐力を大きくする。難題は、アライメントがより厳しくなることである。
そして、いくつかのタイプのバックプレーンを前の項で論じたが、2つの実施形態を下記に詳細に説明する。
a.対面結合:好ましくは50μm〜300μmの間の厚さのパターン形成したAl箔で作った厚いインターコネクトスタックは、多くの抵抗損失なしに横方向の電流の伝導を助ける。導電性箔は、バックプレーンに張り付けられ、バックプレーンは、ガラス、またはPV機能を有し対応する封入剤材料を使用するプラスチックのいずれか、例えば、限定しないがZ68とすることができる。Al箔、Z68、およびバックプレーン材料(例えば、ガラスまたはプラスチック)を、バックプレーンアセンブリと呼ぶ。アセンブリは、前述の導電性エポキシを使用してテンプレートに張り付けられ、その結果、互いに組み合わせられた事前にパターン形成した箔パターンを、テンプレート上に表を下にして張り付ける。次の2つの構成では、Al箔パターンの寸法は、異なることがある。第1の構成では、Al箔ラインは、テンプレート上のパターン形成したラインに平行である。第2の構成では、バックプレーンAlラインは、テンプレート上の金属ラインに直交する。直交するケースでは、エミッタラインおよびベースラインのショートを回避するために、1つおきのテンプレート金属ラインだけがチェッカーボードクロスポイントパターン内の群集するバックプレーン箔ラインへのコンタクトを作る。直交する構成は、バックプレーンラインまたはフィンガ(M2フィンガ)がより広くなり数少なくなることを可能にし、製造を管理可能にし、そのコストも低下させるので、直交する構成が有利なことがある。平行ラインは、テンプレート上の金属ラインのピッチ/寸法に一致させなければならず、これは、デバイス設計によって制約される。薄いセルのケースでは、このピッチは、薄いセル用のベースの高いシート抵抗のためにさらに制限される。M1に接触しないことが望まれる交差点で直交するライン間でショートしないことを確実にするために、いくつかの予防策が提案されている。ラミネーション中にAl箔の下で、Z68または別の適切な誘電体封入剤材料をフローさせることによって、これを確実にすることができる。Al箔が穿孔されている場合には、フローを高めることができる。直交する構成でのシャントのリスクを回避する別の方法は、負のチェッカーボードパターンに誘電体(非導電性)ポストをダミー印刷することである。これは、接触が望ましくないクロスポイントで、群集するAl箔を非導電性ポストによって支持し、その結果として、テンプレート上の金属ラインに接触するようには撓まないことを確実にする。
電流は、依然として、表面を下にした側からバックプレーンの上面へと引き出される必要がある。下記は、これに関する2つの一般的なスキームである。すなわち、第1に、Al箔を他方の側へとバックプレーンのエッジをラップアラウンドすることである(以後ラップアラウンドバスバーと記載)。このスキームに伴うリスクは、一部の引き続くステップの間にラップした箔を保護する際の困難さを含む。第2のスキームでは、2、3のスルーホールが開孔され、電流が下にある箔からこれらの位置でアクセスされる。これらの穴を作るためのいくつかの方法が本明細書おいて開示される。
b.バックプレーンの第2の構成は、何もAl箔を持たない。バックプレーンアセンブリは、バックプレーン材料(たいがいポリマー材料もしくはプラスチック材料、またはおそらくガラス)およびZ68または類似の材料だけから構成される。ポリマーまたはプラスチック材料シートは、貫通するより多くの穴を開孔することが容易であり/安価であり、これがやはり得られるソーラーセルを柔軟にするまたはいくぶん柔軟にするという理由で、硬いガラスよりも有利なことがある(したがって、セルのより低コストの柔軟なモジュールパッケージングをやはり可能にする)。ポリマーバックプレーンまたはプラスチックバックプレーンに伴う難題は、プラスチックが(埋め込み型低CTEファイバまたは粒子を用いて作られない限り)ガラスと比較してシリコンとのより大きなCTEミスマッチを有するので、プラスチックを用いる引き続くステップが、より低い値への(約150℃〜300℃よりも高くない)温度を規制する必要があり得ることである。穴は、バックプレーンだけを貫通して開孔されるが、Z68を貫通しない。引き続くウェット処理およびドライ処理中には、Z68カバーは、下にあるデバイスを保護する。最後に、Z68を開口し、下にあるセルから電流を直接引き出すために、モジュールアセンブリを使用する。これは、セルコストを劇的に安価にする一方で、モジュールにおいていくぶんかより複雑なアセンブリプロセスを必要とする。
プロセスフローが上に論じたバックプレーン実施形態のいずれかと類似のままであるけれども、Al箔構成を、残りのプロセスフローにおいて詳細に説明する。バックプレーンアセンブリを、セル/テンプレートに張り付け(図4)、ラミネートし硬化する。この後に、セル境界およびリリース境界を画定するためのレーザトレンチが続く。引き続いて、機械的リリース(MR)または音波処理機械的リリース(SMR)などの利用可能な技術を使用して、機械的リリースを実行する。
リリースの後で、テンプレートを洗浄し、多孔質シリコンおよびエピの再使用のために送り返す。バックプレーンアセンブリ(これは、第2のおよび恒久的なキャリアである)に張り付けられたTFSSは、QMS(または多孔質シリコン)側をここで洗浄され、テクスチャリングされる。具体的な一実施形態では、KOH/SCDまたはKOH/IPA組み合わせなどのホットKOH系の化学薬品(ここでは、KOHをNaOHと置き換えることができる)を使用して、これを一気に実行することができる。この後に、1つのケースでは、HF/HClの組み合わせを使用して行うことが可能であるポストテクスチャ洗浄が続く。引き続いて、TFSSを、(水素化した)SiNx ARCおよびパッシベーション層の堆積である太陽が当たる側の最終プロセスステップに持ってゆく。バックプレーンアセンブリの存在のために、このプロセスの最高温度は、バックプレーン材料の選択に依存して150℃〜300℃の範囲内であり得る低い値に制限される。満足できるパッシベーションを裏面コンタクト型セル用に低温で実現することができる方法を、早期のパッシベーションにおいて論じる。これが優れたクリーニングポストテクスチャおよびSiNの前の薄い(例えば、3nmから10nm)のアモルファスシリコン(a−Si)またはアモルファスシリコン酸化膜層の堆積を伴うことになることを述ベることで十分である。SiNは、好ましくは、正に帯電した少数キャリア正孔を表面から遠くへはね返し、かつ表面再結合を減少させるために、正電荷リッチでなければならない。
図4のプロセスフローにおける最終ステップは、バックプレーン中に既に存在する穴を通してZ68材料中にアクセスホールを開口することである。これは、Al箔からエミッタ電流およびベース電流を垂直に引き出す(または引き込む)ためである。具体的な一実施形態では、Z68中のスルーアクセスホールは、Z68材料を溶かすホットはんだ材料を使用して作られ、下にあるAl箔へのコンタクトを作る。引き続いて、はんだを、モジュールアセンブリのために使用することが可能である。別の一実施形態では、Z68(または別の適切な封入剤)材料を、短時間照射(おそらくIR)に曝すことが可能である。これは、Z68を後退させ、Snまたははんだ合金へのアクセス点を開口する。さらに別の構成では、レーザを使用して最後に、Z68中にだけ、またはガラスおよびZ68の両者の中のいずれかに、穴を開孔する。さらに別の構成では、バックプレーンアセンブリの時にZ68およびガラスの両者を貫通して、穴を開孔するが、片側テクスチャ機器を使用してまたは穴の上面上でZ68を一時的にタギングすることによって、下にあるデバイスをテクスチャバスからここでは保護する。
図5は、ダイレクト金属ライト技術を使用することを除いて図4に示したものと類似の本発明の代表的な選択エミッタおよびホットアブレーションプロセスフローである(図3中のフロー選択肢1A1に対応する)。ダイレクトライト技術は、PVD金属堆積および引き続くレーザ金属アイソレーションステップの必要性を削除することが可能である。図4に示したプロセスフローの変形形態として、PVD金属堆積、これに続くレーザ金属アイソレーションを、数多くのダイレクト金属ライト技術のうちのいずれかによって置き換えることができる。これらは、1つ以上の金属ペーストのスクリーン印刷、1つ以上の金属系インクのインクジェット/エアロゾル印刷、およびレーザ転写印刷を含むことができるが、これらに限定されない。これらのダイレクト金属ライト技術は、その後、高温アニールが続くことがある。
図6および図7は、それぞれ、図4および図5に対応する2つの選択エミッタおよびホットアブレーションプロセスフローであり、図6および図7に詳細に説明したフローが、おもて側のテクスチャを削除することによってエピタキシャルシリコン成長中のインサイチュおもて表面電界(FSF)の形成を可能にするという違いを有する。したがって、図6および図7は、図3中のフロー選択肢1A2に対応する。FSFの利点は、これがベース抵抗の減少、おもて表面再結合速度を減少させること(FSRVの減少)によってVocの増加に役立つことである。このテクスチャフローがないことの背景にある思想は、インサイチュドープのおもて表面電界を保護することである。QMS除去(おもて面からの少量のシリコン除去)を行った後で、フローは、テクスチャを実行せずにパッシベーションへと直接移行する。光トラッピングの点からテクスチャの機能は、おもて面パッシベーションに続く追加の後続ステップによって達成される。これらのステップは、一例では、スプレイコーティング、適切な誘電体または金属粒子層の堆積、および硬化することを必然的に伴う。
図6は、PVD金属スタック堆積を示し、一方で、図7は、ダイレクトライト金属技術を示す。図6は、PVD金属堆積を用いるプロセスフローを示し、これはテクスチャレスプロセスを使用して実現されるインサイチュおもて表面電界を有する。光トラッピングを、セルのおもて側に粒子層を使用して実現する。図7は、図6に示したPVD金属に加えてレーザアイソレーション法の代わりにダイレクト金属ライトを示す。
図8は、図3中のフロー選択肢1Bに対応するプロセスフローの実施形態である。このフローは、1つの違い−図8におけるフローがホットアブレーションの代わりにコールドアブレーションを使用する(好ましくは、パルスpsレーザを使用する)−を除いて、上に論じた変形形態を有する図1に概略を述ベたフローと同様である。バックエンドステップは、図4におけるフロー選択肢1Aと同様であり、初期テンプレート上のステップの2、3の変形を有する。コールドアブレーションのプロセスは、テンプレート上での2、3のステップを変形することができる。図8に示したように、フローは、エミッタおよびベース拡散領域をアイソレートするためのBSG層のレーザアブレーションに至るまで同じである。このレーザステップの後で、(ホットアブレーションプロセスのケースにおいて使用されることがあるような)USG/PSG/(USG)スタックの代わりにAPCVD USG層だけの堆積が続く。引き続いて、USG層がレーザアブレーションプロセスを使用してアブレーションされて、リンドーピング開口部を作る。この後に、PSG/USG(PSGの上面上にUSGキャップを有する)スタック堆積が続く。ここで、熱酸化アニールおよびドライブを実行する。これは、エミッタ接合の形成、シリコン中にベースドーピングの形成、および熱酸化膜を有する裏表面パッシベーションを確実にする。次のステップは、コールドパルスpsレーザアブレーションを使用してエミッタコンタクトおよびベースコンタクトを開口することである。ホットアブレーションとの違いは、コールドパルスpsレーザアブレーションのケースでは、レーザがドーパントをドライブインする(これは、高温アニールを使用してベースおよびエミッタの両者に対して既に行われている)という同時に起きる重荷を持たないことである。レーザは、コンタクトを開口するだけであり、シリコンへの無視できる損傷を与えつつシリコンで止まる。コールドレーザアブレーションを、より容易な製造プロセスと考えることができるとはいえ、ホットアブレーションは、少なくとも2つの利点を有する。第1に、ホットアブレーションは、2つだけステップの数を削減し、コスト節約を行うことが可能である。第2に、ホットアブレーションは、エミッタ/ベースアイソレーション領域に対してベースコンタクトをアライメントすることだけを必要とする一方で、コールドアブレーションは、最初にエミッタ/ベースアイソレーション領域にUSG開口領域をアライメントし、USG開口領域にベースコンタクトをアライメントすることを必要とする。所定のアライメント能力およびコンタクトサイズに関して、コールドアブレーションは、より広いエミッタ/ベースアイソレーション領域で始める必要があろう。フロー図8に示した引き続くプロセスステップは、前に示したフロートと同様である。
図9A〜図9Lは、図8のコールドアブレーションフロー(図3中のフロー選択肢1Bに対応する)の主要な製造ステップを示す断面図である。図9Aは、USG/BSG(BSGの上面上にUSGキャップを有する)堆積ステップを示し、図9Bは、USG/BSGレーザアブレーションステップを示し、図9Cは、USG堆積ステップを示し、図9Dは、USG/PSG/(USG)堆積ステップを示し、図9Eは、酸化アニール/ドーパントドライブイン堆積ステップを示し、図9Fは、レーザコールドアブレーションおよびコンタクト開口ステップを示し、図9Gは、PVD Al(またはAl/NiV/Snまたは、Alの下部層および適切なはんだ合金の被覆層を含む別の適切なスタック)堆積ステップを示し、図9Hは、レーザ金属アブレーションに加えてエポキシ印刷ステップを示し、図9Iは、バックプレーン張り付けステップを示し、図9Jは、セル/テンプレートリリースステップを示し、図9Kは、QMS(TFSS上の多孔質シリコン残渣の残り)除去およびテクスチャリングステップを示し、図9Lは、低温おもて表面パッシベーションステップを示す。
図3フロー選択肢2:シリコンナノ粒子リン系ベースドーピング
図10は、シリコンナノ粒子リン系ベースドーピング(ペーストまたはインク)に関するプロセスフローを概説する。Al PVDで始まるプロセスフローのバックエンドならびにテンプレート洗浄/多孔質シリコン/エピ/APCVD BSG/USG堆積、およびBSGスタックのレーザアブレーションから構成されるフロントエンドは、以前に開示されている、図4および図8を参照する。3つの説明したフロー選択肢2の下位変形形態(選択肢2A、2B、および2C)のうち、選択肢2Aおよび2Bは、ホットアブレーションを使用し、選択肢2Cは、コールドアブレーションを使用する。図10、図11、および図12は、それぞれ、図3の選択肢2A、2B、および2Cについての全体のプロセスフローを示す。
選択肢2Aを表す図10は、BSGレーザアブレーションの後で、酸化アニールを、熱炉アニール機器内で実行することを示す。これは、多機能プロセスであり、シリコン中へとBSGからホウ素をドライブすることによってエミッタを形成すること、ならびにBSGがアブレーションされた領域内に熱酸化膜層を形成することの少なくとも2つの目的を有する。熱酸化膜層は、どれが最終的にベース領域になろうともパッシベーションとして働く。この後に、エミッタ領域のホットレーザアブレーションが続き、フロー選択肢1Aにおいて説明したプロセスと同様に選択エミッタを形成する。同時に、ベースドーピングコンタクト用に酸化膜を開口するために、コールドアブレーションをベース領域内に使用する。引き続いて、シリコンナノ粒子系のリンペーストを、スクリーン印刷し、または、ベースコンタクト開口領域内にインジェクトすることなどの他の方法を使用して与える。引き続いて、ペーストをアニールして、ベースドーピングをドライブする。この後に、PVD Alで始まる選択肢1(すべてのその変形形態とともに)と同一のプロセスフローが続く。
図11は、ホットアブレーションおよびシリコンナノ粒子リンペーストまたはインクを用いるフロー選択肢2Bを示し、2つのAPCVD機器を使用する。選択肢2B(図11)では、BSGレーザアブレーションの後で、APCVDを、(選択肢2Aにおける熱酸化膜の代わりに)USGを堆積するために使用する。この後に、エミッタのホットアブレーションおよびベースコンタクト開口のためのUSGのコールドアブレーションが続く。引き続いて、リン系シリコンナノ粒子(ペーストまたはインク)のスクリーン印刷またはインクジェッティングを実行する。この後に、ベースコンタクトならびに選択エミッタを形成するために熱アニールが続く。引き続く処理を、フロー選択肢1に対する変形形態と同じにすることができる。
選択肢2C(図12)は、コールドアブレーションフローである。図12は、リンドーピングのためにシリコンナノ粒子ペーストを用いるコールドアブレーションを有するフロー選択肢2Cを示す。ここでは、BSGレーザアブレーションの後で、選択肢2Bとちょうど同じようにUSGを堆積するために、APCVDを使用する。しかしながら、この後に、コールドアブレーションを使用するベースコンタクトおよびエミッタコンタクト開口が続く。引き続いて、ナノ粒子リンペーストを、(再び、ペーストのスクリーン印刷またはインクのインクジェット印刷のいずれかによって)ベース領域内に付け、アニールする。アニーリング作用は、エミッタをドライブし、ベースドーピング領域を形成する。引き続く処理を、以前に開示したものと同様にすることができる。
シリコンナノ粒子を用いるすべての選択肢(図3中のフロー選択肢2)において、ペーストがシリコンナノ粒子系であるので、ペーストを付けた後で、再びベースコンタクトを開口する必要がないことに、留意されたい。したがって、金属を、この硬化したペースト上に直接置くことができる。そして必要な場合には、PVD Alを置く前に、領域を開口することに適応するように、フローを変形することが可能である。
図3のフロー選択肢3:リンペースト系ベースドーピング
ここでは、以前のフローと比較した相違は、ベースコンタクトが市販のリンペーストを使用して形成されることである。BSGスタックのレーザアブレーションに至るまでで、かつAl PVDを含むその後のすべてのプロセスステップは、選択肢1と同じままであり得る。それぞれ図3のフロー選択肢3A、3B、および3Cに対応する図13、図14、および図15中に示した3つのリンペースト系ベースドーピング変形形態がある。さまざまな態様で、これら3つの下位選択肢は、わずかな相違で以前に論じたナノ粒子ペーストに関する3つの下位選択肢に反映される。図13(フロー選択肢3A)および図14(フロー選択肢3B)は、ホットアブレーションを使用し、一方で、図15(選択肢3C)は、コールドアブレーションプロセスである。加えて、図13(フロー選択肢3A)は、1つのAPCVDを使用し、一方で、図14(フロー選択肢3B)および図15(選択肢3C)は、2つのAPCVD機器を使用する。
選択肢3A(図13)では、BSGアブレーションの後で、エミッタ形成のためならびに熱酸化膜を使用するベース領域パッシベーションのための酸化アニールがある(フロー選択肢2Aと同様である)。引き続いて、コールドアブレーションを用いてベースコンタクトだけを開口するために、レーザアブレーションを使用する(フロー選択肢2Aとは異なる)。このステップの後に、スクリーン印刷(または、インクジェット印刷などの、ダイレクトライトのためにリンペーストを与える任意の他の方法)が続き、ベースコンタクトリン拡散領域をドライブするためのアニーリングが続く。引き続いて、エミッタのホットアブレーションおよびベース領域のコールドアブレーションを、選択エミッタおよびベースコンタクトを作るために実行する。PVD Alから始まるこれに続くすべてのステップは、以前に開示されている。
選択肢3B(図14)は、BSGアブレーションの後にAPCVD USG堆積を有する。その後に、ベースコンタクトを開口するためのUSGのパルスpsレーザ(またはコールドアブレーションが本発明のプロセスフローのいずれかにおいて必要とされる時にはいつでも、パルスpsレーザの代わりに使用することが可能であるパルスfsレーザ)コールドレーザアブレーションが続く。選択肢3Aにおけるものとちょうど同じように、この後に、リンペーストのスクリーン印刷、ならびにベースコンタクトと同様にエミッタ領域のリンのドライブおよびアニールが続く。この後に、エミッタのホットアブレーションおよびリンペーストを通してベース内にコンタクトを再開口するためのベースのコールドアブレーションが続く。引き続くAl PVDで始まるすべてのステップは、以前に開示されている。
選択肢3C(図15)は、BSGアブレーションの後でAPCVD USGを使用する。この後に、ベース開口のためのUSGのアブレーションが続き、リンペーストのスクリーン印刷が続き、エミッタ、ベースドーピング、ならびにパッシベーションを形成するための酸化アニールおよび/またはアニールが続く。この後に、コンタクトを開口するためのエミッタおよびベース領域のコールドアブレーションが続く。引き続くAl PVDで始まるすべてのステップは、以前に開示されている。
フロー選択肢4:POCl3に基づくベースドーピング
図16、図17、および図18は、ベースドーピングのために炉POCl3(オキシ塩化リン)を使用するフローのセットである。図に示されるように、BSGレーザアブレーションを含むこれに至るまでのすべてのステップ、ならびにAl PVDを含むこの後のすべてのステップを、以前に開示したものと同じにすることができる。それぞれ、図3のフロー選択肢4A、4B、および4Cに対応する図16、図17、および図18に示した3つのPOCl3に基づくベースドーピング変形形態がある。図16(フロー選択肢A)および図17(フロー選択肢B)は、ホットアブレーションを使用し、一方で、図18(フロー選択肢C)は、コールドアブレーションプロセスである。加えて、示したように、図16(フロー選択肢4A)は、1つのAPCVDを使用し、一方で、図17(フロー選択肢4B)および図18(選択肢4C)は、2つのAPCVD機器を使用する。
選択肢4A(図16)では、BSGスタックのレーザアブレーションの後に、バッチ炉内の酸化アニールが続き、これは同時にエミッタをドライブし、ベース領域内にパッシベーション熱酸化膜を形成する。この後に、ベースコンタクト開口のための熱酸化膜のコールドアブレーションが続き、ベースコンタクト拡散領域を形成するためのPOCl3炉ドーピングが続く。引き続いて、ホットアブレーションを、エミッタコンタクト開口のために使用し、コールドアブレーションはベース領域内のPOCl3が形成したガラスを突き抜ける。レーザがすべてのPOCl3で形成したガラスをアブレーションするために使用されることがやはり想像でき、この後に、バックミラーの観点から望ましいことがある。これは、以前に開示したようにAl PVDが続く。
選択肢4B(図17)では、APCVD酸化膜を、熱酸化膜の代わりに堆積する。この後に、ベースコンタクトを形成するためのUSG材料のコールドレーザアブレーションが続く。この後に、POCl3ドーピングが続き、ベース拡散を形成することならびにシリコン中へとエミッタ領域をドライブすることの両者を扱う。引き続いて、エミッタコンタクトを開口するためおよび選択エミッタを形成するドライブのために、ホットアブレーションを使用し、ここでは、コールドアブレーションを、POCl3ガラス材料を突き抜け、ベースコンタクトを開口するために使用する。この後に、PVD Alで始まる標準プロセスが続く。
選択肢4C(図18)では、熱酸化膜の代わりに、USGのAPCVDを、POCl3のブロッキングを作るために使用する。この後に、ベースコンタクト開口およびPOCl3プロセスのためのUSGのコールドアブレーションが続く。POCl3プロセスは、ベースコンタクトを形成するだけでなく、エミッタを同時に拡散する。この後に、エミッタコンタクトおよびベースコンタクト開口の両者のコールドアブレーションが続く。残りのプロセスフローは、以前のままである。
最低限のセルプロセスフロー
この項では、(ベースコンタクトを作るためにPSGを使用する)上に選択肢1として説明したプロセスフローの変形形態を説明する。この変形形態では、いくつかのステップが統合され、高効率の裏面コンタクトの薄いセルを作るために削減された数の機器を使用するために、CE印刷ステップを削除する。これらの最低限のステップフローの規定する特質は、セルAl金属/ミラーの上面上ならびにメタルフィンガ上に事前に形成したピングリッドアレイを有するバックプレーンメタルフィンガ上の被覆層として両者を形成した、低温はんだ合金(例えば、138℃はんだ融点を有する58%Bi−42%Sn、または140〜145℃の融点を有するBi−45%Sn−0.33%Ag)を使用することによって、導電性エポキシのスクリーン印刷を削除することである。一旦バックプレーンをアライメントしてセル上に置き、バックプレーンピングリッドアレイを熱ラミネーションプロセス中にセルにはんだ張り付けする。
図19に示されるホットアブレーションダイレクトライトプロセスは、下記に記した特質を有する最低限のステップのプロセスフローの第1の実施形態を示す。使用される2つのAPCVDプロセスステップは、テクスチャリングプロセスを有し、ベース拡散、レーザを使用して形成する選択エミッタを形成するためにPSGおよびホットアブレーションを使用し、スクリーン印刷、インクジェット、エアロゾル印刷、レーザ転写印刷、およびCEスクリーン印刷を用いない直接はんだボンディングなどのダイレクト金属ライトプロセスを有する。
図20に示されるコールドアブレーションダイレクトライトプロセスは、最低限のプロセスフローの第2の実施形態を示す。これは、はんだ張り付けの図19の共通特性ならびに2、3のプロセスステップを削除するためのダイレクト金属ライトを保持する。しかしながら、これがホットアブレーションに依存せず、3つのAPCVDステップを有するということで、図19のフローとは異なる。
非エピバルクの薄い基板プロセスフロー
以前には、2つのタイプのキャリア1の例を開示した。第1のタイプのキャリア1は、テンプレートを使用し、第2のタイプのキャリア1は、厚いウェハまたはインゴットであり、インゴットから、薄いCZまたはFZスライスが、水素イオン注入を含む無数の利用可能な技術を使用してへき開され、または薄片にされる。下記の項は、薄いシリコン基板を得るためのウェハへき開手法と共にバックプレーン技術革新を利用するセルレベルプロセスフローを説明する。陽子注入に基づくへき開は、<111>テクスチャの基板を生成し、これは好ましくはドライテクスチャリングを必要とするはずである。実施形態は、極薄基板(例えば、はるかに厚い再使用可能なウェハ、例えば、数mmまたは数cm厚であるウェハまたはブリックから分離した/へき開した約1μm〜80μm厚の基板)の陽子注入へき開/スライシングを示す。
図21は、薄いシリコン基板を得るためにウェハへき開手法を使用する第1のプロセスフローを示す。プロセスフローは、基板を作るために使用する初期ステップを除いて、図4において説明したフロー1A1(これは、キャリア1として再使用可能なテンプレートを使用する)に類似する。このフローの具体的な特質は、2つのAPCVDプロセス(APCVD PSGを使用して形成したベースコンタクト拡散およびホットレーザアブレーション)、インサイチュおもて表面電界(FSF)リンドーピングを用いてまたは用いずに平坦なまたは事前にテクスチャリングしたテンプレート上に実行することができるセルおもて表面テクスチャリング、真空スパッタリング、真空蒸着、および大気圧アーク/熱溶射コーティング、等を使用して実行することができる金属堆積を使用することである。第1のステップは、再使用可能な厚いウェハで始めることである。
図21では、最初に、ウェハは、基板厚さを設定する注入エネルギーを有するMeV陽子注入物質で注入される。基板作成のこのステップに続いて、示したステップは、バックプレーン張り付けステップまで図4に示したフローと同様である。バックプレーン張り付けの後で、ウェハを、注入によって作られたへき開面で厚いウェハからリリースする。この後に、ウェハが<111>表面であるので、レーザまたはドライプラズマプロセスのいずれかを使用して実行することができるドライテクスチャリングプロセスが続く。任意選択のポストテクスチャ洗浄を、引き続いて実行することができる−再使用可能なテンプレートを使用する以前の実施形態は、ドライテクスチャプロセスもまた必要としなかった。図21に示したように、ドライテクスチャリングの後で、パッシベーションおよびバックプレーンアクセスステップを実行する。
図22から図35は、陽子注入およびへき開した薄いシリコンセルを使用する裏面コンタクトの薄い結晶ソーラーセルに関する図21において概説したプロセスフローのいくつかの変形形態および例を示す。変形形態は、テンプレート上の再使用可能なPS/エピタキシャルTFSSプロセスフローを使用して説明した類似のフローを反映する。プロセスフローの4つのカテゴリーは、図3中のフロー選択肢と同様である−これら4つのカテゴリーは、ベース拡散領域を作るために使用する方法に基づいて相互に識別される。図21のフローを含む第1のカテゴリーは、ベース拡散領域を作るためにPSG層を使用し、第2のカテゴリーは、シリコンナノ粒子を使用し、第3のカテゴリーは、リンペーストを使用し、そして第4のカテゴリーは、ベース拡散領域を作るためにPOClプロセスを使用する。
図22から図26は、PSGに基づくドーピングカテゴリーに属するフローを示す。これらのプロセスフローの各々を、すぐこの後に列挙する下記の特質によって特徴付けることができる。
図22は、図3のフロー選択肢1A1に対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはブリックまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、(妥当な陽子注入ドーズ量でのへき開を容易にするために)典型的には(111)方位の基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・2つのAPCVDプロセスを使用する
・APCVD PSGおよびホットレーザアブレーションを使用して形成したベースコンタクト拡散
・セルおもて表面テクスチャリングを含む
・インサイチュおもて表面電界(FSF)リンドーピングを用いてまたは用いずに平坦なまたは事前にテクスチャリングしたテンプレート上に実行することができる
・フロー1A1と同じであるが、互いに組み合わせたセルメタル(例えば、AlまたはAl/SnまたはAl/NiV/Sn)フィンガ用のダイレクトライトプロセスを有する ・金属堆積を、スクリーン印刷、レーザ転写印刷、インクジェット印刷、エアロゾル印刷などのダイレクトライトプロセスを使用して実行することができる
図23は、図3のフロー選択肢1A2に対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、典型的には(111)方位の基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・2つのAPCVDプロセスを使用する
・APCVD PSGおよびホットレーザアブレーションを使用して形成したベースコンタクト拡散
・セルおもて表面テクスチャリングがない(テクスチャレス)−代わりに、光トラッピングが(誘電体粒子または金属粒子などの)粒子光トラッピング層のコーティングによって支援される
・おもて表面電界(FSF)リンドーピングを含む
・金属堆積を、プラズマスパッタリング、真空蒸着、大気圧アーク/熱溶射コーティング、等を使用して実行することができる
図24は、図3のフロー選択肢1A2に対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、典型的には(111)方位の基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・2つのAPCVDプロセスを使用する
・APCVD PSGおよびホットレーザアブレーションを使用して形成したベースコンタクト拡散
・セルおもて表面テクスチャリングがない(テクスチャレス)−代わりに、光トラッピングが(誘電体粒子または金属粒子などの)粒子光トラッピング層のコーティングによって支援される
・おもて表面電界(FSF)リンドーピングを含む
・金属堆積を、スクリーン印刷、レーザ転写印刷、インクジェット印刷、エアロゾル印刷、等などのダイレクトライトプロセスを使用して実行することができる
図25は、図3のフロー選択肢1Bに対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはブリックまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、典型的には(111)方位の基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・3つのAPCVDプロセスを使用する
・APCVD PSGおよび炉アニールを使用して形成したベースコンタクト拡散
・金属堆積を、プラズマスパッタリング、真空蒸着、大気圧アーク/熱溶射コーティング、等を使用して実行することができる
図26は、図3のフロー選択肢1Bに対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはブリックまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、典型的には(111)基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・3つのAPCVDプロセスを使用する
・APCVD PSGおよび炉アニールを使用して形成したベースコンタクト拡散
・金属堆積を、レーザ転写印刷、インクジェット印刷、エアロゾル印刷、等などのダイレクトライトプロセスを使用して実行することができる
図27は、図3のフロー選択肢2Aに対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、典型的には(111)基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・1つだけのAPCVDプロセスステップを使用する
・スクリーン印刷したまたはインクジェット印刷したシリコンナノ粒子リンペーストを使用して形成したベースコンタクト拡散
図28は、図3のフロー選択肢2Bに対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはブリックまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、薄い基板は、典型的には(111)方位の基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・2つのAPCVDプロセスステップを使用する
・スクリーン印刷したまたはインクジェット印刷したシリコンナノ粒子リンペーストを使用して形成したベースコンタクト拡散
図29は、図3のフロー選択肢2Cに対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはブリックまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、薄い基板は、典型的には(111)方位の基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・ホットアブレーションプロセスがなく、選択エミッタがない
・2つのAPCVDプロセスステップを使用する
・スクリーン印刷したまたはインクジェット印刷したシリコンナノ粒子リンペーストを使用して形成したベースコンタクト拡散
図30は、図3のフロー選択肢3Aに対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはブリックまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、薄い基板は、典型的には(111)方位の基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・1つだけのAPCVDプロセスステップを使用する
・(例えば、スクリーン印刷によって付けた)標準の市販リンペーストを使用して形成したベースコンタクト拡散
図31は、図3のフロー選択肢3Bに対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはブリックまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、薄い基板は、典型的には(111)方位の基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・2つのAPCVDプロセスステップを使用する
・(例えば、スクリーン印刷を使用して付けた)標準の市販リンペーストを使用して形成したベースコンタクト拡散
図32は、図3のフロー選択肢3Cに対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはブリックまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、薄い基板は、典型的には(111)方位の基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・ホットアブレーションプロセスがなく、選択エミッタがない
・2つのAPCVDプロセスステップを使用する
・(例えば、スクリーン印刷を使用して付けた)標準の市販リンペーストを使用して形成したベースコンタクト拡散
図33は、図3のフロー選択肢4Aに対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはブリックまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、薄い基板は、典型的には(111)方位の基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・1つだけのAPCVDプロセスステップを使用する
・POCl3炉ドーピングを使用して形成したベースコンタクト拡散
図34は、図3のフロー選択肢4Bに対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはブリックまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、薄い基板は、典型的には(111)方位の基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・2つのAPCVDプロセスステップを使用する
・POCl3炉ドーピングを使用して形成したベースコンタクト拡散
図35は、図3のフロー選択肢4Cに対応し、下記の特質によって特徴付けることができる。
・(例えば、MeV陽子注入の後で)再使用可能な厚いウェハまたはブリックまたはインゴット片からスライシング/へき開することによって形成した薄い基板であって、薄い基板は、典型的には(111)方位の基板であり、ドライレーザまたはプラズマテクスチャリングを必要とする薄い基板
・ホットアブレーションプロセスがなく、選択エミッタがない
・2つのAPCVDプロセスステップを使用する
・POCl3炉ドーピングを使用して形成したベースコンタクト拡散
バックプレーン技術を使用するバルクCZおよびFZウェハのための具体的なプロセスフロー
フローのこのカテゴリーでは、バックプレーン技術を使用するバルクCZ(チョクラルスキー)およびFZ(フロートゾーン)ウェハのための代表的な裏面コンタクト型/裏面接合プロセスフローを詳細に説明する。識別する要因の中には、バックプレーンの挿入およびやはり直接パターン画定のためのピコ秒レーザプロセスの広範囲にわたる使用も含む。明示的には述ベないが、望まれる場合には、バックプレーン技術を、はるかに薄いセル吸収体を形成するためにエッチングによってウェハを薄くするためにバルクFZおよびCZウェハ上に使用することができ、これは、非常に長いライフタイムを与えなくてもよい安価なバルクウェハが望まれる時には有用であり得る。これらのより安価な比較的短いライフタイムのウェハを、やはりp型バルクドーピングのものとすることができる。示されたすべてのプロセスフローは、n型ベース(バルク)ドーピングである好ましいドーピングを有するウェハの例である。
フローの5つのカテゴリーを、下記に詳細に説明する。各カテゴリーは2つのサブカテゴリーを有する。サブカテゴリーを、セル上に金属を堆積しパターン形成するために使用する方法によって識別する。第1のサブカテゴリーでは、この文書中で以前に説明したフローに類似して、パターン形成したベース金属およびエミッタ金属を得るために、レーザに基づく金属アイソレーションプロセスとともにPVDを使用する。第2のサブカテゴリーでは、ダイレクトパターン形成型金属ライト技術を、PVD/レーザアイソレーションステップの代わりに使用する。5つの主要カテゴリーの全体のプロセスフローを、図および明細書において詳細に説明する。しかしながら、下記の特徴にしたがってカテゴリーを定義することができる。
CZ/FZ選択肢I:テクスチャの前に形成されるPSGに基づくおもて表面電界(FSF)。
CZ/FZ選択肢II:テクスチャの前に形成されるPOCl3に基づくFSF。プロセスは、POCl3ガラス除去ステップを持たない。
CZ/FZ選択肢III:POCl3ガラス除去を有するPOCl3に基づくFSF。
CZ/FZ選択肢IV:テクスチャの後に形成されるPSGに基づくFSF。
CZ/FZ選択肢V:FSFなし。
図36は、CZ/FZ選択肢Iに対応し、下記の特質によって特徴付けることができる。
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・分離したベース−エミッタ接合
・2つのAPCVDプロセスを使用する
・APCVD PSGおよびホットレーザアブレーションを使用して形成したベースコンタクト拡散
・おもて側FSFならびに裏側ベースコンタクト拡散のために使用するAPCVD PSG
・プレテクスチャFSF形成
・インラインバックプレーン張り付け
・金属堆積を、プラズマスパッタリング、真空蒸着、大気圧アーク/熱溶射コーティング、等を使用して実行することができる
図37は、CZ/FZ選択肢Iに対応し、下記の特質によって特徴付けることができる。
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・分離したベース−エミッタ接合
・2つのAPCVDプロセスを使用する
・APCVD PSGおよびホットレーザアブレーションを使用して形成したベースコンタクト拡散
・おもて側FSFならびに裏側ベースコンタクト拡散のために使用するAPCVD PSG
・プレテクスチャFSF形成
・インラインバックプレーン張り付け
・金属堆積を、レーザ転写印刷、インクジェット印刷、エアロゾル印刷、等などのダイレクトライトプロセスを使用して実行することができる
図38は、CZ/FZ選択肢IIに対応し、下記の特質によって特徴付けることができる。
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・分離したベース−エミッタ接合
・2つのAPCVDプロセスを使用する
・APCVD PSGおよびホットレーザアブレーションを使用して形成したベースコンタクト拡散
・裏側ベースコンタクト拡散のためにだけ使用するAPCVD PSG
・同時にまたは逐次的にアニールおよび酸化するために使用するPOCl3−チューブに基づくアニール
・POCl3ガラス除去なし
・プレテクスチャFSF形成
・金属堆積を、真空スパッタリング、真空蒸着、大気圧アーク/熱溶射コーティング、等を使用して実行することができる
図39は、金属のためのダイレクトライトを除いては図38に類似の主要特質を有するCZ/FZ選択肢IIに対応し、下記の特質によって特徴付けることができる。
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・分離したベース−エミッタ接合
・2つのAPCVDプロセスを使用する
・APCVD PSGおよびホットレーザアブレーションを使用して形成したベースコンタクト拡散
・裏側ベースコンタクト拡散のためにだけ使用するAPCVD PSG
・同時にまたは逐次的にアニールおよび酸化するために使用するPOCl3に基づく炉アニール
・POCl3ガラス除去なし
・プレテクスチャFSF形成
・金属堆積を、スクリーン印刷、レーザ転写印刷、インクジェット印刷、エアロゾル印刷、等などのダイレクトライトプロセスを使用して実行することができる
図40は、CZ/FZ選択肢IIIに対応し、下記の特質によって特徴付けることができる。
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・分離したベース−エミッタ接合
・2つのAPCVDプロセスを使用する
・APCVD PSGおよびホットレーザアブレーションを使用して形成したベースコンタクト拡散
・裏側ベースコンタクト拡散のためにだけ使用するAPCVD PSG
・同時にまたは逐次的にアニールおよび酸化するために使用するPOCl3に基づく炉アニール
・POCl3ガラス除去を有する
・プレテクスチャFSF形成
・金属堆積を、プラズマスパッタリング、真空蒸着、大気圧アーク/熱溶射コーティング、等を使用して実行することができる
図41は、CZ/FZ選択肢IIIに対応し、下記の特質によって特徴付けることができる。
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・分離したベース−エミッタ接合
・2つのAPCVDプロセスを使用する
・APCVD PSGおよびホットレーザアブレーションを使用して形成したベースコンタクト拡散
・裏側ベースコンタクト拡散のためにだけ使用するAPCVD PSG
・同時にまたは逐次的にアニールおよび酸化するために使用するPOCl3に基づく炉アニール
・POCl3ガラス除去を有する
・プレテクスチャFSF形成
・金属堆積を、スクリーン印刷、レーザ転写印刷、インクジェット印刷、エアロゾル印刷、等などのダイレクトライトプロセスを使用して実行することができる
図42は、CZ/FZ選択肢IVに対応し、下記の特質によって特徴付けることができる。
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・分離したベース−エミッタ接合
・2つのAPCVDプロセスを使用する
・APCVD PSGおよびホットレーザアブレーションを使用して形成したベースコンタクト拡散
・おもて側FSFならびに裏側ベースコンタクト拡散のために使用するAPCVD PSG
・ポストテクスチャFSF形成
・金属堆積を、プラズマスパッタリング、真空蒸着、大気圧アーク/熱溶射コーティング、等を使用して実行することができる
図43は、CZ/FZ選択肢IVに対応し、下記の特質によって特徴付けることができる。
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・分離したベース−エミッタ接合
・2つのAPCVDプロセスを使用する
・APCVD PSGおよびホットレーザアブレーションを使用して形成したベースコンタクト拡散
・おもて側FSFならびに裏側ベースコンタクト拡散のために使用するAPCVD PSG、
・ポストテクスチャFSF形成
・金属堆積を、スクリーン印刷、レーザ転写印刷、インクジェット印刷、エアロゾル印刷、等などのダイレクトライトプロセスを使用して実行することができる
図44は、CZ/FZ選択肢Vに対応し、下記の特質によって特徴付けることができる。
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・分離したベース−エミッタ接合
・2つのAPCVDプロセスを使用する
・APCVD PSGおよびホットレーザアブレーションを使用して形成したベースコンタクト拡散
・裏側ベースコンタクト拡散のために使用するAPCVD PSG
・FSFなし
・金属堆積を、プラズマスパッタリング、真空蒸着、大気圧アーク/熱溶射コーティング、等を使用して実行することができる
図45は、CZ/FZ選択肢Vに対応し、下記の特質によって特徴付けることができる。
・(ホットアブレーションプロセスを使用する)追加のプロセスステップを用いない選択エミッタを含む
・分離したベース−エミッタ接合
・2つのAPCVDプロセスを使用する
・APCVD PSGおよびホットレーザアブレーションを使用して形成したベースコンタクト拡散
・裏側ベースコンタクト拡散のために使用するAPCVD PSG
・FSFなし
・金属堆積を、スクリーン印刷、レーザ転写印刷、インクジェット印刷、エアロゾル印刷、等などのダイレクトライトプロセスを使用して実行することができる
図3に概説したフローファミリ1Bに加えて、追加のコールドパルスps(またはfs)レーザアブレーションステップとともに2つの別々のBSG層堆積の使用によって、裏側に選択エミッタ構造を作ることも可能であり、望ましい。APCVD層およびレーザアブレーションを使用する選択エミッタ構造は、すべての以前に説明した構造およびフローの変形形態として適用可能であり、これらはエピタキシャルで堆積した膜から、CZウェハから、またはMeV注入などの高エネルギーを使用しおよびスプリッティングを使用してへき開したものなどのこれ以外の処理した吸収体層から作られた吸収体層上にある。図46は、追加のBSG層およびピコ秒レーザアブレーションパターニングを使用して(より低濃度のエミッタ接合ドーピングおよびより高濃度のエミッタコンタクトドーピング濃度を有する)選択エミッタ構造を作るためのセルプロセスフローを示す。図47は、図46のフローから得られるセル構造の断面を示す図であり、セルは、異なる拡散シート抵抗を有する2つのBSG堆積によって形成される選択エミッタを含む。
図46に見られるように、洗浄したテンプレートで始め、多孔質シリコン二重層または層構造を形成する。低濃度にn型ドープしたエピタキシャル膜(典型的には約5×1014cm-3〜1×1018cm-3の範囲内のその場ベースドーピング、約5μmと100μmとの間の厚さ)を堆積する。ベースリンドーピング濃度を、エピタキシャル成長プロセス中に事前に指定したプロファイルに基づいて変えることができる(好ましくは、約5×1014cm-3〜1×1018cm-3の範囲内である)。以前に概説したように、最適化したドーピングを実施するために、例えば、高いVoc(長い少数キャリアライフタイム)および高い充填率(寄生ベース抵抗の減少)の両者を実現するために、ドーピングを任意選択で1つよりも多くのドーピングレベルで行う。このような最適化したドーピングは、デバイスの太陽が当たる側表面の近くにより高いドーピングが実行されるおもて表面電界で構成することができる。しかしながら、その状態においてより低いドーピングを有することも有利なことがあり、異なる効果からより高いおもて表面再結合速度も導くことがある。その効果は、界面準位のバンド位置に関する表面のバンド整列のためであると考えられており、これがそのような界面準位をそれほど厳格でないものにする。
エピタキシャルシリコン層堆積の後に、第1のBSG層を比較的低濃度のホウ素で堆積し、裏表面領域のバルク内に低濃度にドープしたエミッタを後で形成する。このプロセスの後に、エミッタコンタクトが形成される領域のレーザアブレーション(好ましくは、ピコ秒レーザ)が続く。このプロセスおよび引き続く構造を作るプロセスは、有利には、構造の全体にわたって平行なラインを含むことが可能である。エミッタコンタクトおよびベースコンタクトの領域を、1つおきに互いに組み合わせたパターンにアライメントする。あるゾーン内では、すなわち、プロセスの後の方で、バスバーがメタル2の層(第2の金属堆積)上に設置されるゾーンでは、直線的な、平行な、互いに組み合わせられたベースおよびエミッタコンタクトパターンから外れることが有利なことがある。電気的シェーディングを劇的に減少させるために、この外れることを利用する。シェーディングは、そうでなければ各バスバーの下方で経験される。次に、(例えば、p++ドーピングを有する)高濃度にドープしたエミッタコンタクト領域を形成するためなど、第2のBSG層を、ホウ素の比較的高い濃度で堆積する。引き続いて、ベースコンタクト用の領域を、好ましくはピコ秒レーザを使用してレーザアブレーションする。次に、リンドープしたベースコンタクト用の前駆物質として働くように、PSG層を堆積する。引き続いて、多機能高温プロセスステップ中に、ドーパントをドライブインする。このプロセスステップは、任意選択で窒素などの中性雰囲気を含むことが可能であり、任意選択で酸素または水蒸気などの酸化雰囲気が続く(さらに、任意選択で、バックエンド低温ゲッタリングおよび最後にフォーミングガスアニールを含む)。接合をここでドライブインする。好ましくはピコ秒レーザを使用するコンタクト領域内のレーザアブレーションによって、コンタクトを引き続いて作ることが可能である。次に、メタル1(堆積した第1の金属であり、セルに最も近接して配置された金属)を、例えば、Al、NiもしくはNiVおよびSnのスタックのPVDを使用し、例えば、ピコ秒レーザアブレーションを使用するパターニングによって、またはアルミニウム含有ペーストのスクリーン印刷、エアロゾル印刷、インクジェットもしくはそれ以外には1つ以上の層の印刷によって、堆積し構造を作る。その後のアニーリングで接合中へのスパイキングを減少させるためにいくらかのシリコンをまたは他のスパイク減少薬品を第1の層内に含有するように、アルミニウムペーストを選択することができる。第2の層では、やはりメタル1の構造に起因して、メタル1とメタル2との間にコンタクトを作るために使用する後のビアアクセスホールドリリングとうまく一体となる適切な粒構造を含有するように、ペーストまたはインクを選択することが可能である。他の選択基準は、メタル1(M1)内で小さなライン抵抗を有するように最適化した導電率である。特に、低い方のインクまたはペーストに関して、ベースおよびエミッタの両者への低コンタクト抵抗のために妥当なペーストを選択することが、やはり重要である。望まれるところでは、エミッタコンタクト拡散に対してベースコンタクト拡散へのコンタクを作るために、異なる金属さえ含有する異なるペーストまたはインクを使用することができる。例えば、初期のメタル1層を、ニッケルインクなどのインクの薄い層とすることが可能であり、これを、コンタクト領域内で非常に局所的に堆積することが可能であり、次に加熱することによって、好ましくは自己制御式のプロセスで、シリサイドへと変化させることが可能である。しかしながら、形成したそれぞれのシリサイドの最も低い抵抗率相を形成するために、メタル1のその後の層を、十分に低い温度で処理する。後のビアアクセスホールレーザドリリングのための良いプロセスウィンドウを容易にしながら、同時にメタル1消費量(厚さ)およびセル当たりのコストを抑制するために、指定されたビアホール領域の下方により厚いアルミニウム金属ペーストパッドを局所的に印刷することが得策であり得る一方で、連続的なまたはセグメント化したフィンガを形成するためにセル上のどこか他の場所にはるかに薄いアルミニウムペーストを印刷することに留意されたい。例えば、ビアホールの領域内に追加の金属ペースト材料を印刷すること(したがって、金属ペーストの二重スクリーン印刷)によって、またはより十分なアライメント許容範囲のためにビアホールの領域内のライン幅をやはり大きくすることによって、または前者と後者の組み合わせによって、この設計を形成することができる。
PVDに続くピコ秒(またはfs)レーザアブレーションパターニングがメタル1堆積のための方法として明示的に述ベられるとはいえ、本開示において説明したすべてのフローおよび構造が、代替実施形態では、インクジェット、エアロゾルまたはスクリーン印刷などのメタル1ペースト用の印刷プロセスを使用することができることに留意されたい。ペーストまたはインク印刷に続いて、ペーストまたはインクを、適切にベークしアニールすることが可能である。次に、例えば、限定されないが、適切な低CTEプリプレグ材料のラミネーション、または最初にスクリーン印刷そして別の接着性フィラーの熱処理またはUV照射によるなどの照射処理によって、任意選択で、バックプレーンラミネーションに先立って表面を平坦化するためにメタル1のスペース間に、バックプレーンを張り付けることが可能である。このような追加の接着剤が使用される場合には、プリプレグなどのバックプレーン材料を、その後で相対的に平坦化された表面構造にラミネートすることが可能である。
プリプレグなどのラミネーション材料を、テンプレート辺寸法よりも各辺上で、例えば、2、3ミリメートルの程度小さくすることができる。例えば、標準化された156mm×156mm最終セルについて、少し大きい、例えば、約158mm×158mmのラミネーション材料、およびそれよりも少し大きい、例えば、約165mm×165mmのテンプレートを有することが、有利なことがある。
ラミネーションの後で、ラミネーション領域のちょうど外側の領域では、シリコンのアブレーション型トレンチを、レーザ、好ましくはナノ秒UVレーザを用いて、あるいは代替的に熱レーザ分離を使用して、エピタキシャル膜を部分的にまたは完全に切ることが可能であり、プロセスは、局所的にある領域を、移動するレーザビームを使用して加熱し、ミスト、水またはヘリウムなどの他の冷却剤の後に続くジェットを使用して引き続いて冷却し、これによって、リリース層の領域内で終結することが可能であり、多孔質シリコンによって形成され、これによりエピタキシャル層とテンプレートとの間の界面にへき開先端を作る。
このような準備に続いて、ラミネートした補強型薄膜ソーラー基板(TFSS)を、好ましくは、引張りプロセス、剥離プロセス、引張り−剥離プロセスによって、超音波槽内にTFSSおよびテンプレートのスタックを浸漬することによって、もしくはスタックの両側に真空を与える能力を有するドライリリースステーションに超音波エネルギーを加えることによって音波処理の支援を介して、または真空揺動によって、または上記の組み合わせによって、テンプレートからリリースすることができる。TFSSのリリースの後で、残っているテンプレートは、リリースされた能動領域の外側の領域では、グラインディングによって、水または他の液体圧力の使用によって、化学的な除去によって、または上記の組み合わせによって、残っているエピタキシャル材料を剥離するプロセスを受ける。引き続いて、テンプレートを洗浄し、検査し、その後、多孔質シリコン形成、エピタキシャル膜堆積、等の別のラウンドのための循環へと戻す。
リリースしたTFSSを、好ましくは、いくつかのレーザ、例えば、UVまたは緑色ナノ秒レーザ、のうちの1つまたは組み合わせを使用して大きさに合わせてトリミングする。大きさに合わせたこのようなトリミングは、端部境界のちょうど内側に部分アブレーショントレンチも含むことが可能であり、デバイスの外側からのマイクロクラックが伝播しにくい構造を作る。トリミングの後で、TFSSを、その後、例えば、適切な添加剤を有するKOHなどのアルカリ性テクスチャ化学薬品を使用してテクスチャリングし、例えば、HFおよびHClを使用するポストテクスチャ洗浄に続き、(例えば、HFで終わる洗浄ステップを使用して)疎水性表面で終わる。次に、TFSSは、例えば、a−Siまたはa−SiOxの堆積、続いて窒化シリコン(SiN)などのARC層堆積によっておもて側パッシベーションを受け入れ、すべて好ましくはPECVDを使用して実行する。
窒化シリコンはまた、ベースの少数キャリアをはね返すために水素ならびに正電荷を与えることによっておもて側パッシベーションに寄与する。堆積中またはラインの終わりなどの後のステップでのいずれかで、パッシベーション層および界面を、例えば、フォーミングガスもしくは中性雰囲気を使用してまたは真空中でアニールすることができ、パッシベーションを改善する。このようなアニールを、約200℃からバックプレーン材料により許容可能であり、ならびにアモルファスシリコン(またはシリコン酸化膜)の結晶化がないことを確実にし、かつマイクロクラックの形成がないことを確実にする最大温度に至るまでの範囲内の温度で実行することができる。最大の許容可能な温度を、約300℃〜350℃まで高くすることができる。
引き続いて、ウェハの裏側は、好ましくは、CO2レーザを使用し、メタル1層上でまたはすぐ内側で止まる開孔でビアホールを受け入れる。次に、メタル1に直交して配置することができるメタル2堆積を使用する。バスバー領域がメタル2の一部であるように望まれる場合には、例外はバスバー領域である。前に述ベたように、バスバーの下方には、バスバー領域からの全体的な電気的シェーディングを最小にするために、メタル1フィンガならびにエミッタ領域およびベース領域を、好ましくは別々に配置する。
メタル2堆積に先立って、自然酸化膜を除去するために低大気圧もしくは大気圧プラズマエッチングまたは清浄化を用いるなどで、コンタクトの表面洗浄を利用することができる。メタル2を付けることに関して、上に説明したものなどの、レジスト印刷を使用して後でパターニングされるPVDシード、CuおよびSnのメッキ、レジスト剥離および局所的なシード層エッチング、または印刷したニッケルインクもしくはペースト(または銅インクもしくはペースト)などのパターン形成したもしくはパターン形成していない印刷したシード層、続いて適切なベーキングおよび引き続く銅メッキを含む、さまざまな技術を利用することができる。あるいは、メタル2層を、Al、Znを有するAl、またはCuもしくはCuに続くSnの火炎溶射などの熱溶射を使用して付けることができる。熱溶射を、インラインでまたは定期的に洗浄されるパターン形成したマスクを介して実行することができる。
エリアアクセスがより小さな寸法のメタル1層およびメタル1に直交して配置されるメタル2層によって主に実現されるので、メタル2層に関する寸法を緩和することができる。ラミネートしたバックプレーンは、(恒久的な支持および補強などの)他の機能やメタル1層とメタル2層との間をアイソレートする誘電体の機能の中で、2つの層(M1およびM2)間のアクセスを行うビアホール用の母材を形成するように働く。図47のセルについての例示的な厚さ寸法は、エピタキシャルSi約10〜50μm、裏側パッシベーション酸化膜50〜250nm、バックプレーン(プリプレグ、陽極酸化したAl合金または酸化した金属グレードのシリコン:mg−Si)約150〜500μm、スパッタリングした(PVD)Alまたは印刷した(AlSi、Al)コンタクト/ミラー約50〜250nm、メッキしたNi(上面および底面)約100〜500nm、メッキした上面Sn約0.5〜5μm、およびメッキした銅金属約25〜50μmを含む。
バスバーがセルの一部ではなくむしろモジュールの一部である場合には、セル内の幾何学的配置を単純化することができ、メタル1およびメタル2の両者を有することが可能である。この両者は、メタル1とメタル2との間で直交して配置され、平行に互いに組み合わせられたフィンガだけを完全に含む。
しかしながら、完全に直線ではないメタル1内の構造を有することの別の利点は、この設計がTFSS領域内のメタル2カバレッジの領域のリセスまたは除外を可能にし、これによってメッキプロセス中のTFSSの端部のシーリングを可能にすることである。このようなシーリングは、例えばCuを含有する潜在的に有害な金属メッキ溶液による能動吸収体領域の汚染を防止する。
特に、むしろ厚く印刷した金属ペーストなどのケースでは、セグメント化したメタル1層の互いに組み合わせられた金属ラインを有することは、やはり有利なことがある。セグメント化は、メタル2へのコンタクトを依然として同様に作られるように配置し、その結果、ライン全体にわたる直列抵抗を著しくは悪化させない。例えば、これらの要件が、長さで約0.5と5センチメートルの間のラインセグメントについて満足されると、セグメント化は、ペーストアニール中のまたは金属堆積もしくは金属ペーストアニールに続くプロセスステップ中のメタル1ラインの収縮によって始まるマイクロクラックならびに過剰な湾曲および応力の発生を防止することができる。
重要なことに、代替の誘電体を、セルの裏側に形成し使用することができる。ホウ素ドープのエミッタなどのp型エミッタに関して、エミッタ領域に接触して負電荷を与えるパッシベーション誘電体を有することは、有利なことがある。したがって、以前に説明したすべての構造およびフローの変形形態において、これらは、エピタキシャルで堆積した膜から、CZウェハから、または、MeVなどの高エネルギー注入および分離を使用してへき開したものなどの処理した吸収体層から作った吸収体層上にあり、裏側(したがって、エピタキシャル層の上面)と接触する第1の層として薄い(例えば、約5nm〜50nmの範囲内の厚さ)酸化アルミニウム(好ましくは、APCVDまたはALDによって形成される)などの材料を有することも可能である。図48は、能動吸収体層の裏表面パッシベーションとして酸化アルミニウムの堆積を組み入れた一例のプロセスフローであり、図49は、図48に示したプロセスによって形成したセル構造の例の一実施形態の断面であり、能動吸収体層の裏表面パッシベーションとして堆積した酸化アルミニウムを組み入れている。図49のセルは、裏側パッシベーション誘電体として酸化アルミニウムを示す。酸化アルミニウムを、好ましくは、APCVDなどの大気圧プロセスを使用して、または原子層堆積(ALD)によって堆積することができる。このような層を、好ましくは同じ機器内で、第1のBSG層の堆積の直前に堆積することができ、BSGを使用したエミッタドーピングはこの層を通って進む。あるいは、層自体が、ホウ素、ありそうもないが、十分なアルミニウムを含むことが可能であり、ドーパントとして拡散するように活性化され、特に、低濃度にドープしたエミッタ領域の選択エミッタバージョンのためのエミッタ領域を形成する。酸化アルミニウム層は、引き続いてBSG、USGおよびPSGを使用する時に上に説明してきている同じレーザアブレーションプロセスを受ける。
図49のセルについての例示的な厚さ寸法は、エピタキシャルSi約10〜50μm、裏側パッシベーション酸化膜50〜200nm、バックプレーン(プリプレグ、陽極酸化したAl合金または酸化したmg−Si)約150〜500μm、スパッタリングした(PVD)Alまたは印刷した(AlSi、Al)コンタクト/ミラー約50〜250nm、メッキしたNi(上面および底面)約100〜500nm、メッキした上面Sn約0.5〜5μm、およびメッキした銅金属約25〜50μmを含む。
上記の堆積シーケンスの代替として、図50中のフローによって示したように時間的に後の時点で酸化アルミニウムを付けることも可能である。図50は、能動吸収体層の裏表面パッシベーションとして酸化アルミニウムの堆積を組み入れた代替のプロセスフローの一例である。このフローに関して、エミッタおよびベースコンタクト拡散ドーピングのための前駆物質として働くドープしたガラス層の除去の後に、酸化アルミニウムを堆積する。
例えば、BSG、PSGおよびUSGを利用する上記のスキームのうちの1つを使用して接合を拡散した後で、例えば、HFディップまたは好ましくはHFベーパーエッチングを使用してこれらのAPCVD酸化膜層を剥離し、続いてガスストリームによる適切な残渣除去が可能である。次に、酸化アルミニウムをシリコン上へと直接堆積する、シリコンは、適切なエミッタおよびベースコンタクト拡散を既に含む。引き続くメタル1堆積のピンホールシャンティングを防止するために、任意選択で、酸化アルミニウムを、十分に厚くすることが可能である、またはUSGなどの別の堆積した酸化膜でキャップすることが可能である。さらに、処理は、すべての他の実施形態に関して上に説明したように進む。
メタル1層は、電気的なコンタクトに加えて、薄い吸収体層を通過するフォトンに対するミラーを形成する。それゆえ、非常に効果的なミラーは、フォトントラッピングの改善およびエネルギー取り入れのために赤外フォトンを反射することによってより多くの量のフォトンを取り入れることおよび変換することにとって有利である。金属の面積カバレッジならびにその比反射率は、この機能に関する重要な役割を演じる。カバレッジの面積を増加させるために、図51に示したように、薄い、PVDに基づく金属、以前にパターン形成した構造上にPVD層を堆積する。図51は、プラケット堆積した金属層膜のパターニングおよび分離を可能にする構造の断面であり、構造は、裏側コンタクト型セルの裏表面上の金属カバレッジの面積の増加をもたらす。図51の構造は、反射されるフォトンに対して非常に透明であり、PVDまたは蒸着などの十分に視準線に基づく堆積プロセスに対して金属層の分離(電気的なアイソレーション)を与える材料のオーバーハング構造から構成される。このような層はまた、メタル1層の分離用のレーザアブレーションについての必要性を削除する。清浄度およびプロセス制御は、隣接するエミッタ金属ラインおよびベース金属ラインの直接のシャントを回避するためにそのようなプロセスにとって不可欠である。図51の構造は、レトログレードレジスト側壁を示し、これを、レジストのダブルスクリーン印刷によって形成することができる。さらに、光学的に透明なEVAまたはPVシリコンを、レジスト材料として使用することができる。あるいは、長期信頼性を有するいずれかの他の材料を、レジスト材料として使用することができ、セル内に恒久的に残すことができ、加えて、後部ミラー反射率に寄与することができる。
加えて、テンプレート上のプロセスの幾何学的構造を、最適化することができる。バスバー領域の下方の電流を取り入れることを可能にする上に述ベた構造に加えて、特に、メタル1に対して、有利に利用することができ、メタル層2上に設置されたバスバーの下方に展開する別の幾何学的構造がある。しかしながら、簡単のために、エミッタおよびベース領域ならびにコンタクトのラインの大部分は、平行な互いに組み合わせられたラインである。単純化した構造を図52および図53に示す。
図52は、ベースコンタクトウィンドウおよびエミッタのレイアウトを示すセルバックプレーンの上面図であり、直線の互いに組み合わせられたエミッタフィンガおよびベースフィンガのケースについてのコンタクト開口部を含む。図53は、メタル1堆積を含む図52のセルバックプレーン構造上面図であり、メタル1層とメタル2層との間のコンタクトを可能にするバックプレーン材料内のビアホールに関する位置を示す追加の大きな丸い領域を有する。
しかしながら、エミッタ領域の海の中にアイランドの形状で広げられたベース拡散領域およびベースコンタクト開口領域の両者を有することがやはり可能である(図54および図55に示した幾何学的配置)。そのようなレイアウトを用いると、ベース領域の下方の電気的シェーディングを低減することができる。ベース少数キャリア(n型材料中では正孔)の電気的シェーディングは、正孔がエミッタ領域へと垂直にだけ進まなければならないことよりはむしろ、エミッタ領域へと横方向に進まなければならない時にも生じる。これは、ベース拡散領域の下方のケースである。図54は、ベースコンタクトウィンドウおよびエミッタについてのレイアウトを示すセルバックプレーンの上面図であり、ベースコンタクトアイランドのアレイのケースについてのコンタクト開口部を含む。図55は、ベースコンタクトウィンドウおよびエミッタについてのレイアウトを示すセルバックプレーンの上面図であり、メタル1ラインの存在を伴うベースコンタクトのアレイのケースについてのコンタクト開口部およびビアホール位置を含む。ベースコンタクトアイランドに対するビアホールの位置の間に、直接の相関がないことを仮定することに留意されたい。
ベースアイランドを採用すると、電流捕集のためにエミッタへと移動する正孔の平均行路を短縮することができ、これによって正孔捕集効率を増加させる。図52から図55は、直線的な構造と比較したベースコンタクトアイランド構造を示す。ベース拡散アイランドおよびベースコンタクトホール開口部は、レーザアブレーションプロセス中には注意深くアライメントしなければならない。このようなアライメントおよび同期は、これらの構造の好結果のために重要である。アイランド対直線的な領域の幾何学的態様は、本明細書において開示したすべての構造に当てはまる。
同じ概念が、2つのホウ素ドーパント源、例えば、上に説明したような2つの異なるBSG層を使用する上に開示した選択エミッタ形成のケースについて成り立つ。図56および図57は、このように作った選択エミッタについてのレーザパターンの例の幾何学的形状を示す。図56は、ベースコンタクトウィンドウおよびエミッタについてのレイアウトを示すセルバックプレーンの上面図であり、直線的に互いに組み合わせられたエミッタフィンガおよびベースフィンガのケースについてのコンタクト開口部ならびに選択エミッタ領域を含む。エミッタへのコンタクトのエミッタ拡散領域は、前記コンタクト領域から遠くのエミッタ拡散領域よりも高濃度にドープされる。図57は、メタル1堆積を含む図56と同じ選択エミッタ構造についてのレイアウト図式を示すセルバックプレーンの上面図である。大きな丸い領域は、バックプレーン材料内のビアホールがメタル1層とメタル2層との間のコンタクトを可能にする場所の位置である。
同様に、本開示の大部分においては、メタル1は、PVDおよび引き続くレーザアブレーションを使用して作られてきている。しかしながら、すべての構造および方法は、完全に互換性があり、スクリーン印刷、インクジェットまたはエアロゾルジェット印刷、および熱溶射または火炎溶射などのいずれかのダイレクトライトメタル1付着方法に対して同様に適用可能である。
また、開示した実施形態の大部分では、パッシベーションのアニーリングを、その場アニール法において利用してきている。しかしながら、すべてのプロセスおよび構造は、パッシベーション材料堆積の後で、パッシベーションアニールが適切な点においてエクスサイチュで実行されるような条件も完全に適用可能である。エクスサイチュアニーリングに対する利点は、下記を含む。すなわち、エクスサイチュアニールが、関係するすべての材料間の熱膨張係数のマッチングの厳密さを低減し、関係する材料は、主に、シリコンなどの能動TFSS吸収体材料、バックプレーン材料、メタル1ペースト材料、ならびに、少なくとも複数のメタル1ライン間およびシリコンなどの能動吸収体材料とバックプレーンラミネーションとの間で利用される任意選択の追加の接着剤である。パッシベーション自体が、PECVD装置などの精巧な堆積機器内で十分に低い温度で、言ってみれば220℃以下で行われる時には、300℃などのより高い温度において引き続くアニールを行うことは、オーブンなどの非常に単純な機器内で、簡単に、可能性として、TFSS間に任意選択のインターリーブを有するコインスタック様式で行うことが可能である。処理のこのシーケンスは、関係する材料間の残留するCTEミスマッチングによって引き起こされるハンドリングの懸念を緩和する。
ヘテロ接合
今日の市場における大部分のシリコン系のソーラーセルは、ホモ接合に基づく。ヘテロ接合、特に、より広いバンドギャップエミッタを有するものは、より高いVocの電位、したがってより高い効率能力から利益を得る。薄いシリコンセルとともにヘテロ接合を形成するためのいくつかのコスト効率の高い方法を提供する。ヘテロ接合は、エミッタに水素化したアモルファスシリコン(a−Si)の導入によって主に実現され、これは、結晶シリコンと比較した時により広いバンドギャップを与える。アモルファスシリコンを用いるこのようなセルを処理する時の1つの主な課題は、アモルファスシリコン堆積の後に、実効的なプロセス温度をシリコンの結晶化温度よりも低く、典型的には、400℃よりも低く維持することである。実際には、アモルファスSi(またはシリコン酸化膜)の堆積を、約150℃〜200℃の範囲内の温度でPECVDを使用して行う。
図58および図59は、a−Siエミッタに基づきかつエピタキシャルで堆積した薄いシリコン吸収体構造を使用することに基づいて、(炉処理を使用しないこと、およびインクジェットリン印刷を使用することの両者で)ヘテロ接合セルを作るためのプロセスフロー実施形態である。図60は、エピに基づくセルを使用してヘテロ接合の薄いシリコンセルアーキテクチャを利用して得られた構造の断面図である。このようなセルの構造設計は、より厚いシリコンも利用することが可能であることを除いて、CZウェハに基づくフローと同じである。しかしながら、ライフタイムと赤外における吸収との間の最適化したトレードオフを有する厚さまで後でCZシリコンを薄くすることも可能であり、後者は、より厚い吸収体層によって促進される。図60のセルについての例示的な厚さ寸法は、エピタキシャルSi約10〜50μm、裏側パッシベーション酸化膜150〜200nm、バックプレーン(プリプレグ、陽極酸化したAl合金または酸化したmg−Si)約150〜500μm、スパッタリングした(PVD)Alまたは印刷した(AlSi、Al)コンタクト/ミラー約50〜250nm、メッキしたNi(上面および底面)約100〜500nm、メッキした上面Sn約0.5〜5μm、およびメッキした銅金属約25〜50μmを含む。
本プロセスは、多孔質シリコン層の上面上にエピタキシャル堆積を使用して作ったシリコン、同様に注入/へき開に基づく薄いシリコンアーキテクチャ、同様にCZウェハなどの薄いシリコン、および薄くしたCZウェハに基づくセルに適用可能である。図61は、このような実施形態に関するプロセスフロー実施形態を明示する。テンプレート洗浄、多孔質シリコン形成およびn型ベースのエピタキシャルSi堆積は、他のフロー中と同様である。エピタキシに続いて、薄い(典型的には<200nm厚)堆積のシーケンスは、最初に真性、次にp+ドープしたアモルファスシリコン(a−Si)スタックを含む。a−Si自体がむしろ低い導電率を有する傾向があるので、十分に低い抵抗で電流を流すことを助けるために、アモルファスSiの後に裏張り層堆積を追加することを必要とすることがある。このような裏張り層を、a−Siが結晶化することを防止するために十分に低い温度で堆積すベきである。このような種類の層の例は、ITOもしくはZnOなどの透明導電性酸化物の層またはシリコンとゲルマニウムの多結晶合金(Si1−xGex)の層であり、合金は十分なGe含有量を有し、十分に低い温度で多結晶の形態に堆積することが可能である。引き続いて、ベースコンタクトが設置される領域内では、a−Siエミッタ材料および任意選択の裏張り材料を、好ましくはピコ秒レーザを使用してアブレーションする。引き続いて、二酸化シリコンまたは酸化アルミニウムから構成することが可能である背面パッシベーション層を堆積する。ベースコンタクト用の領域内では、引き続いて、リンドーパント源を、リンインクドットの印刷によるなどで局所的に塗布することが可能である。引き続くステップでは、ベースコンタクト用のドーパントを、例えば、シリコンの上面を溶融し、シリコン格子中へと堆積したドーパントを取り込むナノ秒レーザを使用してドライブインする。また、誘電体を除去しかつa−Siエミッタへのコンタクトを作るために、ピコ秒レーザをエミッタコンタクト側に使用する。メタル1堆積に関して、金属層を画定するためのPVDに続くアブレーション、およびスクリーン印刷の両者を利用することができ、両者のプロセスの与えられるサーマルバジェットは、a−Si結晶化のしきい値を超えない。バックプレーンラミネーションおよびさまざまな実施形態を有するさらに下流の処理を、ホモ接合プロセスについて説明してきた同じ方法で引き続いて進めることが可能である。
下記の説明は、恒久的な補強を与える恒久的な支持構造(「バックプレーン」)を利用する処理方法および設計を提供する。この補強は、薄いSiウェハに付けられた後でも除去されず、おもて面または裏面コンタクト型の薄いSiソーラーセルとともにソーラーモジュールパネルにおいて使用することができる。加えて、開示したバックプレーンは、相応の低損失で薄いソーラーセルから電流および電力の引き出しを提供する。開示した恒久的な支持構造は、エッジ画定またはトリミング、テクスチャ化および洗浄、ならびにパッシベーションと反射防止コーティング(ARC)堆積および、熱、マイクロ波、またはレーザエネルギーなどの放射光による任意選択の後に続くアニールを含むが、これらに限定されない必要なプロセスステップを介して薄いソーラーセルの取り扱いおよび支持を可能にする。加えて、恒久的な支持構造は、ビア開口およびさまざまなメタライゼーションなどのコンタクト形成スキーム、ならびに堆積、印刷、メッキ、金属ラミネーティングまたは金属含有膜または一般的に導電性膜、同様にセル内、セル間、およびセルとモジュールのコンタクト形成を含む誘電体を含むが、これらに限定されない誘電体材料付着スキームをさらに支持する。
開示した主題は、破損を減少させ、製造プロセス中にエミッタおよびベースにコンタクトを与えるために、非常に薄いシリコン(Si)ソーラーウェハおよびセルを補強するための新規な方法および構造を詳細に説明する。これらの方法および構造は、Si使用量、したがって材料コストを減少させるために、180〜250μmの標準Siソーラーセル厚さからより薄いセルへ向かうソーラーセル産業の動きによって動機づけられ−Siウェハ製造技術は、ウェハ厚さを減少させることにおいて急速に進歩している。30μm未満の厚さを有するSiウェハの製造は、層転写およびエピタキシャルSi堆積などのさまざまな方法を介して明示されてきている。しかしながら、セル破損の著しい増加および低い製造歩留りという理由で、産業では、140μm未満の厚さのSiソーラーセルを製造することが一般に不可能である。開示した主題は、高い歩留りでソーラーセルラインを通り、数十ミクロンまでのさらにはより薄い厚さを有するはるかに薄いシリコンを取り扱うことを提供し、破損に伴うコストを減少させる。現在、業界の標準基板厚さは、180μmよりも厚い。そしてソーラーセル製造業者が140μm程度に薄いSiウェハを使用し始めているが、140μm厚未満のSiウェハは、大量生産プロセスにおける使用のためには、しばしば余りにも壊れやすい。より少ないシリコンがより安価なソーラーセルを可能にするので(シリコン材料コストは、全ソーラーセルコストの大きな割合を構成する)、セル性能に著しく有害な影響を与えずに約50μm未満のソーラーセル材料を用いて、積極的なコスト節約を実現することができることが予想される。前に記したように、ソーラーセル基板を、標準疑似正方形、正方形および六角形を含むが、これらに限定されないさまざまな形態に成形することができる。基板のサイズおよび面積は、例えば、125mm×125mmもしくは×156mmまたは210mm×210mmを含むが限定されないはるかに大きなセルにも変わる。さらに、基板材料を、単結晶、多結晶またはマルチ結晶シリコンのいずれかとすることができる。開示した主題は、基板の供給源および形状によって識別されるようなさまざまなタイプの基板に適用可能である。例えば、少なくとも2つのカテゴリーに適用可能である。
すなわち、
A)チョクラルスキー(CZ)もしくはフロートゾーン(FZ)技術(テクスチャリングしたまたは未テクスチャリング)のいずれかを使用して得たインゴットまたはマルチ結晶鋳造インゴットから、ワイヤーソー切断、ポリシング、ラッピング、エッチング、またはイオン注入(水素もしくはヘリウム)に基づくバルクインゴットのスライシングなどの技術を使用して得られる平坦なウェハ。
B)四塩化シリコン(STC)、トリクロロシラン(TCS)、ジクロロシラン(DCS)、またはシランなどの、シリコンを堆積するために使用する任意の前駆物質を使用して直接生成されるエピタキシャル成長基板または多結晶成長基板。これらの基板は、エピタキシャル成長プロセスの一部として、裏表面電界(BFF)、バルクドーピング、おもて表面電界(FSF)、およびエミッタなどの完成したソーラーセル内に慣習的であるようなドーパント拡散を持っても持たなくてもよい。方法は、ソーラーセルを形成するドーピングのいくつかの組み合わせのうちのいずれかに広くかつ等しく適用可能である。例えば、(1)ホウ素をドープしたp型エミッタを有する、リンを使用するn型バルクドーピング、および(2)リンに基づくn型エミッタを有する、ホウ素を使用するp型バルクドーピング。これらのn型に基づくソーラーセルが、ホウ素をドープしたp型ベースを有するシリコンソーラーセル材料においてしばしば見られる光誘起劣化効果を示す傾向があるので、p型エミッタを有するn型ドープしたベース層の使用が、好まれてきている。
エピタキシャル基板を製造するいくつかの実施形態が可能である。一実施形態では、エピタキシャル基板を、マザーテンプレート上の犠牲層の上面上に成長し、後で取り外す。マザーテンプレートを、その後、さらにエピタキシャル基板を成長させるために、数回(例えば、残留物除去、例えば、ベベルまたはエリアラッピングまたはグラインディングによる任意選択の再調整、洗浄および犠牲層の再形成によって)再使用する。犠牲層は、マザーテンプレート内の結晶構造についての情報をエピタキシャル層に渡さなければならず、基板およびマザーテンプレートに対して選択的に除去される。犠牲層の具体的な一実施形態は、多孔質シリコンであり、その気孔率を、両者の前述の重要な機能を実現するために調節することができる。エピタキシャル基板実施形態内では、下にある開始マザーテンプレートによって識別されるいくつかの可能性が考えられる。これらに限定されないが、これらの可能性のうちの2、3を、下記に例として説明する。
i)実質的に平坦なエピタキシャル基板
これは少なくとも2つの別個のケースを有する。第1のケースでは、エピタキシャル層を、パターンを持たない平坦で、テクスチャリングしていないテンプレートの上面上に成長させる。テンプレートを標準チョクラルスキー(CZ)成長を使用して成長させることができる。または、テンプレート製造のコストを節約するためにシード付けした鋳造疑似単結晶インゴットとして製造することができる。マルチ結晶テンプレート材料も使用することができ、これは、マルチ結晶の薄いセルをもたらすことになる。本明細書においては、実質的に平坦な基板を、エピタキシャル基板と呼ぶ。リリースされたエピタキシャル基板は、パターンがなくやはり平坦である。第2のケースは、テンプレート上に、下にあるパターンまたはテクスチャがある場合である。しかしながら、このテクスチャのサイズスケールは、エピタキシャル基板の厚さよりも実質的に小さい。したがって、リリースされたエピタキシャル層は、やはりテクスチャリングされるが、依然として実質的に平坦である。本明細書においては、この基板もエピタキシャル基板と呼ぶ。
ii)三次元エピタキシャル基板
ここでは、下にあるテンプレートは、事前にパターン形成されているまたは事前に構造を作られており、パターンの幾何学的形状またはテクスチャは、エピタキシャル膜の厚さと実質的に同等またはこれよりも大きい程度のものである。したがって、エピタキシャル膜をリリースした時に、テンプレートは、実質的に非平坦3D幾何学的形状を有することになる。このパラダイム内では、事前にパターン形成した幾何学的形状のいくつかの例、例えば、ピラミッドに基づくセルが考えられる。本明細書においては、この基板もエピタキシャル基板と呼ぶ。
上の記述では、リリース層は、多孔質シリコンから構成され、エピタキシャル層は同様にシリコンである。しかしながら、開示した主題は、へき開リリース領域を形成するために水素の注入によってまたはリリース領域もしくはへき開領域を形成するためにシリコンの内部に焦点を合わせたレーザの使用によって作られるものなどの他のリリース層法の使用に対しても適用可能である。加えて、開示した主題は、シリコンとゲルマニウム、炭素またはその混合物などのヘテロエピタキシャル複合体、ならびにガリウムヒ素(GaAs)などのIII−V族からの材料を含むシリコン以外の能動吸収体材料に対しても適用可能である。ガリウムヒ素を、例えば、ゲルマニウムまたはグレーデッドシリコンゲルマニウム領域の上面上に成長することが可能であり、これは、多孔質シリコン層上に成長し、基本的にリリース層を有するシリコン基板上に良い品質のGaAsを成長させるために、GaAsと下にあるシリコンとの間の格子マッチングを可能にするように選定される。
前述の基板(インゴットからの平坦ウェハおよびエピタキシャル基板)に関して、堆積したシリコンの厚さが実質的に薄い場合、または処理条件が恒久的な補強のために使用する材料と一致しない場合には、基板が恒久的な補強のために適するようになるまで、処理中にソーラーセルを一時的に支持するためにキャリアを導入することが必要であることがある。一時的な補強の可能性は、静電的方法、真空法、または静電的方法と真空法の組み合わせ等を利用する可動キャリアを含む(がこれに限定されない)。これらの構造は、薄い基板を実質的に強化し補強することになる、したがって、高い製造歩留りを確実にする。しかしながら、開示した主題は、おもて面または裏面コンタクト型の薄いSiソーラーセルとともにソーラーモジュールパネル内での使用のために恒久的な補強を与える。
さらに、リリース層を有するテンプレート上に形成したエピタキシャル基板のケースでは、開示した主題は、製造プロセス中に継続的な薄い基板支持を提供する。例えば、初期には、好ましくはドライでかつ潜在的に高温なプロセスステージでは、補強としてテンプレートを使用し、そして後期には、好ましくは低温で潜在的にウェットなプロセスステージでは、バックプレーン補強構造および方法を使用する。したがって、開示した主題は、薄い能動吸収体層を有するソーラーセル(「薄いソーラーセル」)の製造を可能にする恒久的な支持構造を製造するための材料、設計、構造および方法、ならびに得られるソーラーセルの構造を必然的に含む。さらに、開示した主題は、セル製造フローのさまざまな実施形態内の恒久的な支持構造の一体化を与える。開示したバックプレーン構造、材料および方法を、高効率薄膜ソーラーセル構造を利用する光発電ソーラーセルの製造のために利用することができる。
開示した薄膜ソーラーセル構造に関する有利な設計は、補強が裏面接合および裏面コンタクトを含む側の上へと付けられる裏面接合、裏面コンタクト型セルである。しかしながら、おもて側に少なくとも1つの極性のコンタクトを有するセル設計を、典型的には250℃〜350℃より低い、低温処理と組み合わせて開示した主題を使用しても支持することができる。おもて側コンタクトが補強の張り付け後に製造される場合には、おもて側コンタクトを製造するために、低温処理を使用する。実際上、低温プロセスは、レーザアニーリングを利用することができる。レーザアニーリングは、バックプレーン材料に対してはプロセスを持続させるために十分に冷たく裏表面を保ちながらおもて表面だけを加熱する。おもて側コンタクト形成のための方法は、例えば、コンタクト形成および任意選択のエミッタ接合形成のためのその後のレーザアニーリングを伴うAlまたは別の材料のおもて側ラインの形成、おもて側のコンタクトまたはパターン付きの注入、もしくは、これに続く接合を形成するためのレーザアニーリング、他の実質的な低温アニーリング、堆積、印刷、溶射を使用するパターン形成したアルミニウム堆積、または引き続くパターニングを伴うパターン形成していないアルミニウム堆積などのいずれかの適切なメタライゼーションスキームを含む。
本開示の目的は、開示した実施形態の焦点が、裏面コンタクトセルを製造するしばしばより難題のプロセスに関する解決策を提示しながら、補強を可能にすること、したがって多くのタイプの薄膜構造を高歩留りで製造することを可能にすることである。メタライゼーションの点までの薄膜ソーラー基板(TFSS)を製造するための実行可能な構造および方法に関する例を、図61A〜図61Cのプロセスフローに一般的に説明する。図61A〜図61Cは、本開示全体を通してさらに詳細に説明する一般的なバックプレーン補強に関係するステップを含む裏面コンタクトソーラーセルの形成のための主要な処理ステップを示すプロセスフローである。
プロセスフローは、テンプレートと呼ばれる洗浄した再使用可能な半導体ウェハで始まる。多孔質半導体材料などのリリース層をテンプレートの表面上に堆積する。シリコンウェハのケースでは、これを多孔質シリコンとすることができる。多孔質シリコン層は、最上部層が好ましくは底部層よりも低い気孔率である異なる気孔率の少なくとも2つのゾーンを含むことができる。底部層は、指定された弱い層として働き、一方で、最上部層は、シリコン層堆積に先立つエピ反応装置内での後のベークステップにおいてリフローし、リフローは、表面を再構成して、エピタキシャル堆積を可能にするシード表面を形成する。水素(H2)中に混合したトリクロロシラン(TCS)などの少なくとも1つのシリコン含有ガスを使用して高温で行うことができるエピタキシャル堆積を確実にする際に、半導体、例えばシリコンの薄い層を、テンプレートの上面上の多孔質層の上面上に堆積する。この層は、ソーラーセル用の薄い能動吸収体層、または光捕獲層として働くことができる。示した能動吸収体ベース層は、堆積ステップ中に、例えばフォスフィン(PH3)の添加によって形成したn型層である。PH3を任意選択で水素により希釈することができる。堆積中のPH3流の段階的変化が望まれる場合には、膜中のドーピング勾配を実現するために利用することができる。
エピタキシャル堆積の後で、さらなるステップは、例えば、ホウケイ酸ガラス(BSG)の大気圧化学気相堆積(APCVD)、およびベースコンタクト用の開口部を作るために望まれる場合にはBSGのレーザアブレーションによるエミッタ層の形成および構造形成を含む。引き続く任意選択のステップは、アンドープのケイ酸ガラス(USG)の堆積を含み、ベースコンタクトとエミッタとの間の分離ゾーンを後で作るためにレーザアブレーションが続く。次に、高濃度のnドープしたベースコンタクトを後で形成するために前駆物質として、リンケイ酸ガラス(PSG)を堆積することができる。必要な場合には、アンドープの層を各層の分離のために使用することができる。(シリコンなどの)半導体との良い界面を形成するための少なくとも1つのステップにおける酸化処理とともに、任意選択において、ドープした拡散プロファイルをドライブインするために、熱ドライブインステップを使用することができる。次に、所望のコンタクト領域内の誘電体をアブレーションするために、レーザを使用することができる。これは、引き続くメタライゼーションステップでコンタクト形成することを可能にする。上記のアブレーションプロセスのために適したレーザは、下にある半導体にほとんどまたは全く表面下損傷を生じさせないピコ秒レーザおよび特にピコ秒UVレーザを含む。
バックプレーン張り付けおよび本明細書において開示した構造形成プロセスの後で、前記テンプレートからバックプレーンを補強した構造型薄膜ソーラー基板(TFSS)のリリース後に、テンプレートを再使用することができることに留意されたい。この再使用は、多孔質層形成およびエピタキシャル堆積の次のラウンドのためにテンプレートを再び準備の整った状態にするために清浄化ステップを必要とする。図62A〜図62Cは、バックプレーン補強ステップの前の構造の図である。図62Aおよび図62Bは、PVDおよび金属コンタクト開口後のセル構造についてのそれぞれ上面図および断面図である。図62Cは、PVDおよび選択エミッタ構造用の金属コンタクト開口後のセル構造についての断面図である。選択エミッタ構造にどのようにして達するかについての方法例を、図73Fから図73Jに詳細に説明する。
図62Dは、誘電体層およびエポキシピラー形成後の図62Bの構造の断面図である。図62Eは、誘電体層およびエポキシピラー形成後の図62Dの構造の上面図である。図62Fは、メタルフィンガ(メタル層2、アルミニウム箔として示される)形成後の図62Eの構造の上面図である。図62Gは、図62Fの封入した構造の断面図である。
一般に、開示したバックプレーン構造は、直交電流抽出を利用する。裏面コンタクト型ソーラーセルでは、電流は、典型的には、両方のコンタクトが同じ側にあるので長い距離を伝って進む必要がある。したがって、容易には大面積プレーナ電気コンタクトを実現できないことがある。電気的シェーディングを減少させるために、典型的には、メタルフィンガピッチを小さく保つ必要がある。一方でフィンガ高さを十分に大きくする必要があり、これがしばしば、裏面コンタクト型ソーラーセル上のメタルフィンガ形成についてのコストが高くかつ高い応力のプロセスという結果になる。このような高い応力は、従来型の裏面コンタクトセルにとってより大きな基板サイズへの移行を妨げることさえある。
開示した主題は、直交電流抽出の使用を介して裏面コンタクトメタルフィンガ形成に付随する高コストかつ高応力プロセスに対する解決策を提供する。薄いソーラーセル上のメタルフィンガは、薄く保たれ、電流は、その後コンタクトドットを通り上に導かれる、コンタクトドットを、限定しないが銀エポキシなどの導電性接着剤から、またははんだから、または堆積したもしくは印刷した次のレベルの金属から構成することが可能である。残りの領域またはコンタクトドットの周りの残りの大部分を、印刷した誘電性接着剤によってまたは誘電体接着剤シートによって覆い、バックプレーンに対する電気的なアイソレーションを与える。このような誘電体シートは、例えば、プリプレグから構成することが可能であり、プリプレグは、薄膜ソーラー基板(TFSS)にラミネートされ、後に、メタル層1とメタル層2との間のコンタクトが形成される領域内のTFSS中にバイアス開孔される。
電流は、その時には、バックプレーン構造内の大きなエミッタおよびベースフィンガが、薄膜ソーラーセル基板(TFSS)上のそれぞれの小さなエミッタおよびベースフィンガと接触する場所に直交して抽出される。この直交転送の使用によって、電流がセル上の薄いメタル層内で移動しなければならない個々の距離を最小にし、または比較的短く保ち、これによって構造内で受ける電気的直列抵抗を強烈に減少させ、順に薄いソーラーセル上の薄いメタルフィンガを可能にする。
第1および第2の層のメタルラインが、典型的に互いに直交したままで、いくつかの変形を利用することができる。バスバーが第2の層のメタルの一部としてセル上に実装されるケースでは、通常完全に直交する配置において、それぞれのバスバーの領域内で、対向する第1のメタル層ラインに接触するためのビア開孔が、バスバーの存在によって妨げられ、反対のキャリアが集められないはずである。または、それぞれの第2の層メタルの最も近いフィンガによって集められるように能動吸収体領域(例えば、シリコン)内で遠くへ移動しなければならないはずであるので、バスバーの下の領域は、かなりの電気的シェーディングに悩まされるはずである。ここで、バスバーの下方に織り交ぜた第1のメタルラインのパターンを有することは有利なことがある。第1のメタルラインは、バスバーに直接接触する(メタルラインがバスバーと同じ極性を有する)か、(他の極性の場合)、第2の金属層の最も近いフィンガに接触するかのいずれかである。このアーキテクチャを使用すると、第1のメタル層ラインおよび第2のメタル層ラインがそれぞれ直交しかつ交互の極性に配置されるセルのバルクにおける状況と比較して、電気的シェーディングを大きく減少させ、第1のメタル層の直列抵抗だけが追加の損失に寄与する。
広い用語および一般的な用語の説明、さまざまなバックプレーンフローの実施形態の変形形態は、開示するバックプレーン補強フローに関係する典型的な層、材料、機能および単位プロセスに従う。重要なことには、バックプレーンまたは処理方法のすべての実施形態が、すべての説明した層および機能を必要とすることは滅多にない。
いくつかのセル層および構造を、開示したバックプレーンフローならびにバックプレーン構造および方法に直接的に影響する層と関係付けることができる。下記では、このような層および構造を列挙し、薄膜ソーラーセル(TFSS)に最も近い層および構造で始まり、(モジュールと接触する層に最も近い)セルの裏側の層で終わる順番で説明する。
TFSS上では、薄膜ソーラー基板の上面上に適切にパターン形成した1つ以上の誘電体層がある。薄膜ソーラー基板は、例えば、薄膜がテンプレート上にある間に薄膜上に堆積または成長される。1つ以上の誘電体の下方にあるものは、エミッタおよびベースのゾーン(エミッタおよびベース領域)ならびに薄膜基板のベースコンタクトである。このような層の機能のうちの1つは、端子間のおよび薄膜ソーラー基板の能動領域からのメタルラインの誘電的なアイソレーションを与えるためであり、第2に、エミッタおよび/またはベースコンタクトを形成するためのドーパント源として使用することである。誘電体層を形成する方法および実施形態は、アンドープまたはドープしたガラスなどの成長または堆積した誘電体層を含み、任意選択で、後続してドーパントドライブイン、熱アニール、および/または熱酸化を伴ってもよい。
レーザアブレーション、エッチングペースト、リソグラフィ、およびエッチングなどの適切なパターニング方法を使用してエミッタおよび/またはベースのゾーン(エミッタおよびベース領域)のうちの少なくとも一方のコンタクト開口部を、適切なコンタクト領域を有するドーピングしたゾーンへの局所的なアクセスを与えるために利用する。最良のコンタクト抵抗およびシャント抵抗のパラメータを用い、ならびにキャリアに関する高い再結合速度を有する最小の面積を与えることで、コンタクト領域を最適化する必要がある。プロセスフローに応じて、このようなコンタクト開口を、セルプロセスフローにおける後ろの方で実行することができるが、一般的には第1の層メタライゼーションを形成することに先立って実行する。
エミッタおよびベース領域のうちの少なくとも一方または両方への金属コンタクト(本明細書においてはまた、第1のメタライゼーション層または第1の導電性インターコネクト層とも呼ぶ)を、TFSS上に堆積する。第1のメタライゼーション層(または複数の層)を(テンプレート処理が基板を形成するために使用される場合には、テンプレートに張り付けられている間に)TFSS上に、互いに組み合わせられた金属電極などのメタルフィンガとしてパターン形成することができ、TFSSを、PVDまたはパターン形成した1つ以上の金属層の印刷などの別の方法を使用して堆積することができる。第1のメタライゼーション層を形成するベースおよびエミッタメタルコンタクト層を、互いに適切にアイソレートすることができ、レーザアブレーション、印刷、リソグラフィおよびエッチング、エッチングペースト、または他の方法を使用してパターン形成することが可能である。第1のメタライゼーション層の機能は、セルのエミッタ領域およびベース領域のうちの少なくとも一方へのコンタクトを形成すること、およびセル端子(エミッタおよびベース)から次のバックプレーン層/レベルへと電流を届けることである。第2に、シリコン内のp型および高濃度にドープしたn型材料の両者に対して、アルミニウムなどの低いコンタクト抵抗を与えることが可能な表面を形成することであるのに対して、アルミニウムの上面上の任意選択の材料は、次の層/レベルに対する良いコンタクト抵抗を与えることができる。第3に、次のレベルの金属がメッキを使用して付けられる場合には、第1のメタライゼーション層は、SnまたはNiまたはNiVまたはTaコーティングした表面などを後でメッキすることが可能な表面を形成することができる。第4に、第1のメタライゼーション層の上面上にラミネーションによるなどで堆積した誘電体層が、例えばレーザドリリングを使用して開孔されるケースでは、第1のメタライゼーション層は、良い停止層を形成することができる。第1のメタライゼーション層を堆積する例の方法は、PVD、蒸着、スクリーン印刷、インクジェット印刷、およびエアロゾルジェット印刷である。例の材料および実施形態は、PVD層あるいは、Al自体またはAlSi1%、NiもしくはNiVを有するAl、および任意選択でSnまたはSnAg、TaまたはPdまたはAgを有するAlなどのスタックである。0.5ミクロン厚よりも厚い層などの厚いAlまたはAlSi1%は、遠赤外において特に適切なリフレクタとして働くことができ、したがって、さらなるセル処理において引き続くビアホールのCO2レーザに基づくドリリングに対する停止層として作用することができる。他の例は、次の層とのより良いコンタクト形成のために追加で局所的に印刷したパッドを有するPVDスタックであり、レーザドリリングを停止するためのより大きな余裕を与え、およびアライメントし事前に開孔した誘電体がラミネーション中にシフトすることを防止するために機械的な固定を行う。このようなパッドは、導電性エポキシなどのAlまたはAgを含有するペーストから構成することができる。あるいは、印刷したAlまたは少量のSiを有する印刷したAl(AlSi)またはこれらの組み合わせなどの、印刷した1つ以上の金属を使用することができる。任意選択でまた、優れたコンタクトのためおよびレーザドリリングプロセスを確実にするために優れた反射率のためのAgの局所的なキャップを有する。このような印刷層に関して、引き続くメタルビアにアライメントされるフィンガ、断続したフィンガ、またはドットに金属を印刷することができる。例えば、インクジェットまたはスクリーン印刷を使用して印刷することができ、適切に加熱するとシリサイドを局所的に形成することが可能なTi、CoまたはNiなどの耐熱性金属を、第1のメタライゼーション層としてまたは第1のメタライゼーション層の一部としてやはり使用することができる。このようなシリサイドを、他の金属の下方に、例えば印刷したAlまたはAlSiの下方に任意選択で使用することができる。
次のレベルの誘電体層(本明細書においては、第2の誘電体層と呼ぶ)は、TFSS用の接着層としておよびバックプレーンの追加構成部品として作用する。第2の誘電体層は、TFSS上のメタルフィンガ(第1の導電性インターコネクト層)と誘電体の上面上またはバックプレーン内の大きなメタルフィンガ(第2の導電性インターコネクト層)との間の直交配置を可能にするアイソレーション誘電体としても働く。第2の誘電体がテクスチャリングおよびポストテクスチャクリーニングなどのウェット処理の時に構造の最外部層として作用する実施形態を処理する際に、第2の誘電体は、第1の導電性インターコネクト層および第1の誘電体層とともにTFSSの裏側への化学的侵食からの保護も与えることができる。第2の誘電体はまた、薄膜シリコンソーラーセル基板から構成される張り付けられた能動吸収体層用のバックプレーン補強に対して機械的な安定性を与えるように働く。第2の誘電体層のための堆積方法は、ラミネーションプロセスを使用して張り付けられた事前に開孔した誘電体シート、ラミネーションプロセスを使用して張り付けられかつラミネーションおよび引き続くウェット処理の時には開孔されず、そして前記ウェット処理ステップ後に開孔されるポスト開孔のシート、および、例えば、TFSS表面上へとまたはTFSSバックプレーン構造のバックプレーン側のいずれかに印刷することができるパターン形成した誘電性接着剤を含む。第2の誘電体層用の例の材料は、(好ましくは、例えば、CO2レーザなどのレーザを使用するプリプレグのケースでは)プレラミネーションドリリングまたはポストラミネーションドリリングを介してパターン形成されるプリプレグ、EVA、Z68PEシート等などの第1の誘電体シートを含む。あるいは、パンチングプロセスまたは打抜き加工プロセスを、このようなシートの穿孔のために使用することができる。熱可塑性またはB−ステージ可能な材料などの印刷した誘電性接着剤を、第2の誘電体としてやはり使用することができる。第2の誘電体層の他の例は、プリプレグ、EVA、Z68、および、テドラー、マイラー、Q83や他のPENなどの保護材料またはPET材料などのテオネックスなどの材料で覆われた誘電体などの誘電体シートのサンドイッチ構造を含む。そこでは、層のうちの少なくとも1つが保護を安全にするために連続的であり、他の層のうちの少なくとも1つまたはすべてが(ポストラミネーションドリリングのケースでは)連続的である、またはプレラミネーションドリリングのケースでは穿孔される、のいずれかである。後者は、下にあるメタルフィンガへ楽に低コンタクト抵抗アクセスを可能にする。第2の誘電体層の別の例は、浸漬コンタクトボンディング構造のケースにおけるように、ランダムにまたは規則的であるがアライメントされずに穿孔されたシートを含む。
バックプレーン補強型TFSSの裏側へのウェット化学薬品接触がない実施形態において引き続いてウェット処理ステップを実行するケースでは、ウェット処理中には保護シートが必要ないことがあり、また、アクセスビアホールのドリリングを、ウェット処理に先立つ任意の点においてさえ、次に実行することができることに留意する。
張り付けた誘電体内のビアホール(やはりコンタクト開口部とも呼ぶ)は、TFSS上の下にある第1のレベルのメタルフィンガ(第1の導電性インターコネクト層)とバックプレーン上の次のレベルの金属(第2の導電性インターコネクト層)との間のアクセスを与える。ラミネーション後にビアホールを開孔することまたは保護シートでビアホールを覆い続けることは、下記に説明するプルート(Pluto)構造のケースにおけるように、テクスチャ、洗浄、およびおもて表面パッシベーションステップ中にTFSS上の下にある金属の保護を与え、ウェット化学薬品槽中への補強構造の浸漬を可能にする。好ましくは、誘電体内のビアホール(コンタクト開口部)を上に説明したようにレーザを使用するドリリングによって形成することができ、または印刷した誘電性接着剤のケースでは、ビアホールが望まれる場所に印刷しない領域を残すことによって誘電体内のビアホールを形成することができる。
次のレベルの金属は、ビアを介し、バックプレーン上の次のレベルの金属に電流を供給するか、バックプレーン構造およびプロセス実施形態に応じて、セル間またはモジュールコネクタに直接電流を供給するか、のいずれかを行う。ビア埋め込み材料の典型的な材料および実施形態は、導電性エポキシまたはより一般的には、ビア中へとステンシルもしくはスクリーン印刷することができる、または事前に開孔した誘電体シートを付けることに先立って付けることができるいずれかの導電性接着剤である。典型的な材料は、Ag、Cu、Sn、BiまたはSnBi混合物などのはんだあるいははんだペーストを含む。SnBi混合物は、魅力的なバックプレーン誘電体処理温度と同じ範囲内またはいっそう低いほぼ140℃の低い温度にてはんだ付け可能であるため特に有利であり得る。
少なくとも部分的なビア埋め込みに引き続いてまたはビア埋め込みを省略しても、堆積した次のレベルの金属は、誘電体の上面上に広い幅のメタルフィンガ(本明細書においては、第2の導電性インターコネクト層または第2のメタライゼーション層と呼ぶ)を形成するように働く。追加のビア埋め込み金属を間に使用しないさらに望ましいケースに関して、前記第2のレベルのメタルを、開孔したビア内の下にある第1のレベルのメタルへのコンタクトを作るために直接使用する。このような大きなメタルフィンガは、任意選択で、ベース金属分離からエミッタ金属用のパターンが形成される。そして後に除去される誘電体印刷によってその後覆われる以前のブラケットPVDシードを有するメッキした金属で構成することができる。後者の印刷は後に除去され、エッチングバックプロセスを、ブラケットシード金属を除去するために実行することができる。メッキしたフィンガに関して、任意選択で、シードも印刷することができる、またはシャドーマスクを使用して堆積することができ、その結果フィンガは事前にパターン形成される。バスバー構造の存在に応じて、フィンガ構造のメッキ中に多数の接触点を利用することがある。堆積されることまたは印刷、溶射またはメッキなどの方法によって高くすることよりはむしろ、大きなメタルフィンガ(第2の導電性インターコネクト層)を、例えば、はんだ可能なアルミニウム、すなわち、Ni、NiVおよび任意選択のSnの薄い被膜を有するAlから作られる事前に形成したフィンガからも構成することができる。構造的な強度に関して、このようなフィンガラインを連結することができる。または任意選択で連結することができるタイルとすることができる。直交するフィンガを堆積するための別の例は、火炎溶射または熱溶射の使用などの溶射した金属を含む。さらに別の選択肢は、はんだまたは導電性接着点によって下にあるビアに局所的に張り付けることが可能な柔軟な印刷した箔であり−このような印刷した箔は、フレックス回路またはフレックスコネクタ用に使用するものとよく似ている。
メタルフィンガ実施形態は、バスバー設計を任意選択で含むことができる。それ以外には、はんだ付けまたは導電性接着剤の印刷を介して引き続いてコンタクトを形成することが、バックプレーンを接続することができ、セルをモジュールに接続することができる。いくつかの実施形態に関して、ホール中への導電性材料の印刷は必要ではなく、むしろ開孔したビアホールの任意選択の清浄化の後に、任意選択で金属上の自然酸化膜の除去とともに、次のレベルの金属(第2の導電性インターコネクト層)用のシード層を開口したビアホール中へと直接付けることが可能であることに留意されたい。
特に、本明細書において説明したオアシス構造およびハイブリッド構造に付けられるような任意選択の追加の層は、下記を含む。
a.バックプレーン補強型TFSSがテクスチャリングおよびポストテクスチャクリーニングなどの化学薬品処理を受ける時に、第2の層メタルが既にバックプレーン上にあるケースでは、第2のレベルのメタルの上面上に保護誘電体層を有することが得策であり得る。この層の機能は、化学薬品からの保護を与えることであり、任意選択でCTEミスマッチおよび構造の湾曲を制御することに役立つこと、ならびに、後のテスティングおよびモジュール相互接続用のセルのコンタクト形成のための領域を保護し与えることである。このようなコンタクト領域を、例えば、1つ以上のウェット処理ステップを受けた後で、例えば、レーザを用いてシートまたは層を貫通して切断することまたは開孔することによって、この保護層を貫通して開口することができる。例の材料実施形態は、プリプレグ、EVA、Z68、テドラー、マイラー、PEN(例えば、テオネックスQ83)の使用を含む。任意選択で、層のうちの少なくとも1つが裏側およびエッジの化学薬品からの化学的保護を提供するこの課題のために、2つ以上の層のサンドイッチを使用することが可能である。
b.上記の誘電体層に加えて、大部分のソーラーセルモジュール実施形態に対して要求されるような十分な平坦性および剛性を与えるように、または構造に対して所定の形状もしくは曲率を与えるように働く裏打ち層を追加することができる。平坦でないセルが利用されるアーキテクチャの設計において、後者を有利に使用することができる。しかしながら、本明細書において述ベたように、プリプレグ等などの適切に選択した1つ以上の初期バックプレーン誘電体層の使用によって可能性として十分な程度まで、この曲率をやはり調整することができる。下方の金属層上へと裏打ち層を貫通するコンタクト形成を可能にするために、このような1つ以上の裏打ち層を、やはり穿孔する必要があり、その結果、金属コンタクトを、裏打ち層を貫通して走らせることが可能である。任意選択で、裏打ち層を、コンタクトの極性のうちの1つに割り当てることができる。材料についての典型的な実施形態は、アルミニウム、鋼鉄、ガラスまたは他の適度に剛性のある平板であり、これらは、薄く、好ましくは1または0.5mmよりも薄い。
c.金属材料またはそれ以外には非化学的耐性材料が裏打ち層用に使用されるケースでは、裏打ち層の化学的侵食を防止し、裏打ち層の下方の金属への電気的コンタクトアクセスを与えるために、例えば、機械的切断またはレーザ切断を使用して化学薬品曝露後に穿孔することが可能な追加の上面保護カバー層を利用することができ、したがってマルチセルモジュールへのバックプレーン補強型セルのコンタクト形成を可能にする。このような保護層用の典型的な材料実施形態は、プリプレグ、マイラー、PEN、例えば、テオネックスのQ83である。バックプレーン補強型セルへのこれらの保護層の張り付けを、下方の追加の接着剤を介してまたは裏打ち層内および裏打ち層のエッジの周りの穿孔を介して接触する接着剤を介してのいずれかで実行することができる。接着剤を、例えば、プリプレグ、EVAまたはZ68から構成することができる。裏打ち層は、上面保護カバー層への下にある接着剤のエッジ回り込みを可能にするためにわずかに小さなサイズにされる傾向があることになる。ラミネーションプロセス中には、バックプレーン補強型TFSSのバックプレーン側へと押し付ける適切に成形したカバーを有することが、有利なことがあり、カバーは、ラミネーションプロセス中に流れる接着剤によって領域の閉被を防止するための手段を提供する。これは、ラミネーションプロセスの後で適切な点にあるバックプレーンコンタクトへの非常に平易な電気的なアクセスを容易にすることが可能である。ガラスがこのような裏打ち層として使用されるケースでは、ガラスを貫通する開孔した穴を介して、またはガラスのエッジの周りの広いメタルフィンガを包み込むことによってのいずれかにより接続される。また、広いメタルフィンガが化学的耐性のある材料によって後で覆われるガラスの上面上において接続されるか、あるいはモジュール内の隣接するセルへのコンタクト形成のために直接使用するセルの外側に突出したメタルフィンガを有することによって接続されるかのいずれかで接続される。後者は、セルのウェット化学薬品曝露中に化学的耐性のある保護層の付着を必要とすることがある。本開示の適用が多数の実施形態を可能にするので、本開示は、さまざまなタイプの支持構造、材料およびプロセスを使用するいくつかの可能な実施形態を示す。これらの実施形態のいくつかの中で、我々は、利点および考慮すベきキーポイントを有する具体的な構造、材料およびプロセスを示す。明確に述ベない場合には、概念的に類似の構造、材料およびプロセスが記述される他の実施形態についても、このようなキーポイントがやはり当てはまり得ることが含まれると理解される。
また、本開示においてカバーする構造、材料および方法は、すべてを明示的に記述することができない多くの可能性のある実装形態の変形形態を可能にする。示した実施形態の少なくとも一部が相当する様式で実装されかつ利用される場合には、すべてのこのような実装形態をカバーすることが、本開示の目的である。最終構造に加えて、最終構造を実現するために、いくつかの変形形態とともに具体的な方法またはプロセスフローを、各々のケースについて示すことができる。下記のプロセスフローおよび構造は、このケースがより一般的であるので、キャリア支持を必要とする非常に薄いシリコンを仮定する。キャリア支持を必要としないより厚いシリコンは、ここに示したより一般的なケースの特別なケースである。
説明の目的のために、本出願は、プルート構造、オアシス(Oasis)構造、ハイブリッド(Hybrid)構造、および浸漬コンタクトボンド構造を含むいくつかのバックプレーンおよびプロセスフロー実施形態を提供する。しかしながら、開示したバックプレーン構造および処理要素を、当業者なら任意の数の組み合わせおよび変形形態において使用することができる。
図63Aから図63Dは、ある種の処理ステージ中の第1の実施形態(以後プルートと記載)の断面図である。図63Aは、プリプレグラミネーション、レーザドリリング、およびPVDシード金属処理ステップ後のプルート構造を示す。図63Aに示したように、プルート構造は、下記の要素から構成される。第1に、能動吸収体層から構成される薄膜ソーラー基板(TFSS)、パターン形成されたエミッタおよびベース領域、ならびにパターン形成した第1の層メタル。この図ではPVDを使用して堆積され、引き続いてパターン形成されるとして示される。典型的なメタル1フィンガはラインであり、メタル2(図3のケースでは、メッキしたCu/Sn)に対して直交して延伸する。TFSSのおもて側(太陽が当たる側とも呼ぶ)は、テクスチャリングされパッシベーションされる。第2に、プルートは、プリプレグまたは別の適切な接着性誘電体バックプレーン形成材料を含み、これは、TFSS構造にラミネートされ、任意選択でラミネーションと同じステップにおいて硬化される。化学的に不活性であるまたは任意選択でトップカバーシートによって保護される誘電体バックプレーン材料は、優れた接着、シリコンの熱膨張係数に対する良いマッチングを有するように選択される。熱的なマッチングは、ビアのドリリング、例えば、CO2レーザを使用する開孔を可能にする。ビアドリリングは、下にあるメタル1へと進み、メタル1層の上面上でまたはちょうど内部で止まる必要がある。さらにプリプレグ材料を、下にあるシリコンの熱膨張係数にベストマッチするように、または少なくとも、ラミネートされ、後にリリースされるサンドイッチ構造内に作りこまれた湾曲および関係する応力を減少させるように、すべてが最適化された比率の織り繊維または不織繊維(例えば、ガラス、ケブラー(Kevlar)、または他の適切な材料、ならびに樹脂またはさまざまな樹脂)を組み込むことなどの任意選択のさまざまな特性を有する材料の1つ以上のシートから構成することができる。非対称に樹脂コートしたプリプレグシートを有するために、または異なる樹脂含有量または樹脂タイプを有する1つよりも多くのプリプレグシートをラミネートするために、熱的なミスマッチおよび接着性をバランスさせることが有利なことがある。
図63B、図63C、および図63Dは、メッキおよびSnキャップ層処理ステップにおけるプルート構造を示す。図63Cおよび図63Dは、プリプレグのラミネーションに先立って、追加の接着剤が、メタル1構造間に、例えばスクリーン印刷によって置かれる例を図示する。図63Dにおいてラミネーションに先立って付けられた接着剤は、メタル1ライン間のスペースおよびメタル1を覆うことに留意されたい。ラミネーションに先立って付けられた接着剤を、メタル1ライン間のスペース内にだけ印刷することができる(図63C)。または、いくつかの追加のプロセス選択肢および利点を与えることができるメタル1ラインの上方の少なくとも部分的にのいずれかで、印刷することができる(図63D)。接着剤は、より平坦な開始ラミネーション表面を与えることによって、引き続くラミネーション中の平坦化要件を緩和することに役立つことがある。これはまた、接着の改善ならびに特に接着剤が硬化した時に低モジュラスを有し、これが順にバックプレーン(例えば、プリプレグ)と能動吸収体材料(例えば、エピタキシャル成長し、リリースされたシリコン)との間の熱膨張係数ミスマッチを切り離すことに役立つことが可能である場合には、応力バッファを提供することができる。第3に、上に述ベたビアは、埋められ、PVDまたは印刷したシード層または導電性ペーストなどのメタライゼーションと少なくとも部分的に接触する。図63B〜図63Dは、ビアホールを埋めること、ならびにビアホールへとおよびこれから電流を届けるフィンガを提供することの両者のための例のメタライゼーションとしてメッキした銅を示す。メタルフィンガ(メタル2)を、第1の層メタルのTFSS上のメタルフィンガ(メタル1)に本質的に直交する方法で配置することができる。
多くの類似の構造、例えば、TFSS上のメタルフィンガへのコンタクトを形成するために1つよりも多くの金属から構成される構造を、このスキームを念頭に置いて想像することができる。示した構造の共通点は、外側の第2の層メタル(メタル2)が、内側の第1の層メタル(メタル1)に基本的に直交して配置される2層メタル設計である。さらに、第2の層メタルの寸法は、はるかに大きく、容易に製造される。構造実施形態の第2のグループ(以後オアシスと記載)は、下記の2つの概念によって規定される。第1に、少なくともある時点において、構造は、直交または疑似直交電流転送に依存し、概念は下記の構造特質、すなわち、1)構造的な完全性を与えかつセルバックプレーン配置が湾曲することまたはワープすることを避けるために連結したフィンガ、ならびに2)フィンガ内の応力解放切断を含む直交電流引き出し用の直交フィンガ設計であって、1)フィンガの方向における薄いソーラーセルとバックプレーン材料との間のCTEミスマッチに関係する応力を減少させるためにセグメント化したフィンガ(タイル)、および2)構造的な完全性を与えかつセルバックプレーン配置が湾曲することまたはワープすることを避けるために連結したタイル、を含む直交電流引き出しのためのタイル設計、において説明される。第2のオアシス特徴は、ソーラーセル製造プロセスにおけるテクスチャおよびパッシベーションプロセスの時点において、半導体中のベースおよびエミッタへのコンタクトを作るメタル層の隣の金属の少なくとも1つの追加層がバックプレーンへと既に一体化されていることである。したがって、オアシスバックプレーンは、2つのメタル層、メタル1およびメタル2を有する一体型構造である。
図64A〜図64Fは、(バックボーンのない)4層バックプレーンオアシス構造および製造プロセスフロー実施形態のさまざまな態様を示す。図64Aは、合計6つのメタルフィンガ(3つのベース/エミッタ対)を有するテンプレートからリリース後のオアシス構造の断面図である。構造は、下記の要素を含む。第1に、プルート構造のように、TFSSは、パターン形成した第1の層メタルフィンガを含む。第2に、誘電性接着剤を、スクリーン印刷を使用してパターン形成した方法で付ける、またはTFSSへの付着に先立って事前にまたは事後のいずれかで開孔することができるプリプレグ材料などのシートとしてのいずれかで付ける。第3に、ステンシル印刷またはスクリーン印刷することができる導電性コンタクのアレイを、銀エポキシなどの導電性エポキシなどの材料で作る。導電性材料を、誘電体内に開口部がある領域内に付ける。第4に、構造は、導電性の第2の層のメタルフィンガを含む。第2の層のメタルフィンガ材料を、アルミニウムまたははんだ付け可能なアルミニウムプレート(SAP)、例えば、ニッケル(Ni)、もしくはニッケルバナジウム(NiV)およびすず(Sn)を用いてコーティングしたアルミニウム(Al)とすることができる。材料を、追加の誘電体、例えば、プリプレグ、EVA、Z68または他の互換性のある誘電体中へと埋め込む。この追加の誘電体は、任意選択で、導電性の第2のメタル層メタルフィンガへのコンタクトアクセスを可能にするために事前に穴を開けられる。例えば、マイラー、テドラーもしくは他のPENまたはテオネックス、具体的にはテオネックスQ83などのPET系の材料から作られる任意選択の化学的耐性のあるカバーシートを、構造の上面に付けることができる。いくつかのプロセスフロー実施形態が、このような構造を手に入れるために考えられる。4層のバックプレーンは、1)誘電性/導電性接着剤、2)SAPlateフィンガ、3)次層の接着剤、および4)トップカバーシートである。
重要な構造的な区別を、すべての構成要素が一緒にレイアップされかつ同時にラミネートされる単一バックプレーンラミネーションプロセスと、第2の層メタルが平坦なバックプレーン中へとラミネートされかつTFSSへの第2のラミネーションに先立って周囲の誘電体中へと埋め込まれ、その点で、適度な強度のリリース層によりテンプレートによって支持することができるプロセスとの間で引き出すことができる。後者のケースでは、バックプレーンを、TFSSとは別々に製造し、貯蔵し、ステージすることができ、コストおよびロジスティックスに対する潜在的な有益性を有する。また、このケースでは、選択肢がある。すなわち、TFSSとバックプレーンとの間の接着を行う誘電性接着剤、およびTFSS上のメタルフィンガとバックプレーンの一部である大きなメタルフィンガとの間のコンタクト用に使用される導電性材料のいずれか一方または両方を、ラミネーションに先立って、バックプレーン側またはTFSS側のいずれかに付けることができる。
図64Bは、バックプレーン周囲の近くに形成されたライン終端のアクセスホールを有するバックプレーン構造のトップカバーシート、例えば、25μmのプラスチックまたはプリプレグ材料、の上面図を示す。示したように、3つのエミッタアクセスホールおよび3つのベースアクセスホールを有する。アクセスホールを、薄いバックシート中にレーザ(または機械的に)レーザ加工して、既に事前に開孔したEVA封入剤シートを介してはんだ付け可能なAlランディングパッドを露出する。アクセスホールは、ほぼ5〜15mmの直径を有することができ、ストリンガコンタクト用に、ならびにモジュールラミネーションおよびアセンブリ用にPbフリーはんだで埋められる。一実施形態では、直交フィンガ当たり1つの大直径アクセスホールを、(6つの下にある直交フィンガに対して6つのアクセスホールを示したように)使用することができる。図64Cは、外部モジュールストリンガコンタクト用の外部アクセスホールの構成を示すバックプレーン構造の上面図を示す。内部または外部セルバスバーが必要ないことに留意されたい。図64Dは、主要なオアシスバックプレーン製造ステップを強調するプロセスフローである。図64Eおよび図64Fは、オアシスバックプレーン実施形態に関する構造的なプロセスフローを示す。図64Eの構造1は、3層スタックを示し、上から下に、1)例えば、透明プラスチックまたはプリプレグから作られた薄い(25μm)カバーシート、2)例えば、未硬化のEVAまたはプリプレグから作られ、大きなアクセスホールを事前に開孔した薄い(200μm)EVAまたはプリプレグ封入剤、および3)レーザスクライブおよびKOHエッチングまたは打抜き加工を使用して事前に製造された薄い(200μm)はんだ付け可能なAlフィンガである。図64Eの構造2では、3層スタックは、1)薄いプラスチックカバーシート、2)事前に開孔したEVAまたはプリプレグ、および3)直交する連結したSAPlate Alフィンガ、のスタックを形成するようにアライメントされる。図64Eの構造3は、頂点平坦化しAlフィンガ間のギャップを埋めるため、および平坦なバックプレーンバックボーン構造を用意するための表面開放型ラミネーション後のスタックを示す。図64Fの構造4は、スクリーン印刷によるフィールド誘電体(熱可塑性誘電体接着剤など)またはレーザ事前開孔誘電体シート(例えば、プリプレグまたはZ68)の形成後の構造を示す。図64Fの構造5は、スクリーン印刷によって、b−ステージ可能とすることができる導電性接着剤(CA)ピラー形成後の構造を示す。図64Fの構造6は、セルに張り付け/ラミネートし、リリースし、およびバックエンド処理(やはりエッジシールを形成する)後の構造を示す。図64Fの構造7は、電気的コンタクトアクセスホールを形成するために上面の薄いプラスチックカバーシートの最終レーザドリリング後であり、検査およびソートのためにアクセスホールにはんだバンプを付けた後の構造を示す。
図65A〜図65Dは、バックプレーンの一部である大きなメタルフィンガの可能性のある形状を図示するさまざまな実施形態の上面図である。図65Aは、6つのフィンガを有する連結したパターンを示し、図65Bは、6つのフィンガを有するスプリング状にセグメント化したバランスの取れたパターン(平行四辺形)を示し、図65Cは、6つのフィンガを有する物理的にセグメント化したバランスの取れたパターンを示し、そして図65Dは、相互接続したコンタクトパターンを示す。フィンガは、一般に、セル上の第1の層メタルフィンガに直交して配置される。直交転送のために、第2のメタル層フィンガの寸法を、メタルルーティングを通る直列抵抗に起因するオーミック損失を悪化させることなく相対的に大きくすることができる。典型的には、これらのメタルフィンガを、約100〜数百ミクロン厚までの範囲内にすることができる。バックプレーンがラミネートされる主材料は、選択的へき開方向として作用するその結晶面に沿って、好ましくは機械的に弱い方向を有する結晶シリコンである。したがって、選択的なへき開方向を与えないために、交互にしたフィンガまたはタイルを有することは、全体の構造の強度を確保するために得策であり得る。フィンガが(図65Aおよび図65Bに示したように)使用される場合には、フィンガへのスリットの追加は、大きなメタルフィンガの方向に沿ったCTEミスマッチに関係する応力を減少させるスプリング作用を与えるように働くことが可能である。タイルが(36個のタイルを有する図65Cに示したように)使用される場合には、タイルの各列は、同じ極性(それぞれ、エミッタおよびベース)を有し、各タイルは、後で接続されることを必要とし、これは、例えば覆っている埋め込み誘電体シートを事前に穴開けすること、または、代替でセルが完成した後でコンタクトホールを開口することを必要とする。これらのコンタクトホールを、導電性エポキシまたははんだなどの導電性材料を用いて埋めることができ、モジュールアセンブリ製造の一部としてストリンガに接触させることができる。数多くの他の大きなメタルフィンガの幾何学的形状、例えば、図65Dに示した設計を、想像できる。図64Bおよび図64Cの構造および幾何学的形状は、セル相互のおよびモジュールへのコンタクト形成に関する実施形態を示す。
図66は、直交オアシス設計を図示するセル裏側の上面図である。アルミニウムフィンガのエミッタおよびベースコンタクトは、直交して配置され、下にあるセル上の第1の層メタルフィンガに接触する。
図67は、(バックボーンを有する)オアシス構造実施形態の断面図であり、本明細書においては5層または6層オアシス構造と呼ぶ。図64に示した4層オアシス構造と比較して、図67に示した構造は、より高い剛性、平坦度および機械的支持の構造を与える追加の1つ以上のプレートを含む。支持プレートは、電気的コンタクトアクセスホールを形成するために事前に穴を開けられる。そして、それ自体の誘電性接着剤シートによって(1層を追加し、オアシス構造を6層構造にする)、または適切な接着およびエッジシーリングのために事前に開けた穴を介しておよびデバイスのエッジの周りで下にある誘電体シートを十分にリフローさせることによって(5層オアシス構造)のいずれかで、張り付けられる。支持プレートは、例えば、アルミニウム、鉄鋼、適切なポリマー、ガラスまたはセラミックなどの低コスト材料であるベきである。追加の接着剤シートを、プリプレグ、EVAおよびZ68含む上記のような同じ材料、ならびに関係する材料から構成することができる。トップカバーシートへの接着を確実にするために接着剤材料の制御されたリフローを、適切に事前成形された固定剤によって可能にすることができる。固定剤は、ラミネーションプロセス中に付けられ、所望のコンタクトホールを接着剤材料が閉ざすことを防止する一方で同時に、バックボーン層の下方に埋め込まれた接着剤材料のリフローが流れ出し、トップカバーシート層に接触することを可能にする。
構造的な実施形態の第3のグループの実施形態(以後ハイブリッド構造と記載)を図68Aおよび図68B〜図68Cの上面図および側面図に示す。図68Bは、エミッタコンタクトを示すハイブリッド構造の断面図であり、図68Cは、ベースコンタクトを示すハイブリッド構造の断面図である。プルート構造およびオアシス構造は、かなりの類似性を有し、多くの中間/組み合わせ構造をプルートおよびオアシス概念から導き出すことができる。図68A〜図68Cは、このような一例を図示する。図68A〜図68Cのハイブリッド構造は、ウェット処理およびパッシベーションの時におけるものなどのプルートに特徴的な要素を有し、構造の上の金属構成要素だけが、第1の層メタルとして下記のプロセスフロー説明においてカテゴリー化されるものである。開示したハイブリッド構造はまた、その中に大きなメタルフィンガアレイを含むオアシスの特徴的な要素を有する。しかしながら、この大きなメタルフィンガアレイは、テクスチャおよびパッシベーションプロセスの後のある点で付けられ、したがって、オアシス構造の特徴であるように、TFSSへの張り付けに先立ってバックプレーン構造へと一体化されない。
図68A〜図68Cのハイブリッド構造は、下記の要素、すなわち、パターン形成した第1の層メタルを有するTFSS、スクリーン印刷を使用して堆積中にパターン形成したプリプレグ材料またはラミネーションの事後もしくは事前に開孔したプリプレグ材料のいずれかとすることができる誘電体、ビアを介してアクセス可能であるセル上の第1の層メタルから誘電体の上面上へとまたは大きなメタルフィンガのアレイに直接金属を走らせるように働く1つ以上の金属層、TFSS上の第1の層メタルに直交して配置され任意選択の裏打ちプレート(例えば、ガラス、ポリマー、セラミックまたは金属から作られる)を有するプリプレグ、EVAまたはZ68などの誘電体内に埋め込まれている大きなメタルフィンガ、および、外に向けて広がりかつセルと比較して大きなサイズにした金属グリッドを有することによって形成することができ、または大きなメタルフィンガが中に埋め込まれる誘電体を通して接触することによって形成することができる側のいずれかに設置されたセル間およびセルからモジュールへのコンタクト形成用のコンタクト領域、を含む。あるいは、埋め込んでいる材料および任意選択の支持プレート材料に大きなメタルフィンガを包み込むこと、ならびにセルの最も裏面に直接露出した金属を有することによって、コンタクトを形成することができる。
第4のグループの構造実施形態(以後浸漬コンタクトボンディング構造と記載)を、図69および図70の断面図に示す。図69は、ボンディング前後の構造を示し、Alオアシスバックプレーンを使用する浸漬コンタクトボンディング構造および方法の断面図である。図70は、ボンディング前後の構造を示し、モノリシックモジュールアレイ(MMA)タイプのバックプレーンを使用する浸漬コンタクトボンディング構造および方法の断面図である。前に示したプルート構造、オアシス構造およびプルート−オアシスハイブリッド構造は、誘電性接着剤(スクリーン印刷した材料またはラミネートしたプリプレグシート)を有し、誘電性接着剤には、セル上の第1の層メタルを次層メタルから分離し、次層メタルへのコンタクトを貫通して作ることができる開口ビアホールを可能にするなど、アライメント式でパターン形成される。浸漬コンタクトボンディング構造では、誘電性接着剤は、第1の層メタルとバックプレーンの一部である金属との間のコンタクトポイントに関してアライメント式ではパターン形成されない。はんだまたは導電性エポキシなどの印刷した導電性バンプの、アライメントされ、パターン形成されたアレイによって、コンタクトを作り、導電性バンプは、所望のコンタクトスポットに設置され、ラミネーションのプロセスにおいては誘電性ラミネーションシートを介して押される。誘電性ラミネーションシートは、例えば、EVAまたはDNPのZ68などのラミネーション中に十分に軟化する材料で作られる。これらの材料は、任意選択で穿孔されたシートとして作られ、さまざまな金属層間に低抵抗コンタクトを作るために導電性バンプ用の開口領域の十分な割合を与える。したがって、浸漬コンタクトボンディング構造は、パターン形成した第1の層メタルを有するTFSS、導電性バンプのアライメントされたアレイ、規則的なもしくはランダムな様式のいずれかに穿孔され、またはボンディングプロセスの一部として穿孔することができる、例えばEVAまたはZ68から構成される誘電性シート、図69に示されたように埋め込まれた大きなメタルフィンガを有するオアシススタイルのプレラミネーション型バックプレーン、および、MMAスタイルのバックプレーン中への直接実装形態では、図70に示されたように、誘電性接着性シート(例えば、PENまたは別の適度に耐性のある材料で作られる)を介してTFSSに接続される保護カバー、を含む。
図71は、アセンブリを有する裏面コンタクトソーラーセルおよびバックプレーン補強の製造に関するプロセスフロー実施形態である。図73A〜図73Jは、このようなフローのフロントエンド処理を図示する。
プロセスのフロントエンドを、再使用または新しいテンプレートのウェット洗浄で始めることができ、リリース層、例えば、高気孔率の上面上に低気孔率を有する二層の多孔質シリコンの形成が続く。引き続いて、例えば、水素中でトリクロロシラン(TCS)ガスおよびドーパント、例えば、n型ベースを作るためにフォスフィン(PH3)を使用するシリコンのエピタキシャル堆積を使用して、能動吸収体セル領域を堆積する。任意選択で、このような堆積は、深さに応じて1つよりも多くの別個のドーピング濃度領域を有するように構成されてもよい。引き続いて、例えば、大気圧化学気相堆積(APCVD)を使用して、ドープしたガラスの層を堆積し、ピコ秒レーザを使用するパターニングプロセスが続く。
一実施形態では、第1のガラス層は、少ない量のエミッタドーパント(ホウケイ酸ガラス(BSG)中のホウ素)を含有し、余り高濃度にドープしないエミッタを形成するために、任意選択で、アンドープのケイ酸ガラス(USG)の層でキャップされ、より高濃度にドープしたエミッタが作られる領域内のホウケイ酸ガラスのアブレーションが続く。これは、エミッタメタル1への低抵抗コンタクトを提供するように働く。この後で、より高濃度にドープしたBSG層(BSG2)を、エミッタへのメタル1コンタクトの領域内に堆積し、任意選択で、USGキャップ層を有する。次に、ベースコンタクト用の領域を、好ましくはピコ秒レーザを使用してアブレーションする。引き続いて、リン用のドーパント源として働くリンケイ酸ガラス(PSG)層を堆積し、これは、ベースへの低抵抗コンタクトを形成するために高濃度のN+型にドープしたベースコンタクト領域を作る。引き続くステップでは、プロファイルが熱アニールされ、これによって接合をドライブインする。任意選択で、アニーリング雰囲気を、中性雰囲気と酸化性雰囲気との間で選択することができる。後者は、裏側に高品質の界面を形成するように働き、低い裏表面再結合速度を可能にする。次のステップとして、エミッタおよびベースドープした接合へのコンタクト領域を開口し、引き続いて付けられるメタル1層のコンタクト形成を可能にする。ここではメタル1を、例えば、アルミニウム(Al)もしくは接合を貫通するスパイキングを回避しながら接合へのメタル1の低抵抗コンタクトを形成するためのAlSiから構成される、例えば、印刷した層または一連の印刷した層とすることができる。1つ以上の印刷したメタル層を、任意選択で、次のステップに先立って熱アニールすることができる。この点で、デバイスのフロントエンドを、終了したと考えることができ、バックプレーンに関係するステップを始めることができる。
次のステップは、単一ステップラミネーション、またはバックプレーン作成のいずれか、続くテンプレート上の薄膜ソーラー基板へのラミネーションを含むことができる。このようなラミネーションは、好ましくは真空中でかつラミネートを硬化させるために高温で行われる。均一で信頼性の高い接着を確実にするために、圧力を加える。圧力を、構造が受ける熱および真空サイクル全体を通して可変とすることができる。ラミネートと複数のテンプレートをスタックすることを含むラミネーションプロセスおよび機器に関するさまざまな実施形態が考えられ、リリースシートおよびバッファ層に分散する圧力によってまたは大きなトレイ配置内に並ベてラミネートした複数のテンプレートを有することによって分離する。このような大きなトレイ配置を、複数のスロット(デイライツ(daylights))を有する市販のラミネータへとそれ自体をスタックすることができ、ラミネータは、典型的には、上方および下方からまたは一方の側だけからすべて加熱される。圧力を加えるために、油圧素子を使用することができる。局所的なスタック高さ変動に起因するまたは異なるテンプレート高さに起因する圧力差を克服するために、セルロースまたはゴムまたは他の適切な柔軟なシートの選択した十分に厚いシートを使用することができる。高さの違いは、それぞれ、同時にラミネートされるテンプレートの使用期間または再使用回数の違いによって生じることがある。バックプレーン材料(例えば、プリプレグ)のラミネーションに先立って、本開示において前に説明したように、追加の接着剤を付けることが有利なことがあることに留意されたい。
次のステップは、テンプレートからバックプレーンにラミネートした薄膜ソーラー基板(TFSS)のポストラミネーションリリースを含む。ラミネーションに先立ってまたはTFSSのリリースに先立ってのいずれかで、バックプレーンの外のエピタキシャル膜を介してまたはバックプレーンおよびエピタキシャル膜を介してのいずれかで、レーザ切断を用いてTFSSの形状の輪郭を作ることが、得策であり得る。エピタキシャル層を通過し、テンプレート中へと切断することからのテンプレート損傷を最小にするために注意を払うベきである。熱レーザ分離と呼ばれるレーザに基づく技術を、この切断プロセスにおいて使用することができ、ここでは、加熱レーザビームは、水もしくは、例えばヘリウムなどの冷たいガスなどの冷たい液体またはミストのジェットによって与えられる冷却スポットがすぐに続き、追跡される。そのように行うことによって、シリコンを介して、へき開を引き起こすことができ、これは、リリース層の領域内でTFSSとテンプレートとの間の界面において終了する。
次は、エッジ作成ステップであり、エッジをトリミングすること(切断すること)、および任意選択で補強した薄い基板のエッジから脆い薄膜を切り離すことを含む。デバイスの外側エッジを、せん断加工または打抜き加工などの機械的なトリミングによって、またはレーザトリミングによって適当なサイズに切断することができる。デバイスの角を、面取りを使用して切断するように選択することができる、またはそれ以外には角を尖っていない適切な形状にすることができ、その後のプロセスステップ全体を通して取り扱い損傷を生じにくくする。
次は、ウェット(または任意選択でドライ)テクスチャリングステップであり、ポストテクスチャ洗浄および乾燥が続く。テクスチャリングを、1つ以上の表面準備ステップによって、例えば、適正なピラミッドの後の形成に役立つようにグリットブラスティングなどの機械的粗面化ステップによって、または有機残渣除去などの表面処理もしくはテクスチャリングに役立つように薄い化学酸化物を形成することによって行うことができる。
次は、低温でのパッシベーションステップであり、パッシベーション層堆積に先立つ真空補助を用いるまたは用いない任意選択のドライベークを伴う。低温用の例の実行可能なパッシベーション層は、アモルファスシリコン(a−Si)またはシリコン酸化膜または準化学量論的なシリコン酸化膜、シリコンオキシナイトライド、または窒化シリコンである。あるいは、化学酸化物またはオキシナイトライドなどのパッシベーション層を、ウェットプロセスタンク内で堆積することができる。
次は、反射防止コーティングステップであり、好ましくは、シリコン中にキャリアを発生することができる波長範囲内で非常に小さな吸収を有し、それぞれの少数キャリアをはね返すための適切なビルトイン電荷を有する、窒化シリコン、Al23、または他の適切な誘電体などの材料を使用する。任意選択で、フォーミングガスアニールまたは他の熱アニールを、おもて表面パッシベーションを改善するために使用することができる。任意選択で、おもて面からのレーザアニールを、おもて表面パッシベーションを改善するために、やはり任意選択で、レーザ処理パラメータおよび選択したレーザの1つ以上の波長の侵入深さに応じてバルク品質および裏表面パッシベーションを改善するために使用することができる。次のステップは、セル端子へと次の埋め込み層へのコンタクトを開口することから構成される。選択したバックプレーン構造に依存して、次の埋め込み層を、例えば、ラミネーションに先立ってセル上へと堆積されたセル上のパターン形成したメタル層、コンタクトアクセスが必要である領域内にだけ前記パターン形成したメタル層上に堆積されたコンタクトパッド、または、任意選択で、元々の金属コネクタに対して基本的に直交して配置された、金属の埋め込み型次レベルルーティング、とすることができる。このコンタクト形成ステップを、保護層/誘電体層中へのレーザドリリング、機械的ホールドリリングまたはスリットドリリングを使用して実行することができる。任意選択で、このステップに先立って、後のメッキプロセス中におもて側のメッキまたは汚染を防止するシートまたは材料によって、表面を保護する。
引き続いて、下にある金属を、いくつかの選択肢の手段のうちの1つによってコンタクト開口部を介して接触させる。選択肢の手段は、例えば、シード金属の接着および/またはメッキ性を増進させるための任意選択の表面準備ステップ、PVD、メッキ、スクリーン印刷、インクジェッティング、エアロゾルジェッティング、ステンシル印刷を含む印刷、または火炎溶射もしくは熱溶射などの溶射によるシード金属の堆積、パターン形成しない堆積のケースでは、印刷したレジストなどのパターニングステップ、または、レジストで覆われていない領域内のメッキ、続いてレジスト除去およびシード層エッチングバック(これらのすべては、メッキ技術に共通のプロセスである)である。典型的なメタライゼーション材料は、例えば、ニッケルの開始層、続いてすず、または他のはんだ可能なキャッピング層で終わることを含む。印刷した層は、銀および合金、ニッケル、銅、アルミニウム、ならびにすずを含む適切な金属を含むことができる。PVDシード層のケースでは、選択は、Sn、Ni、NiV、Al、Pd、Ta、Cu、Agまたは合金を含むが、これらに限定されない。
任意選択のテスティングおよびビンニングの後で、ソーラーモジュールへのコンタクトを、例えば、はんだ付け可能なストリンガリボンを使用して容易に実現することができる。ストリンガリボンを、例えば、真っ直ぐな形状またはドッグボーン形状とすることができ、真っ黒な外観を保つために、ならびに任意選択で必要な場合には電気的アイソレーションとして働くように、例えば、モジュール利用者に対して見える領域内に、黒い領域または黒くした領域を任意選択で含むことができる。最終封入を、例えば、一般的なソーラー裏側封入剤を使用して実行する。
下記の開示は、説明の目的のために示された例示的な構造およびプロセスフローに関する。プルート構造とオアシス構造との間の主要な相違は、ウェット処理の時において、またはエピタキシャル薄膜のおもて表面のテクスチャ化の他の形態において、プルート補強構造は、セル上のメタルエミッタおよびベースコンタクトフィンガ(以後第1の層メタルと記載)を除いて、何らかの他の金属構造を含まない。ところが、オアシス構造は第2の層メタライゼーションの少なくとも一部を含む。
セル上のメタルを、物理気相堆積(PVD)などのブラケット堆積技術もしくは蒸着のいずれか(例えば、電子ビームを介してもしくは熱蒸着)を使用して堆積することができる。引き続く、例えばレーザアブレーションを使用するパターニング、またはスクリーン印刷を使用して金属もしくは金属前駆物質の直接パターン形成した堆積を伴い、典型的には、ベーキング、シンタリングまたはドライブインのための引き続く熱ステップを伴う。重要なことは、下記の説明は、PVDについて、および蒸着に基づくプロセスについて同様に成り立つ。下記では、別なふうに記さない時にはいつでも、PVDを、すべての他の大面積ブラケット堆積タイプのプロセスを代表するように使用する。このようなブラケット膜を、テンプレート上の全体のエピタキシャルセル構造の上方に堆積することができ、または望まれない場所、例えば、テンプレートのまさにエッジもしくは能動構造の外側の堆積を避けるために、シャドーマスクを堆積中に実装することが可能である。シャドーマスクすることを、能動領域または金属コンタクト領域を画定するためにやはり使用することができる。
プルート構造およびオアシス構造ならびにプルートおよびオアシスのハイブリッド構造のプロセスフローのさまざまな実施形態についての例の模式的な表示を、図72Aおよび図72Bに示す。図72Aは、プルート構造およびプルートハイブリッドに関するプロセスフローである。下記の表は、図72Aに示されたプロセスフローの中で使用する略語を定義する。
Figure 0006250552
図72Bは、オアシス構造およびオアシスハイブリッドに関するプロセスフローである。下記の表は、図72Bに示されたプロセスフローの中で使用する略語を定義する。
Figure 0006250552
図73A〜図73Jは、裏面コンタクトソーラーセルを製造するためのプルート構造実施形態のプロセスフローの主要な製造ステップ中のセルの断面を示す。図73A〜図73Eは、アンドープの層および引き続くパターニングの使用を介してベースコンタクト領域とエミッタコンタクト領域との間の物理的な分離を有することに基づくフローを示す。図73Aは、BSG堆積およびエミッタ開口ステップ後のセルを示す。図73Bは、ベースウィンドウ開口ステップ後のセルを示す。図73Cは、PSGベース堆積、アニーリング、および開口ステップ後のセルを示す。図73Dは、レーザコンタクト開口ステップ後のセルを示す。図73Eは、金属堆積およびレーザアイソレーションステップ後のセルを示す。
図73F〜図73Jは、エミッタからメタル1へのコンタクトが形成される領域内を除き、どこよりもさらに低濃度にドープしたエミッタ領域を有することよって選択的エミッタ形成を可能にするフローを示し、前者の領域はより低コンタクト抵抗のためのより高濃度のドーピングから利益を得る。図73Fは、低濃度にドープしたエミッタ前駆物質堆積(BSG1)および高濃度にドープしたエミッタ領域開口ステップ後のセルを示す。図73Gは、高濃度にドープしたエミッタ前駆物質堆積(BSG2)およびベースコンタクト開口ステップ後のセルを示す。図73Fは、金属堆積およびレーザアイソレーションステップ後のセルを示す。図73Hは、PSG(+USG)堆積および接合形成のためのドーパントドライブインステップ後のセルを示す。図73Iは、レーザコンタクト開口ステップ後のセルを示す。図73Hは、PSG(+USG)堆積および接合形成のためのドーパントドライブインステップ後のセルを示す。図73Jは、例えば、アブレーションを伴う印刷したまたはPVDメタル1堆積ステップ後のセルを示す。
図74A〜図74Dは、裏面コンタクトソーラーセルを製造するためのオアシス構造実施形態の上面図(図74A)およびプロセスフローの主要な製造ステップ中のセルの断面を示す。図74Aは、オアシス構造セルの上面図である。図74Bは、ベースコンタクト形成ステップ後のセルを示す。図74Cは、バックプレーンラミネーションステップ後のオアシス構造セルの上面図である。図74Dは、バックボーンを有する最終オアシスセルを示す。
すべての示したバックプレーン実施形態に関して、プロセスのバックプレーン部分に先立つ実行可能な処理フローおよび構造を、本明細書において開示する。例えば、1つの開始基板実施形態では、テンプレートによって支持されるエピタキシャルセル構造は、エミッタおよびベースの半導体領域に開口したコンタクトを有する。ベースへのコンタクトは、低コンタクト抵抗のために高濃度にドープしたコンタクト領域を有することができ、一方で、エミッタは、任意選択で主メタルへのコンタクトの周りの高濃度にドープした領域を有する選択エミッタである。これらのコンタクトを、図73の例の実施形態に示したようにさまざまな技術を使用して開口することができ、上方の誘電体のレーザアブレーションを使用してコンタクトを開口する。コンタクトは、エミッタコンタクトおよびベースコンタクトの交互ラインアレイにおいて最も良く形成される。
引き続いて、第1の層メタルを形成する。この層がいくつかの金属から構成される、または内部のいくつかの構造から構成される場合でも、本明細書中ではこの層を第1の層メタルと呼ぶ。一実施形態では、第1のメタル構造は、好ましくは、アルミニウムまたはスパイキングを減少させ、p型およびn型領域の両者へのオーミックコンタクトを確実にするために少量のシリコンを有するアルミニウムである。材料を堆積するためにPVDを使用する場合には、堆積が一般には全体のセル領域に対して実行され、後で構造が形成されるので、選択は、典型的にアルミニウムなどの単一材料のものである。ブランケット堆積した材料は、後でパターン形成される。パターニングのためにいくつかの選択肢が存在し、一例の実施形態では、金属は、レーザアブレーションを使用して構造を形成される。ピコ秒レーザアブレーションを使用するなどの、レーザアブレーションのいくつかの選択肢が考えられる。エミッタおよびベースコンタクト金属の交互のラインが、エミッタおよびベースコンタクト開口部の交互のラインの上面上に形成されるように、金属は、好ましくはパターン形成される。
材料に応じて引き続く熱処理を伴うスクリーン印刷またはエアロゾル印刷などの印刷プロセスをPVDの代わりに第1のメタル用に使用する場合には、アルミニウム、または両者のコンタクトに対してスパイキングを減少させるために少量のシリコンを有するアルミニウムを使用することができる。またはp型領域コンタクト用にアルミニウムを、n型領域コンタクト用に銀等などの別の金属を、それぞれ使用することができる。材料の選択は、ミラーとしてのその性能にやはり依存することになる。優れたミラー性能(スペキュラまたはランベルト)は、特に薄いシリコンを使用するセルにとって重要であるより長い波長に対して、総合的な光から電気への変換を改善することができる。あるいは、シリサイドを形成する耐熱金属を、第1のメタル層として、同様に低抵抗コンタクト用に使用することができる。しかしながら、そのミラー品質は、十分でないことがあり、プロセスはより複雑である。
メタル用のPVDおよび印刷プロセスの両者は、任意選択で、スタックしたメタル層の堆積を可能にする。PVDに基づくプロセスでは、アルミニウム堆積の後に、接着を改善するニッケルバナジウム(NiV)またはニッケル(Ni)層が続くことができ、Niが低応力のためにしばしば好まれる。この後に、プロセスフロー中のさらに先でメッキを可能にするすず(Sn)層が続くことができる。このスタックに対する代替は、Al、続いてタンタル(Ta)である。別の層の組み合わせも考えられる。処理の単純さのためおよび後に導入されるレーザビア開口のプロセス用のミラー層としての優れた性能のために、Al単独を、第1の層メタルとして使用することができる。メッキした層が、後に次のメタル層用に使用され、アルミニウムが唯一のベースメタル層である時には、アルミニウムは、亜鉛化反応(zincation)または二重亜鉛化反応などの特別な表面処理を必要とする。
メタルまたはメタルスタックが、いくつかの特性を念頭に置いて選択される必要がある。すなわち、第1に、下にある酸化膜またはエピ上のガラス層に、第2に、スタックの金属間に、そして第3に、スタック上の上面金属とバックプレーン、または正確に言うと、バックプレーンの接着剤成分との間に、優れた接着性を与える必要がある。
そのことについて、アルミニウムが第1の堆積した金属であり、最上部近くのガラス層がドーパント源、例えばベースコンタクト用のn型ドーパント源としてのリンケイ酸ガラス(PSG)、としても働く場合には、PSG中のリン含有量をほぼ6%以下に保つことおよび/またはPSG層をアンドープのガラス層でキャップすることが典型的には役立つ。堆積中および堆積後のメタルの任意選択の処理は、その後の接着を改善するように働くことができる。このような処理は、熱アニーリング、レーザアニーリング、表面ラフニング等を含む。堆積した金属に関して、アルミニウムは、ここに示したバックプレーン材料に対しても優れた接着を与える傾向がある。
印刷した金属は、通常、溶剤をベークアウトするため、ならびに任意選択のシンタリングおよび/またはドライブインステップの1つ以上の熱ステップを必要とする。1つ以上の金属が印刷される場合には、すべてに対して1つの熱ステップを行うこと、または複数の金属の印刷の間に1つ以上の熱ステップを有することを、想像することができる。金属の印刷はまた、後の時点において次層の金属へのコンタクト領域として働く領域内などの有用である領域内の金属を選択的に厚くすること可能にする。スクリーン印刷した金属を使用する時に選択的に厚くするための1つの方法は、異なるスクリーン構造を使用しながら1回以上の印刷を行うことである。
堆積した金属または金属スタックの表面を最適化して、PVDに基づくプロセスと共に利用される金属アブレーションのための大きなプロセスウィンドウを可能にする。PVDおよび印刷した金属の両者に関して、1つの金属だけが第1のメタル層用に使用される場合には、バックプレーン材料を貫通するビアを開孔するために後の時点において利用されるレーザビームに対する十分な厚さおよび高い反射率を与えるように選択されるまたは設計されることは、金属スタックの上面金属(または金属の表面)にとって有利であり得る。ここでは、ビアは、第1のメタル層への次レベルのメタルのコンタクトを与える機能を有する。このようなビアドリリングに関して、例えば、CO2レーザを使用することができ、アルミニウム、銅、銀およびいくつかの他の金属は、CO2レーザの長い赤外波長範囲内で優れた反射率を与える傾向がある。
印刷した金属のケースでは、将来のビアの領域内の金属を局所的に厚くすることおよび/またはその領域内に別の金属印刷を局所的に追加することが、都合のよいことがある。これは、ビアホール用のプロセスウィンドウを大きくすることならびにコンタクトを作る第2の層メタル用の優れた金属領域を与えることの両方に働くことができる。
第1の層メタルならびにそのパターニングおよび処理後の次の主要なステップであるラミネーションに先立って、バックプレーン補強型エピタキシャルセル構造のリリース中に既知の破壊位置を与えるように、エピタキシャル層がテンプレート上にある間に、オーバーサイズに切断したエピタキシャル層を形成することは、得策であり得る。
ラミネーションにおけるプルート構造のためのプロセスフロー
パターン形成した第1の層メタルを含む薄膜エピタキシャルソーラーセル構造(TFSS)にラミネートするバックプレーン材料として選択する材料は、いくつかの重要な特性を念頭に置いて選択され、そのいくつかを下記に示す。第1に、材料は、シリコンに対してその熱膨張係数に関して相応に一致しなければならない。第2に、材料は、それ自体で、またはブランケットもしくはパターン形成した接着剤層の助けを借りてのいずれかで、TFSSに対する優れた接着を示さなければならない。かつ、完成したソーラーセルへのバックプレーン補強型TFSSの製造のために必要であり、モジュールにしたソーラーセルの有効な寿命期間の全体を通してソーラーセルに求められる温度範囲、圧力範囲、および湿度範囲の全体にわたってこの接着を与えなければならない。第3に、バックプレーン補強型TFSSは、ソーラーセルへのおよびモジュールへの製造の全体を通して、化学薬品、ガス環境、およびすべての取り扱いステップに耐えることが可能である必要がある。第4に、材料は、コスト競争力があり、有毒でなく、容易に入手可能である必要がある。
前述の説明は、能動吸収体材料としてのシリコンとともにプリプレグバックプレーンの実施形態に焦点を当てている。同じ概念は、Ge、SiGe、SiC、SiGeC、a−Siまたはa−SiGeなどのヘテロ接合材料を有するシリコンの使用、ならびにGaAsまたはSiもしくはGeもしくはその合金とのGaAsの組み合わせなどのIII−V材料での使用を求める。このような要求を満たす魅力的な例の材料ファミリは、プリント回路基板産業において類似の組成形態で使用されるプリプレグである。このようなプリプレグは、アラミド、樹脂の基質内のケブラーまたはガラスファイバなどのさまざまな種類の織り繊維および不織繊維で入手可能である。
このようなシートを、シートがテンプレート上にある間にTFSSにラミネートする。補強を、1枚のシートまたは1枚より多くのシートから構成することが可能であり、そこでは、異なる前処理または異なるファイバ、ファイバ含有割合、ならびに樹脂タイプおよび含有割合が、接着性およびCTEミスマッチを最適化するためにすべて利用される。
以前に指摘したように、プリプレグのラミネーションに先立って、セル上に追加の接着剤を印刷することは有利なことがある。この接着剤を、熱的にまたはUVで硬化可能なものとすることができ、(図63Dに示したように)全領域を覆うことができる。したがって後のビアホール開口ステップにおいて貫通して開孔する必要がある。もしくは他にはビアホールが開孔されるところに開口部を印刷するか、または接着剤は、(図63Cに示したように)メタル1ライン間の領域だけを覆うことができる。
他のバックプレーン補強材料選択肢は、EVAまたはZ68などのソーラーモジュール封入において使用されるものと同様の材料を含む。下記の例では、プリプレグ材料処理が明確に述ベられる時はいつでも、これが、同様に他の適切なバックプレーン材料の使用をカバーすることも理解すベきである。
選択した材料は、プロセスフローおよび材料組成形態に依存して、非常に順応性があるまたは柔軟なセル構造を有すること、ならびに平坦でないソーラーモジュールなどの用途に関するさらなる構造的な解決策を可能にする平坦でないセル構造を可能にするという選択肢を含むことが可能である。
任意選択で、TFSSと接触するプリプレグ領域を、保護シートを使用してラミネーション時に覆うことができる。保護シートは、テクスチャおよびポストテクスチャ洗浄、ならびにメッキおよびメッキ表面準備などのバックプレーン補強型TFSSの引き続く処理中にプリプレグシートの湿気または化学薬品取り込みを抑制する。このようなカバーシートの例は、マイラーまたは化学的に耐性がある他のPEN系の材料である。
ラミネーションプロセスそれ自体を左右する典型的なパラメータは、圧力、温度、温度差およびランピング速度の使用、程度およびタイミング、樹脂およびファイバタイプおよび含有割合、1枚以上のプリプレグラミネーションシートの任意選択のプレタッキングまたは事前処理、プロセス時間および温度における時間、ならびに、真空の適用およびレベルである。ラミネーションを介してまたはプリプレグを水およびウェット化学薬品に少なくとも曝すことに先立ってプリプレグを十分に硬化することは、有利なことがある。
ラミネーションステップからの冷却の後に、テンプレート上のラミネートされたTFSSは、ラミネーション機器からアンロードされ、引き続いて機械的であろうともエッチングなどの他の手段であろうとも、テンプレートからリリースされる。一般に、裏側補強型TFSSおよびテンプレートの上面側をチャックし、直接引張の使用によって、ピーリングによって、または構造の片側または両側にパルス化した真空の印加によって発生する力などのパルス引張力によってのいずれかで分離する。
任意選択で、補強型TFSSおよび/またはテンプレートの上面側をチャックするために使用するプレートに結合したピエゾアクチュエータによって管理されるものなどの音波または超音波の機械的な力の使用によってリリースを補助することができる。また、リリースが行われるのに好ましい範囲あるいは境界を与えるために、リリースの直前にレーザ切断ステップをTFSS領域の周りに利用することが可能である。
リリースの後で、バックプレーン補強型TFSSのエッジを、さらなる処理のために適したサイズにまたは最終的なサイズにトリミングする。一般に、切断、せん断、もしくはソーイングによる機械的なトリミングの使用によって、または、CO2レーザ、もしくはパルスYAGレーザまたは類似のなどの1つ以上のレーザの使用によって、または機械的トリミングとレーザトリミングの組み合わせによってのいずれかで、トリミングプロセスを行うことができる。
切断の幾何学的形状および設定に応じて、ならびに切断がバックプレーン側からまたはTFSS側から開始されるかどうかで、トリミング切断を構造にアライメントすることに関していくつかの選択肢が存在する。アライメント選択肢の中には、可視カメラまたは赤外カメラの使用がある(埋め込みアライメントターゲットが使用されるケースにおいては後者である)。リリース層残留物のマーキングは、TFSSの裏側のレーザ処理のプロセスを反射することができる。このように実施したマーキングは、直接的に目に見えるアライメントターゲットとして働くことが可能である。
エッジトリミングの前後で、大容量自動ウェット化学反応機器およびプロセスに曝す前のいずれのケースにおいて、選択した材料とプロセスおよびテンプレートからのバックプレーン補強型TFSSをリリースした後に得られる平坦度に依存して、リリースしたバックプレーン補強型TFSSの任意選択の熱処理および加圧処理は、引き続くプロセスにとって有利である層の最適化された平坦度を与えることに役立つことがある。バックプレーン補強型TFSSは、リリース層のリフローした上面を含むリリース層の残骸を含む。この層は欠陥が多く、ゲッタリングサイトとしても作用する。これは、続くテクスチャステップにおいて、またはテクスチャリングに先立つ別のステップのいずれかで除去される。ポストテクスチャクリーニングを、パッシベーションに先立って、金属および任意選択で有機残留物を除去するために利用する。バックプレーン材料の温度範囲と一致するパッシベーションおよび反射防止コーティングのためのいくつかの選択肢があり、典型的にはこれらのステップは、200〜250℃未満の温度に制限されることがある。
テクスチャリングした表面と接触する最初のパッシベーション層を、二酸化ケイ素またはシリコンサブオキサイド、すなわち、2未満の酸素とシリコンとの間の化学量論比を有するシリコン酸化膜などの酸化膜とすることができる。ここでは、任意のこのような酸化膜層を、化学気相堆積(CVD)またはウェット化学反応を介して堆積するまたは成長させる。あるいは、最初のパッシベーション層を、例えば、CVDを介して堆積したオキシナイトライド、または真性もしくは任意選択でドープしたアモルファスシリコン(a−Si)層とすることもできる。この層を、例えば、CVDまたはPVDを使用して堆積する。反射防止コーティングを、窒化シリコンを使用して施すことができる。けれども、酸化アルミニウムも、特にp型ベースセルに対しては選択肢である。この層を、例えば、CVDを使用して堆積する。
1つ以上の上表面層を堆積した後で、または代替で堆積の間に、おもて表面再結合速度(FSRV)および裏表面再結合速度(BSRV)を減少させるために、アニールを利用することが可能である。このようなアニーリングは、デバイス、特にバックプレーンが許容するサーマルバジェット範囲と一致するような方法で制御される。このようなアニールのために適したプロセスは、フォーミングガスアニールまたは空気中もしくは不活性雰囲気中でのアニール、ならびに表面に十分近くにレーザエネルギーを適切にデポジットするように調製された、および/またはバックプレーン材料の許容されるサーマルバジェットを超えないように十分に短い時間に調製されたレーザアニールを含む。この用途のためのレーザアニーリングプロセスに関する例は、可視または近赤外波長領域におけるパルスレーザアニールである。
引き続くプロセス中におもて表面を保護するため、および取り扱いを改善するために、おもて表面に光学的に透明な保護層を張り付けることが得策なことがある。このような層を、EVAまたはZ68またはZ68のような材料などPE系材料などの熱硬化性材料または熱可塑性材料のいずれかとすることができる。後者を後でリフローすることが可能であり、プロセスのモジュールアセンブリ部分においてガラスへのセルの張り付け用に使用することが可能である。
後の第2のレベルのメタライゼーションのための構造を準備するために、優れた接着のために裏側表面を準備するために追加のステップを挿入することができる。このようなステップは、グリットブラスティングまたはサンディングなどのプロセスを用いる表面の機械的なラフニングを含むことができる。あるいは、接着を促進させる表面の化学処理またはプラズマ処理を利用することが可能である。望まれる場合には、テクスチャリングに先立ってこのような処理を行うことが可能であることに留意されたい。
プロセスステップの次のセットは、ここまではバックプレーン材料の下方に保護されている第1のメタル層へのコンタクトを形成するために働く。レーザに基づくビアドリリングによって、このコンタクト開口を実現することが可能である。このプロセスのために利用する例のレーザは、CO2レーザであるとはいえ、パルスのUV、可視またはIR YAGレーザなどの他のレーザを、バックプレーン材料をアブレーションするために同様に利用することができる。とりわけ、望まれるビアホールサイズおよび利用可能なレーザパルスエネルギーに応じて、単一パルスもしくは繰り返しパルスを使用して同じスポットに直接パルシングすることによって、または複数のパルスを用いてトレパニングすることによって、穴を開孔することが可能である。下にある第1の層メタルに対するレーザドリリングプロセスの最善の選択性に関して、例えば、アルミニウムおよび銀がCO2レーザ波長において非常に反射性であるように、下にあるメタルは、レーザビームに対して非常に反射性であるベきである。CO2レーザ波長に対する吸収特性に応じて、開孔される材料(例えば、プリプレグ)中に染料を有することが有利なことがある。この染料は、バックプレーン(例えば、プリプレグ)中のドリリング速度を増加させるように働き、これによって、下にあるメタルに対する選択性を大きくする。染料はまた、モジュール内のセルの全体的に暗い外観のためにより暗い側壁を有するセルを形成するという視覚的な機能を有する。
レーザドリリングプロセスはまた、開口したビアホール内の残留物のプラズマエッチング、または、例えば過酸化水素水を使用する、もしくはビアドリリングプロセスの開始と終了との間でレーザのさまざまなタイプ間やレーザのパラメータ設定間を変えるビアホールの有機物清浄化、などの他のプロセスと組み合わせることができる。
特に、次レベルの金属堆積がPVDを使用する時などの真空中で起きる場合には、可能性のあるプラズマエッチングを次レベルの金属堆積の直前に実装することができる。分子ラジカルの使用が、次レベルの金属堆積の直前に清浄化プロセスのためにやはり想定される。
プロセスフローのこの実装形態では、ビアホールを、下にあるTFSS上の構造、特に、第1の層メタルのパターン形成したメタルフィンガにアライメントすることが必要である。追加の金属コンタクトがビアの下方の第1の層メタルの上面上に印刷される場合には、レーザプロセスウィンドウを大きくするため、または次の層への優れた接着および電気的コンタクトを高めるために、ビアホールもこの層にアライメントしなければならない。TFSS上のアライメント構造またはターゲットに関して、テンプレート上のパターニングまたはパターン付きの堆積プロセスのうちのいずれか一方の間に、アライメントターゲットを設置することができる。そうでなければ、能動領域のエッジなどの特に対称性を破るところの構造それ自体を、アライメントターゲット用に能動領域を使い果たさずにアライメントを行うために利用することができることが考えられる。補強材料が一般に透明ではないことがあるので、ビアホール開孔プロセスのためのTFSS上のターゲットへのアライメントを、いくつかの方法で実現することができる。第1には、ラミネーションに先立って補強バックプレーン材料中にウィンドウ切り抜きを有することによる。これらのウィンドウは、ラミネーション中にウィンドウ中へとリフローし、アライメントターゲットの視覚認識を可能にするために十分に透明であるいくらかの樹脂を含む必要がある。または第2に、バックプレーン材料を通してまたは薄いシリコンを通してのいずれかでターゲットの位置を決める赤外カメラなどの適切な感度波長を有するカメラを使用して、アライメントターゲットを見ることが可能である。
TFSSを通る透過赤外(IR)照明によってレーザドリリング機器内でターゲットの位置を決める赤外カメラを使用することは、適切な計測を用いればターゲットを位置決めすることとビアを開孔することとの間では動きを生じさせないという利点を有する。ビアのドリリングおよび任意選択の清浄化の後で、裏側補強型TFSSは、ここで第1の層メタルに接触する第2の層メタル形成のための準備が整う。
第2の層メタル形成を説明する前に、別の密接に関係する実施形態を説明する。ラミネーションに先立ってバックプレーン材料中にビアホールを開孔することもやはり可能であることを留意されたい。このプロセスを、後ではビアのプレドリリングと呼ぶ。プレドリリングは、全体的なドリリングプロセスウィンドウにとって有利なことがある。コンタクトホールをプレ開孔する場合には、下にある第1の層メタル材料に対する選択性の要件が、除去されるまたは大いに緩和される。プレドリリングに関して、プリプレグなどのバックプレーン補強材料の1つよりも多くのシートを使用する場合には、適切な低温でタッキングラミネーションを使用してプレドリリングに先立ってシートをタックすることが、得策なことがある。さらに、ビアプレドリリング中に、レーザは、ビアのエッジで局所的な硬化を生じさせることが可能である。これは、開口した穴を閉じがちである樹脂の流出を減少させるように働くことができる。ラミネーション後にプレ開孔した穴がテクスチャ化およびポストテクスチャ洗浄のためのウェット化学反応プロセス中に、適切に下にある第1の層メタルを保護する必要がないはずであるので、上に述ベたマイラー、テオネックスまたは他のPENまたはPET系材料の開孔しない保護シートを追加することは、有用なことがある。領域を開孔した後でビアを開孔する上に説明したビアドリリングプロセスと同様であるにもかかわらず、今回は、プロセス選択性についてはるかに厳しくない要件を有する。プロセス選択性におけるこの利益は、他のポストビアドリリングホール清浄化ステップの必要性を潜在的に取り除くことができる。開孔しない保護シートを付着することの代替として、ガラスまたはポリマーなどの適切な誘電体と接触する第1の層メタルを局所的に覆うことも可能である。適切な誘電体は、テクスチャおよびポストテクスチャ洗浄プロセスに耐えるために十分に化学的耐性があるが、第1の層メタルへの第2の層メタルのコンタクト形成に先立って除去されることがある。ウェット処理が、浸漬によって行われるのではなく、片側ウェット化学薬品付着によって実行されるケースでは、事前に開孔したシートを使用する時には、保護シートを必要としないことがある。
事前に開孔した補強バックプレーンを、その時点でテンプレートによって支持されているTFSSにラミネートする時には、ラミネーションのためにテンプレート上のTFSSとの1つ以上のバックプレーンシートの付着は、アライメントを用いて行わなければならない。アライメントされた位置がラミネーション中に保持されることを確実にするために、1つ以上のシートをレーザまたは他の局所的熱源を使用して表面に事前にタックすることができる。あるいは、第1の層メタル形成の一部として、ビアの領域を、より高く、好ましくは印刷した金属領域でより高くすることができる。妥当な寸法に形成した時にこのような局所的なピラーは、ラミネーション中に所定の場所に事前に開孔したシートを固定するように働くことができる。上に述ベた任意選択の局所的な保護材料は、そのケースでは、このようなピラーの上面に付けられる。このようなピラーを、非常にまばらなパターンにおいて利用することが可能であり、その結果、前記ピラー用の材料使用量を節約する。
第2の層メタル形成
メタルの第2の層は、好ましくは第1の層メタルフィンガに対して基本的に直交する関係に構造が形成され、各端子について1つ以上のバスバーストリップの起きうる例外を有する。直交する関係は、第2の層メタルのパターニングに対する要件を大きく緩和することができる。例えば、第1の層メタルのパターニング要件が、100または数100マイクロメートル以内である場合には、第2の層メタルのパターニング要件は、数ミリメートルから数センチメートルの範囲内である。これは、単純なシャドーマスクまたは非常に安価な印刷、ローラーコーティングまたはスプレイ塗布などの非常に経済的なパターニング技術の使用を可能にする。また、打抜きの大面積メタルフィンガの使用を可能にする。この緩和を、直交関係幾何学的形状についての概念によって可能にし、取り出しのためにビアに達する前に電流が各第1の層メタルフィンガ内で進まなければならない距離は、適度に短い。
下記の実施形態および代替形態を含む第2の層メタルを形成するためのさまざまなプロセスフロー選択肢を開示する。アルミニウムが第2の層へのコンタクト金属である場合には、亜鉛化反応プロセス、好ましくは、二重亜鉛化反応は、アルミニウムの上面上の信頼性のあるメッキのために有利である。PVDプロセスが続く場合には、亜鉛化反応を、プレスパッタエッチング清浄化を行うことによって巧みに回避することができる。
第1の層メタルのコンタクト形成のための適切なPVDプロセスは、次に、プレスパッタエッチングで始まり、Al、NiまたはNiV堆積が続き、任意選択のSn堆積が続く。このPVDプロセスを、シャドーマスクを使用して実行することができ、これによってパターン形成した金属堆積を可能にする。あるいは、第1の層メタル用のパターニングと同様に、レーザアブレーションを使用して堆積後に金属にパターン形成することができる。堆積した金属または金属スタックを任意選択で、その特性を調整するために堆積後にアニールすることができる。
あるいは、ビアを、最初に、アルミニウム、銅、ニッケルまたは銀ペーストなどの導電性ペーストの印刷、例えば、ステンシル印刷によって埋めるまたは部分的に埋めることができる。少なくとも部分的にビアを埋めるために使用した金属の上面上にPVDまたはスクリーン印刷を使用して、シード金属または金属スタックを堆積することが可能である。印刷したペーストを、付けた後でベークするおよび/またはアニールすることが可能である。
このシードの上面上に、金属の残りをメッキすることができる。そしてあるいは、第2の層メタルの直交するメタルフィンガの全体の必要な厚さを、適切なペーストを使用して印刷することが可能である。メッキのケースでは、シード金属の堆積を、上に説明したようなパターン形成した方法で、またはベースのメッキした領域からエミッタを分離するレジスト構造を使用して引き続いてパターン形成するブランケット層として実行することができる。メッキの後で、レジストを剥離し、レジストを使用して保護された領域内のシード層をエッチングバックする。メッキの典型的なシーケンスは、Niで始まり、銅(Cu)が続き、はんだ付け性のためにSnで終わる。あるいは、シード材料に応じて、Cuを直接メッキすることが可能である。はんだ付けのために必要な領域内に、印刷を使用してメッキ後にSnを局所的に付けることもやはり可能である。印刷したシードのケースでは、入手可能な場合には、例えば、スクリーン印刷またはインクジェット印刷を使用して全体の第2の層メタライゼーションを印刷することも可能である。
第2の層メタルについての構造は、端子当たり1つまたは複数のいずれかのバスバーを有すること、またはメタルフィンガだけを含むことが可能である。第2の層メタル用のメッキプロセスのケースでは、モジュールインテグレーションのために必要なコンタクトポイントの数を、メッキの時点における独立したバスバーの数に合わせて調整する。ドッグボーン形状をしたコンタクトフィンガを使用して、セルからセルへのモジュール内のコンタクトを実現することができる。フィンガのみの構造に関して、辺当たりのドッグボーンコンタクトポイントは、端子当たりの第2の層メタルフィンガの数に等しい必要がある。バスバーが利用しないポイントまでバスバーの領域を最小にすることは、バスバーの下方の電気的シェーディングの領域を最小にすることによって、電流を引き出すことが可能なセル上の全体の能動領域を最大にするように働く。
はんだを有するCuまたは薄いNiおよびSnもしくはすず−ビスマス(SnBi)コーティングを有するAlなどのはんだ付け可能なアルミニウムによって、セル間のコンタクト金属ストリップを構成することが可能である。モジュール内の目に見える領域内では、パネルをすべて黒い外観とするために、ストリップを局所的に黒く塗ることが可能である。このような塗料コーティングは誘電体として作用することが可能であり、同様にモジュール内のセルの詰まった配置を可能にする。
オアシス構造の製造
図64および図67は、オアシス構造の例の実施形態を示す。ラミネーションの時点おいてテンプレートによって支持されるTFSS上へと1つよりも多くの構成要素の単一ステップラミネーションによって、オアシスタイプのバックプレーン構造を実現することができる。または、1つ以上のラミネーションステップを別々に使用して、オアシスタイプのバックプレーンを形成することができ、次にテンプレートで支持されたTFSSに付けることができる。後者の経路を選択する場合には、TFSS側またはバックプレーン側のいずれかにいくつかの層を付ける追加の選択肢がある。これは、例えば、TFSSとバックプレーンとの間に接着を与え、スクリーン印刷などのプロセスによって、またはプレラミネーション開孔されるまたはポストラミネーション開孔されるプリプレグなどの誘電体シートをラミネートすることによって付けられる誘電性接着剤にも当てはまる。同じことが、導電性接着剤または導電性エポキシなどの導電性材料にも当てはまる。これを、誘電体がない領域内に付けることができる。すなわち、TFSS上のメタルフィンガとバックプレーン上の次層の金属との間の誘電体中のビアを貫通する導電性コンタクトを与える。これらのケースでは、誘電体が異なる側への2つのラミネーションを受けなければならないので、b−ステージ可能であるような、または少なくとも部分的にリフロー可能であるような少なくとも誘電性接着剤にとって有利なことがある。誘電体が十分に硬化されるように選択されるTFSSにバックプレーンを接続するラミネーションステップのサーマルバジェットをその時には有することが有利なように見える。典型的な誘電体の選択は、プリプレグ材料のシートまたは、ポリエステルもしくは他の樹脂などのスクリーン印刷可能な誘電性接着剤である。
オアシス形成実施形態
図72Bは、オアシス構造を形成するための選択肢を図示する。実施形態は、単一ステップでまたは別々のステップでのバックプレーンの製造および張り付けを含み、その結果、バックプレーンを格納するまたはステージすることが可能である。
第2に、パターン形成した第1の層メタルフィンガを有するTFSSとバックプレーンの大きなメタルフィンガとの間の張り付けに関して、実施形態は、印刷した誘電性接着剤と導電性接着剤またはエポキシとの組み合わせの使用に対するラミネーションに先立ってまたはラミネーション後に開孔されることからその後順に変わることがあるプリプレグなどの誘電体シートの使用を含む。プレラミネーションドリリングのケースでは、バックプレーンが別々に製造される場合には、構造のTFSS側または構造のバックプレーン側のいずれかの上にCAポストを印刷することができる。プレ開孔したプリプレグを使用する単一ステップラミネーションに関して、TFSS上のメタルフィンガ上にCAポストを印刷する。
誘電性接着剤を使用するオアシスラミネーション
オアシスバックプレーンが、テンプレート上のTFSSに張り付けることに先立って製造され、印刷した誘電性接着剤がTFSSをバックプレーンに結合するために使用される図64G〜図64Fに示したものなどのプロセスフロー実施形態では、下記の開始材料を利用することができる。好ましくは、テドラー、マイラー、テオネックスまたは他のPENまたはPET材料、続いて事前に開孔されたアクセスホールを有する誘電体シート(EVA、Z68またはプリプレグ)から作られた化学的に耐性のあるトップカバーシートを、大面積メタルフィンガの構造上へと配置する。メタルフィンガは、放電製造方法、レーザマーキングに続いて(アルミニウムを使用する場合には、KOHなどの材料中での)エッチングによって、例えば、はんだ付け可能なアルミニウム、すなわち、NiおよびSnの薄い層を有するAl、の平坦なシートから構造を形成することができる。またはメタルフィンガを、1つ以上の打抜きダイを使用して打抜くことが可能である。これらの構造はアライメントされ、互いに上にレイアップされ、任意選択で、リリースシートを用いてまたは両側が粘着性のない表面で覆われ、次に一緒にラミネートされる。正しい材料の選択、適切な真空、温度範囲、ランピングおよびラミネーション圧力などのラミネーション条件の選択で、誘電体材料はフローし構造を平坦化する。裏側コンタクト領域内などの平坦化が望ましくない領域は、適切な形状をしたラミネーションコンタクトチャックを設けることによってまたはエッジから材料が流出し穴を閉じることを防止するために(例えば、これらの穴の切断中に大きくしたレーザ出力を使用して)コンタクトホールのエッジを事前に硬化することによって開口したままにすることができる。
接着剤が次にバックプレーンまたはTFSS(図示せず)に付けられるので、B−ステージ可能なまたは少なくとも部分的にリフロー可能な、すなわち、熱可塑性の印刷した誘電性接着剤を使用する。さらに、導電性接着剤を両側に印刷することができる。誘電性接着剤および導電性接着剤はそれぞれ、印刷後に適切な任意選択の熱処理を受ける。コストを低く保つためには、導電性バンプの全体の面積を小さく、好ましくは全体のセル面積の2%未満に保つことである。ラミネーションに先立って、TFSSを能動領域のちょうど外側の領域にプレカットすることができ、ラミネーションの後に行われるリリースでのエピ層の指定した破壊点を与える。その後で、バックプレーンおよびテンプレート上のTFSSを一緒にラミネートする。このプロセスでは、TFSS上のメタルフィンガとバックプレーン上の大きなメタルフィンガとの間の電気的なコンタクトも形成する。ラミネーションの後で、プルート構造について説明したリリースと同様に、構造を機械的リリースによってリリースする。リリースしバックプレーンを補強したデバイスのエッジもプルート構造について説明したトリミングと同様にトリミングすることができる。好ましくは、適切な化学的に耐性のある誘電体によって、トリミングを行うバックプレーン構造のエッジを封止する。その後で、プルート構造と同様に、TFSSの太陽が当たる側でリリース層の残留物を洗浄で取り去り、表面をテクスチャリングし、ポストテクスチャ洗浄し、およびパッシベーションする。セルに対する最終プロセスとして、バックプレーンの大きなメタルフィンガへのコンタクトアクセス点を、例えば、カバーシート材料のレーザドリリングによって開口する。
導電性はんだバンプを置くことができる。またはモジュールアセンブリ製造のために使用するストリンガからのはんだを、セルへのコンタクトを形成するために使用することができる。それ自身のはんだバンプを受けるセルは、個々のセルを試験することができ、合格したセルを、引き続いてモジュールへとアセンブルことが可能であるという利点を有することができる。しかしながら、適切なプローブカード配置を使用してこのような試験を行うことができる。
誘電体シートを使用するラミネーション
上に説明した印刷した誘電性接着剤プロセスを使用するラミネーションの代替として、誘電体シート、例えば、事前に開孔したプリプレグ材料も大きなメタルフィンガ含んでいるバックプレーンとTFSSとの間の接着剤として使用することができる。図75の断面図は、このプロセスを示す(2ステップラミネーションを用いる)事前に開孔した誘電体シートを使用するオアシスフローを図示する。ここでは、所望の領域内に導電性接着剤を印刷し、印刷した導電性接着剤のグリッドにアライメント式で事前に開孔した誘電体シートをレイアップする。そのプロセスに関して、導電性接着剤がB−ステージ可能である。その結果、レイアッププロセス中に導電性接着剤を乾燥させ滲ませないことができるが、ラミネーション中には依然としてリフローし、TFSS上の金属とバックプレーン金属との間の優れたコンタクトを与えることが望ましい。ラミネーション後のプロセスの残りは、印刷した誘電性接着剤を使用する以前に説明したケースと同様である。
オアシス構造のための単一ステップラミネーションプロセス
ラミネーション中に適正なサーマルバジェットおよび熱シーケンスを有すると、バックプレーンラミネーションおよびテンプレート上のTFSSへのバックプレーンのラミネーションのために別々のステップを有することよりはむしろ、単一ステップでオアシス構造のすべての構成要素を張り付けることが可能である。
図75の断面図は、(単一ステップラミネーションを用いる)事前に開孔した誘電体シートを使用するオアシスフローを図示する。ここでは、導電性接着剤は、TFSS側に印刷される必要がある。誘電性接着剤を使用するケースでは、好ましくは、導電性接着剤を印刷することに先立ってこの接着剤もTFSS側へと印刷される。プリプレグシートなどの誘電体シートを使用するケースでは、このシートは、単一ステップラミネーションのために事前に開孔される必要がある。上の両方のケースでは、導電性バンプを、事前に開孔した誘電体シートをレイアップすることに先立って印刷し、事前に開孔したシートを、事前に形成したバンプにアライメントする。バックプレーンの大きなメタルフィンガをレイアップし、穿孔したトップ誘電体シート(例えば、EVA、Z68またはプリプレグ)をレイアップし、最後にカバーシートを追加する。次に、関係する材料の要求されるプロセスパラメータに適合するプロセスプロファイルを使用して、ラミネーションプロセスを実行する。典型的なラミネーション温度は、300℃未満さらには250℃未満である。このラミネーションの後で、オアシス構造について上に説明したプロセスフローに似た様式でさらなる処理が進む。
プルート−オアシスハイブリッド構造のためのプロセスフロー
図77A〜図77Dは、プルート−ハイブリッド構造についてのプロセスステップを図示する。図77Aは、プリプレグビアドリリングプロセス中のプルート−ハイブリッド構造の断面である。図77Bは、金属堆積およびアイソレーションプロセス中のプルート−ハイブリッド構造の断面である(金属アイソレーションは図に平行であり、それゆえ図示されない)。一実施形態では、Al(+NiV+Sn)PVDおよびアイソレーション。図77Cは、導電性エポキシスクリーン印刷およびバックプレーンラミネーション後のプルート−ハイブリッド構造のベースコンタクトを通る断面である。図77Dは、導電性エポキシスクリーン印刷およびバックプレーンラミネーション後のプルート−ハイブリッド構造のエミッタコンタクトを通る断面である。図73A〜図73Eに図示したように、ハイブリッド構造のためのプロセスフローを、パッシベーションおよび表面の準備の後でレーザドリリングによってビアホールを開口するプロセスに至るまでおよびこれを含むプルートに基づくフローに実質的に同じにすることができる。プルート構造およびフローに対する相違ならびにオアシス構造およびフローに対する類似性は、メッキプロセスを使用して高くするメタライゼーション構造よりはむしろ、図77のハイブリッド構造がバックプレーン補強型TFSSに張り付けられた大きなメタルフィンガの構造を含むことである。そうするために、プルートフローにおいて説明したようなビア清浄化後に、第1に、金属コンタクトは、ビアの底部から誘電体、例えばプリプレグの上面上へとルーティングされる。これを、1つ以上のステップで実行することができる。いくつかのステップを使用する場合には、ビアは、最初に、ステンシルまたはスクリーン印刷したペーストを使用して少なくとも部分的に埋められる。次に、メタルフィンガを、例えばスリット付きのシャドーマスクを通してPVDによって堆積する。あるいは、金属のルーティングのプロセスが、1つのステップでまたはシーケンスで実行される場合には、可能性のある有機残留物および自然酸化膜を除去するために、プレスパッタエッチングおよび/またはアッシングを行うことによって、ビアの底部の表面を、例えば、PVD堆積の直前に洗浄することが可能であり、有機残留物および自然酸化膜の両者は、高いコンタクト抵抗または劣悪なコンタクト信頼性の一因になることがある。
フィンガに対するむしろ粗い寸法要件(ミリメートルからセンチメートル)のために可能であり得るシャドーマスクを介してメタルフィンガを堆積することの代わりに、金属をブランケット金属として堆積することができ、その後で、例えば、レーザアブレーションを使用してパターン形成することもできる。
TFSS上のメタルフィンガに直交して走り、極性当たり1つ以上のバスバーを含む大きな幅のメタルフィンガの上面上に、プルート構造に関して説明したように、任意選択で、導電性バンプのアレイまたはエポキシを印刷する。オアシス構造と同様に、例えば、Niおよび/またはSnコーティングを有する、例えば、はんだ付け可能なAlから作られた大きなメタルフィンガを有する追加のバックプレーンがある。大きなメタルフィンガを、事前に製造しその後既に補強されたTFSSにラミネートすること、または単一ステップでラミネートすることのいずれかができる。バックプレーンそれ自体は、例えば、誘電性接着剤によって所定の位置に保持される大きな幅のメタルフィンガから構成され、これは、例えば、ガラス、ポリマー、セラミックまたは金属の裏打ちプレートを有することができる。セルから別のセルへのまたは一般的にモジュール内でのコンタクト形成のために、大きな幅のメタルフィンガの上方の層内に穴を有すること、またはセルのエッジを超えて延びる大きな幅のメタルフィンガを有することのいずれかが、有利なことがある。このようなメタルフィンガを、機械的にまたはレーザマーキングを使用してエッチング領域を画定した後で、オアシス構造についてと同様の方法で、例えば、EDM、打抜き加工、スリット切断または適切なエッチングによって作ることができる。構造的な観点から、バスバーになる領域を両方の極性に接続するような方法でプロセス全体を通して構造を維持し、セルアセンブリの前にだけそれぞれの側のコンタクト極性を切断することが、有利なことがある。これは、大きな幅のメタルフィンガグリッドがセルに比較して大きなサイズになるように選択される場合には、特に直接的なプロセスである。
別の代替案として、その後、大面積のメタルフィンガを並行して処理しラミネートすることができるところでは、このようなメタル接続を、モジュールアセンブリへと一体化することができる。補強したセルの最初のメタルが、セルのテスティングおよびソーティングを既に可能にするので、これは可能である。
ハイブリッド構造に関して、セル上の薄いフィンガとバックプレーン上の広いフィンガとの間の金属ラインの直交転送を、セル上のメタルフィンガから第2の層の堆積した、もしくは印刷した金属へと、または印刷した金属からバックプレーンアルミニウム箔フィンガへのいずれかで実装することができることに、留意されたい。後者を用いると、第2の層の堆積した、もしくは印刷した金属とアルミニウム箔フィンガとの間に別の誘電体を実装することが、有利なことがある。
浸漬コンタクトボンディング構造のためのプロセスフロー
オアシスタイプの構造と同様に、浸漬コンタクトボンディング構造を処理する。主要なプロセスステップの相違を、図69および図70に示し、下記のように説明することができる。すなわち、TFSS上の薄いメタルフィンガのパターニングの後で、上記の構造について説明したように、導電性バンプのアレイを用いてこれらのフィンガを覆う。この場合には、オアシス構造と同様に基本的に2つの代替形態がある。1つは、事前に製造したバックプレーンへの導電性バンプのアレイを有するTFSSのボンディングであり、第2は、バックプレーンのすべての構成要素のレイアップおよび共通ラミネーションである。両者の代替形態は、オアシスフローにおいて説明したような構造およびフローの選択肢を有する。両者のケースでは、浸漬コンタクトボンディング構造に関して、接着性誘電体は、導電性バンプのアレイに対して相補的にパターン形成されたビアホールのアレイを含まない。むしろ、誘電体を、ランダムにまたは規則的に穿孔されたアレイとして付け、ラミネーションにおいて生じるリフロー中に誘電体の軟化に際して貫通して穴を開けるように導電性バンプ用の十分な開口領域を提供する。あるいは、誘電体は、まだ事前に穴を開けられず、適切に適合する誘電体の選択で、バンプが依然として誘電体に穴を開けることができ、誘電体を貫通する低コンタクト抵抗コンタクトを形成し、TFSSメタルフィンガとバックプレーン上の大きな幅のメタルフィンガとの間にコンタクトを形成するように働くように、導電性バンプを成形する。
上述のように、開示した主題は、ソーラーセルのメタライゼーション、具体的には、低損失相互接続およびソーラーセルからの高効率光生成電気収集のためのアクティブ半導体フォトン吸収体に関係するソーラーセルのマルチレベル(2つまたはそれ以上)のメタライゼーションに対する新規の構造および方法に関する。一部のソーラーセル実施形態において、半導体フォトン吸収体は、限定ではないが、裏面コンタクトメタライゼーションアーキテクチャを有する単結晶シリコンソーラーセルを含む、結晶シリコンとすることができる。加えて、主として電気絶縁支持バックプレーンと共に二重層メタライゼーションに関して説明したが、開示した主題によるレベル間メタライゼーション電気絶縁体として使用される電気絶縁層(2レベルメタライゼーション用)または複数の層(2よりも多くのメタライゼーションレベルを含むメタライゼーション用)を備えたソーラーセルの裏側(または太陽の当たる側と反対の側)上で(「スタックされる」メタライゼーション材料から構成される単一のメタライゼーション層を含む場合でも)あらゆる数のメタライゼーション層(例えば、2、3、4、またはそれ以上のレベルのメタライゼーション)を利用することができる。さらに、一部の実施形態において、各レベルでの金属または電気インターコネクト層は、限定ではないが、ブランケット金属堆積(例えば、プラズマスパッタリング、蒸着、サーマルまたはアークプラズマスプレイ、またはイオンビーム堆積などの物理気相堆積またはPVD技術によって、あるいは、メッキなどの電気化学的析出プロセスによって)の後、パルスレーザ金属アブレーションまたはリソグラフィ(例えば、パターン形成エッチングレジスト層のスクリーン印刷を用いた)と後続の金属エッチングの組み合わせ、およびレジスト層の剥離など、複数の利用可能な技術を用いて独立してパターン形成することができる。あるいは、メタライゼーションパターンは、メタライゼーションプロセスの間にメタライゼーションプロセス自体によって(インサイチュパターニングと呼ばれる)形成することができる。インサイチュパターニングの実施例は、メタライゼーションペーストのスクリーン印刷(所望のパターンを形成)、インサイチュシャドーマスクを用いたPVD、その他を含む。金属層は、誘電体層を電気的に絶縁することによって分離され(2つのレベルのメタライゼーションに対して1つの電気絶縁層、一般に、N個(Nは2以上の整数)のレベルのメタライゼーションに対してN−1個の電気絶縁層を用いる、)、誘電体層を貫通して形成される導電性材料(よって、導電性ビアプラグを形成する)で部分的にまたは完全に充填されて1つまたは複数の電気絶縁層(ビア)を貫通して形成されるレベル間ビアホールの特定パターンを用いて共に接続することができる。導電性ビアプラグ(予め指定された相互接続パターンによる2つの隣接するメタライゼーションレベルを接続する)は、より高いメタライゼーションレベルを形成するのに利用される同じメタライゼーション材料およびプロセスを用いて形成することができる。各金属層は、例えば、アルミニウムおよび/または銅から構成される低コスト高導電率のメタライゼーション材料である、Al、Cu、Ag、Ni、Sn、または金属の組み合わせなどの類似または異種の金属タイプから構成することができ、異なる寸法にパターン形成することができる。また、以下では、アルミニウム(Al)に関して説明しているが、場合によっては、何らかの導電性メタライゼーション材料(銅、亜鉛、または銀を含み、但し、銀は高価であり、ソーラーメタライゼーションにおいてアルミニウムおよび銅のようなより低コスト高導電率の材料選択肢に比ベて望ましくない場合がある)が実施可能な材料選択肢となる可能性がある。
1つの実施形態において、メタライゼーション構造は、二重レベルメタライゼーション構造を利用することができ、ソーラーセル吸収体基板に近接した第1のレベル(または低レベル)のメタライゼーションは、メタル1またはM1と呼ばれ、M1の上面上の第2のレベル(または高レベル)のメタライゼーションは、いくつかの実施形態では電気絶縁層により第1のレベルから分離されており、メタル2またはM2と呼ばれる。例えば、パターン形成されたM1は、ソーラーセル基板上でM1とM2を分離する電気絶縁層またはシートの下に直接形成することができるが、M2は、ソーラーセル基板に張り付けられた電気絶縁層またはシートの上面上に形成される(換言すると、電気絶縁層またはシートは、M1とM2の間に挟み込まれ、M1は、ソーラーセル基板と電気絶縁層またはシートの間に挟み込まれる)。1つまたは複数の電気絶縁層またはシートとM1の上のメタライゼーション構造との組み合わせは、ソーラーセルバックプレーンと呼ぶことができる。裏面コンタクトソーラーセルにおける単一金属構造を覆う二重層(二層)メタライゼーション構造の利点は、限定ではないが、以下のことを含む。
二重レベルメタライゼーションは、M1層をより薄く、M1パターンラインをより狭幅にすることができ、したがって、ソーラーセルの充填率を損なうことなくより高いシート抵抗のM1を使用することができる。このことは、電流が、ビアを通ってM2に引き上げられる前にM1上の比較的短い距離(例えば、数百〜数千ミクロン単位にわたる)で局所的(全体的ではなく)にのみ流れることに起因し、この場合、メタライゼーションラインは、より幅広でより厚くなり、より低い電気抵抗を有することができる。
i.より薄いM1は、吸収体層にかかる応力が小さく、その結果、降伏の堅牢性を提供し、また、ソーラーセルのより大きな面積へのM1のスケーリングを可能にする。このことは、ソーラー産業が、コスト低減(いくつかの場合では、裏面コンタクト/裏面接合結晶シリコンソーラーセル設計を有するような性能向上)によって引き起こされてより脆弱でより薄い吸収体層を目指している場合に特に重要とすることができる。
ii.より狭幅のM1は、裏面コンタクト/裏面接合ソーラーセルにおけるエミッタ部分を増大させることができ、これにより、電気的シェーディングの低減およびセル変換効率の向上を可能にする。
二重レベルメタライゼーションはまた、種々の新規セルアーキテクチャで必要とされる可能性がある、M1および他の設計のセグメント上の融通性を可能にする。
二重レベルメタライゼーションは、M1バスバーの排除に起因して電気的シェーディングが排除され、そのため、セル効率がさらに向上したバスバーレスM1を可能にする。
M1に対するM2の直交変換(すなわち、M2フィンガは、M1フィンガに対して垂直である)と共に使用したときに、二重レベルメタライゼーションは、M2のライン寸法をM1の寸法から切り離す。直交変換は、M2寸法をM1寸法とほぼ異なるように、具体的にはM1寸法よりも粗くすることができ、これによりはるかにコスト的に効率のよい堆積方式を用いてより厚いM2の堆積を可能にする。このことはまた、M2メタルフィンガの数をM1フィンガの数よりもかなり少なくすることができることを意味する。
現行のソーラーメタライゼーション設計は、多くの場合、能動吸収体に隣接して接続された単一のメタライゼーションレベルを使用している(例えば、シリコンソーラーセル上の熱したペーストメタライゼーション)。従来のおもて面コンタクト型ソーラーセルアーキテクチャにおいて、ソーラーセルの各側は、セルに光を透過させるようパターン形成されたおもて側/太陽が当たる側(太陽に面するソーラーセル側面)の金属(通常は、スクリーン印刷された銀ペーストメタライゼーション)と、パターン形成または非パターン形成の裏側/太陽が当たらない側(太陽に面する側と反対側のソーラーセル側面)のメタライゼーション(通常はスクリーン印刷されたアルミニウムペーストメタライゼーション)とを備えたメタライゼーション層を有する。例えば、典型的なおもて面コンタクト結晶シリコンソーラーセルは、セルの太陽が当たる側に1つのパターン形成されたエミッタメタライゼーション層(多くの場合、銀を含む)と、パターン形成された誘電体層を含むセル裏側に1つのブランケットベースメタライゼーション層(多くの場合、裏面電界またはBSF層としても機能するアルミニウムを含む)、または任意選択の局所裏面電界またはBSFを含むスクリーン印刷アルミニウムとを有することができる。裏面コンタクト型裏面接合(互いに組み合わせられた裏面コンタクトまたはIBCとしても知られる)ソーラーセルにおいて、単一のメタライゼーション層を太陽が当たらない側に(例えば、IBCパターンとして)パターン形成し、太陽が当たる側にはメタライゼーションが無く、したがって、太陽光の遮られない理想的な最大カップリングのため太陽が当たる側に光学シェーディングが無いようにすることができる。本明細書で開示されるマルチレベル(例えば、二重層または二層)メタライゼーション実施形態は、おもて面接合/裏面コンタクトまたは裏面コンタクト/裏面接合ソーラーセルなどのあらゆるソーラーセルアーキテクチャに適用可能であるが、裏面コンタクト/裏面接合(BC/BJ)結晶シリコンアーキテクチャ(IBCソーラーセルとしても知られる)に関して説明されている。
少なくとも2つのレベルのメタライゼーション、例えば、直交M2−M1パターン(直交して整列した交互するメタライゼーション層、または互いに実質的に垂直なインターコネクトフィンガを有する隣接するメタライゼーションレベル)で設計され、かつ1つまたは複数の電気絶縁層、すなわち、2レベルメタライゼーションスキームにおいてM1とM2の間が1つの電気絶縁層により分離されるマルチレベルメタライゼーションスキームは、既知のソーラーセルメタライゼーション構造および製造法に優る、メタライゼーション、効率、およびセル処理上の数多くの利点を提供する。例えば、2つのメタライゼーションレベルM1およびM2が1つの電気絶縁層またはシートにより分離される二重層(2レベルとしても知られる)メタライゼーション実施形態において、M2と電気絶縁層またはシートとの組み合わせ(本発明においてソーラーセルバックプレーンとしても知られる)は、半導体吸収体に対する補強および支持構造としての役割を果たし、特に極薄ソーラーセル吸収体に適用可能な利点として役立つことができる。このようなバックプレーン補強および支持構造は、剛性がある(例えば、ガラスまたはセラミック層などの非ポリマー絶縁体を用いて)か、または柔軟性/可撓性がある(例えば、プリプレグ材料のようなポリマー材料を用いて)ようにすることができる。マルチレベルメタライゼーション、特に、高効率結晶半導体ソーラーセルにおける二重層メタライゼーション(詳細には、裏面コンタクト/裏面接合ソーラーセル)に関する追加の利益および利点には、以下のことが挙げられる。
M1メタルは、既知の吸収体メタライゼーションパターン(裏面コンタクト/裏面接合セルにおける数十ミクロンの金属厚さを用いる)と比ベてはるかに薄く(例えば、数百〜数千nm厚さの範囲で)作ることができる。より薄いM1メタライゼーションは、シリコン吸収体上に加わるM1からの応力軽減をもたらし、特に、約100ミクロンを下回る吸収体厚さを有する極薄セルおよび/または125mm×125mmよりも大きいセル面積を有する大面積セルに対して極めて望ましい利点をもたらす。M1がコンタクトメタライゼーションおよび大域的集電ではなく局所域集電に使用されるので、開示した主題によるマルチレベルメタライゼーションスキームによってM1厚さ要件がセル面積から切り離されることにより、より大面積スケーリングが実施可能となる。したがって、マルチレベル金属配置(例えば、セル上により薄いM1層を備えた2レベルの金属配置、およびM1メタルの厚さがセル寸法または面積と本質的に無関係である)の応力低減により、シリコン(またはいずれかの結晶半導体)基板をはるかに大きな面積(例えば、125mm×125mmよりも大きく、最大で1000cm2またはそれ以上のセル面積)およびはるかに薄い吸収体厚さ(例えば、100ミクロンよりも薄く、約1ミクロン〜約100ミクロンの範囲で)までスケーリング可能にすることができる。マルチレベル金属配置は、M1層がソーラーセル電力の領域的抽出のためのコンタクトメタライゼーションとして機能し、比較的低電気導電率のラインにわたる長い距離にセル電流を流す必要がないので、M1をより薄くすることができる。例えば、電流(または電力)は、M1から導電性プラグを通じて次の上側金属レベル(はるかに厚くおよび/または幅広であることで極めて低抵抗とすることができる)までソーラーセルの面積全体にわたり垂直方向に局所的に引き上げられ、1つの実施形態において、電流または電力は、M1とM2の間に形成されかつ導電性ビアプラグが充填(または部分的に充填)された誘電体層内の周期的ビアホールを通ってM1からM2に引き上げられる。M1ラインに沿ったビアプラグ/ホールは、M1ラインセグメントのオーミック損失が無視することができ、ソーラーセルの充填率に有意な悪影響を及ぼさないようにスペースを持たせて位置付けることができる。
−M1がより狭幅で微細となる。多くの場合、BC/BJセルの大きな裏側表面積は、絡み合ったベース拡散を有するエミッタ領域で覆われる。1レベルメタライゼーション設計において、セルシャントを回避するために、ベースM1メタルは通常、ベース拡散内部に入れ子にされ、最小ベース金属抵抗要件が広いメタライゼーションパターンを必要とする間のセルシャントを回避するようにする。したがって、ベース拡散領域は、ベース金属の幅よりも大きく、BC/BJセルのエミッタ部分の低減を生じさせる。エミッタ部分を増大させる能力が制限されることで、電気シェーディングを最小にする極めて高いライフタイム要件をもたらし、その結果、より高いセル製造コストをもたらすことになる。したがって、より狭幅のM1パターンを利用する能力は、マルチレベル金属設計により、有意なコスト節減を可能にし、電気的シェーディングの最小化に役立つ。
本明細書で開示されるマルチレベルメタライゼーションシステムおよび方法は、完全に接続されたメタル1を必要とせず、したがって、M1設計およびパターニングの融通性を向上させる(例えば、ベースおよびエミッタライン/フィンガとして使用されるセグメント化した/離散的M1ライン)。例えば、ブロック間レベルの接続性を上側のM2レベルに提供しながら、M1上の全面積の定義された小ブロックをフォトン吸収体にのみ接続することが可能である。設計融通性に関する追加の利点は、セグメント化したM1パターンおよびより短い長さのM1ラインセグメント(ソーラーセルの全面積にわたる既知のメタライゼーションパターンと比ベて)を可能にする。これらのM1設計は、メタル1と半導体との間の温度係数の不整合から生じる信頼性問題を軽減し、ソーラーセル構造をクラックに対してより堅牢にし、したがって、より高い製造歩留りおよびより良好な現場での信頼性を提供することができる。加えて、M1でのブロックレベルで絶縁された接続性は、単一の従来サイズのソーラーセル内に複数のミニセルの形成を可能にし、各ミニセルは、その固有のM1パターンを有しかつM2接続を通じて互いに接続される。
−マルチレベルメタライゼーションスキーム(例えば、薄いオンセルM1メタライゼーションと、アルミニウムおよび銅を含む厚いM2メタライゼーションとを備えた2レベル金属スキーム)は、メタライゼーションの必要な異なる特質を切り離し、したがって、メタル2のコストを低減する。一般に、従来のBC/BJセルでは、メタライゼーションは以下の複数の機能を果たす。
i.n型およびp型拡散(ベースおよびエミッタコンタクト領域)とは無関係の良好なコンタクト抵抗を提供する。
ii.約1ミクロン以上の波長を有する赤外フォトンに対して高品質バックミラーとしての役割を果たすため効果的な赤外(IR)反射性を有する。
iii.大量生産に対するコスト効率を維持しながら、低抵抗率(例えば、パターン厚さまたは材料選択により達成される)を有する。これは、銀のような高価な高導電性材料を避けて、アルミニウムおよび/または銅の選択をもたらすことができる。
iv. Cuのようなシリコンにおけるライフタイムを大幅に短くする金属タイプを使用する設計において、金属スタックは、シリコンライフタイム低減金属が、Ti,TiW,TiN,Ta,またはTaN,TiW,もしくはNiなどの有効拡散障壁材料によってシールドされるように設計すベきである。あるいは、アルミニウムなど、シリコンに適した高導電性で低コストの金属を用いることもできる。
−2レベルソーラーセルメタライゼーションスキームのようなマルチレベルメタライゼーション設計は、特に低コンタクト抵抗ならびに効率的な光トラッピングのためのバックミラー特性に関連した特質についてM1を選ぶことを可能にし、M2の特質は、低コストで高電気的(および熱的)伝導性について選ぶことができる。
ソーラーセル用のマルチレベルメタライゼーションの例示的な実施形態において、異なるレベルに対するメタライゼーション層は、同じ方向に沿って、あるいは、互いに対して直交または垂直など異なる方向に独立して延びるような向きにすることができる。例えば、より厚くより高導電性のM2は、より薄いオンセルM1に垂直に延びることができ、あるいは、M1に平行に延びることができる。M1に垂直に延びるM2(M1に対するM2の直交変換と呼ばれる)の利点は、M2の幅ならびにピッチ寸法がM1よりもはるかに粗く大きくなり、結果として、M2電極の数をM1電極の数よりも少なく(特定の設計要件および仕様に応じて約5〜50倍の範囲で)することができることである。このメタライゼーションアーキテクチャの特質、すなわち、直交インターコネクト変換と併せた2レベルセルメタライゼーションの組み合わせは、M2の堆積およびパターニングの制限を軽減することにより利点をもたらすことができる。より厚いM2でのはるかに粗い寸法は、ダイレクトライトサーマルスプレイ、Cuまたはアルミニウムペーストなどの金属ペーストのスクリーンおよびステンシル印刷、銅またはニッケルインクのようなエアロゾル印刷金属インクによるインクジェットまたは堆積など、比較的安価/簡便なダイレクトライト技術を用いたM2の堆積を可能にする。あるいは、M2は、上述の技術のうちの1つ(PVDなど)によるシード層の形成と、銅などの高導電性金属の電気メッキとの組み合わせによって形成することができる。M2のピッチおよび幅についての構造的検討事項は、M1の抵抗によって決定付けられ、該M1の抵抗は、電流を上方に引き出すためのビアの間隔を決定付けることができる。
粗さおよび効率は、ソーラーセル製造におけるマルチレベルメタライゼーションの作成方法を選択する際の重要な評価基準である。高効率でコスト効率の高いソーラーセルにおけるマルチレベルメタライゼーションを形成するいくつかの方法について、M1とM2の間に挟まれた電気的絶縁層または誘電体層を有する二重レベルメタライゼーションに関して以下で説明するが、これらの方法はまた、マルチレベルメタライゼーションにも拡張することができ、従来の厚みのあるソーラーセルと極薄シリコンソーラーセルの両方に等しく適用可能である。電気絶縁層はまた、ソーラーセルの補強および支持構造の一部としての機能を果たすことができる。本明細書で開示される方法および構造を利用した極薄ソーラーセルは、約1〜100ミクロンの厚さ範囲結晶シリコン層を有し、ワイヤーソー、エピタキシャルリフトオフ、陽子注入および剥離、応力誘起剥離、レーザウェハ加工、または他の薄いシリコンスライシング技術によって形成できる極薄結晶シリコンソーラーセルを含む。また、記載した各メタライゼーション法を統合、組み合わせ、または別の順序で配列することができるが、便宜上、二重レベルメタライゼーションを形成する方法は、次の4つのカテゴリーに編成することができる。
(1)M1を堆積しパターニングする方法
(2)M1の上面上に電気絶縁誘電体層またはシートを形成する方法(例えば、堆積、ラミネーション、その他により)
(3)M1をM2と接続するよう誘電体を貫通するビアホールを形成し、次いで清浄化する方法
(4)M2を堆積しパターニングする方法
M1を堆積しパターニングする方法に関連するステップ1において、プラズマスパッタリング(または蒸着)の後に、レーザアブレーションまたはウェットエッチを用いたパターニングもしくはパターン形成スクリーン印刷(あるいはステンシル印刷またはインクジェット印刷もしくはエアロゾル印刷)などの技術を用いてM1を堆積することができる。PVD(プラズマスパッタリングまたは蒸着)などのブランケット堆積技術において、後続のパターニングは、レーザ金属アブレーションを用いて、あるいは、標準的なリソグラフィおよびエッチング技術(レジストのスクリーン印刷の後にウェットまたはドライエッチングなど)を用いて実施することができる。さらに、スクリーン印刷またはインクジェット印刷(あるいはステンシル印刷、またはエアロゾルジェット印刷)を用いる場合、M1層は、適切な金属ペーストまたは金属インクを用いてパターン形成金属層として直接的に形成することができる。M1メタルの選択は、シリコンにおけるn型およびp型拡散の両方に対するミラー品質および低抵抗率のコンタクトを確保すベきである点に留意されたい。例えば、M1用の金属材料は、高電気導電率、高IR反射率、シャントの無い良好なオーミックコンタクト、および低コストである理由から、アルミニウム(またはアルミニウムとシリコンの合金)を含む。M1メタルスタックとして銀および薄いニッケルに続くアルミニウムを用いることができるが、銀は通常、例えばアルミニウムと比ベてコスト高を伴うので、より低コストでの製造およびベースおよびエミッタ領域両方に対して良好なオーミックコンタクトを提供するためにアルミニウムを用いることができる。
M1とM2の間に電気絶縁誘電体層またはシートを形成することに関連したステップ2において、設計検討事項には、材料の選択ならびに堆積または形成方法が含まれる。材料選択の検討事項は、誘電体材料が従来のメタライゼーションコストの何分の一でしかないような最適にした材料コストを含めるベきである。例えば、限定ではないが、従来のプリント回路(PC)基板において接着および構造的支持層として機能するプリプレグ材料を含む、いくつかのプラスチックおよびポリマー材料は、これらのコスト目標に適合する。さらに、誘電体材料の選択の検討事項および制限は、誘電体材料が挿入されて形成されるプロセスフロー中のどのステップか、およびM1とM2の間の電気絶縁中間層としての働き以外の、追加の機能を提供するかどうかに依存することができる。例えば、薄いシリコンセル(100ミクロン未満の厚さを有する結晶シリコンなど)において、この誘電体層はまた、セルの処理中ならびに光発電(PV)モジュールのラミネーションプロセス中および現場でのPVモジュール寿命期間に薄いシリコンを扱い支持するための恒久的補強/支持層として機能することができる。この実施例において、誘電体層は、薄いシリコンソーラーセル吸収体層に絶縁材料のシートをラミネートすることによりソーラーセル形成プロセスの中間で挿入することができ、これは、終了時のソーラーセル形成プロセスステップとの適合性ならびに薄いシリコンを支持する器用性を確保するために材料選択に対する追加の制限を加える。従来の薄いシリコンに関連した別の実施形態において、誘電体層は、メタライゼーション段階に至るまで主ソーラーセル製造プロセスステップの完了後にラインの端部にて形成することができるので、誘電体材料の選択に対する制限を有意に緩和することができる。さらに、誘電体材料の追加の機能として、セルレベルのインテリジェンスを構築する能動部品および電子部品は、誘電体(例えば、プリプレグ型の誘電体材料)上に位置付けることができる。一般に、電気絶縁層は、可撓性のソーラーセルおよび可撓性のソーラーモジュールを生成するために柔軟/可撓性(プリプレグまたは他のポリマー材料など)であるか、あるいは、剛性のあるソーラーセルおよびPVモジュールのため剛性(ガラスまたは他のセラミック材料)とすることができる。
この電気絶縁層を形成するためのいくつかの方法が本明細書で記載される。本形成方法は、例えば、限定ではないが、サーマルスプレイ、誘電体スピンオン、スクリーン印刷、またはステンシル印刷などのダイレクトライト技術を用いて誘電体層を堆積する段階を含む。本形成方法は、例えば、電気絶縁誘電体膜または積層体がリフローされてM1微細構成と実質的に共形になりこれを完全に内包するように圧力および温度の組み合わせを加えることにより、M1レベルを含むソーラーセルの裏側表面(太陽の当たる側と反対の)上にポリマーまたはプラスチックシートのような安価な薄い誘電体シート(例えば、25ミクロン〜200ミクロン厚さ)をラミネートする段階を含む。1つの実施形態において、このラミネーションは、特定のPC基板用の構成単位層として一般に利用されるアラミド繊維および樹脂から作られたプリプレグ材料とすることができる。他のプリプレグ材料を用いることもできる。
M1とM2を接続するビアホールを形成することに関連したステップ3において、従来のマスクおよびドライプラズマエッチングなどの技術を用いることができる。あるいは、ビアホールは、誘電体材料の機械的打抜き加工などの機械的形成により形成することができるが、特に薄いシリコンセルの場合、また従来の厚いソーラーセルにおいても下にあるシリコンへの損傷を避けるよう注意を払う必要がある。さらに別の実施形態において、レーザ処理を利用して、パルスレーザビームを用いてビアホールを開孔することができる。例えば、CO2〜10ミクロン波長レーザのようなコスト効率の高い高速レーザは、数秒〜数十秒またはそれ未満でセル基板誘電体シート全体を覆う超高速でプリプレグ積層体シートを貫通して開孔することができる。レーザが貫通して打抜くことなく下にある金属のところで正確に止まるベきであるので、レーザと下にあるM1メタルの選択は、本質的な適合性を有するように行うことができる。1つの解決策は、M1メタルにより高反射されるレーザを用いることであり、したがって、レーザエネルギーは、M1メタルに吸収されず、終点検出および開孔プロセスに対する自己制限終端の役割を果たす。さらに別の実施形態において、ストップ層の厚い金属パッド(例えば、アルミニウムおよび/または銀ペーストから作られる)を印刷した後、ビアホールが開孔される場所にのみ誘電体が堆積/ラミネーションすることができる。M1およびその後に堆積されるM2両方に対してこれら金属パッドのコンタクト抵抗が、ソーラーセルの全直列抵抗に対して無視できるほど十分に低くなるように注意を払う必要があり、例えば、導電性エポキシパッドをM1の上面上に印刷し、レーザドリルストップ層として機能を果たすことができる。金属パッド用のエポキシは、スクリーン印刷などの公知の方法を用いて付加することができる。さらに別の実施形態において、約10ミクロン〜40ミクロンの範囲の厚さを有するAl金属ペーストパッドは、Alペースト金属ライン(約5ミクロン〜40ミクロンの範囲の厚さを有する)の上面上に用いることができる。
ビアホールを形成するための第4の方法は、パターン形成された印刷が誘電体層内にビアホールを含む、誘電体ペーストのスクリーン印刷またはステンシル印刷により、好適なパターン形成された電気絶縁誘電体層のダイレクト印刷を利用する。ビアホールを含むパターン形成誘電体層のダイレクトライトにより、リソグラフィおよびエッチングまたはレーザドリリングを用いた後続の穴形成の必要がなくなる。
誘電体材料がポリマーまたはプラスチック系(例えば、ポリマー、プラスチック、プリプレグ材料、その他を含むさまざまな低コストの材料から形成される)である場合、ビアホールは、M2とM1との間の低コンタクト抵抗を有する清浄な接触を確保するためにドリリング後に清浄化することができる。さまざまな清浄化方法には、限定ではないが、1)湿式有機洗浄、2)酸化ガス環境を用いて生成されるような高酸化プラズマによるドライエッチング洗浄、3)プラズマスパッタエッチング、4)オゾン処理、5)炭素を焼き尽くす後続のレーザステップ、または、6)残留汚染層を溶かし尽くす高温金属堆積が挙げられる。
M2堆積およびパターニング(または代替として、パターン形成M2層のダイレクトライト堆積)に関連するステップ4において、例えば、メッキ、インクジェット印刷、スクリーン印刷によって形成されたシード層、またはパターン形成PVD層の上面上のメッキ処理など、従来の方法を用いることができ、あるいは、代替として、限定ではないが、スクリーン印刷、ステンシル印刷、サーマル(またはアークもしくはプラズマ)金属スプレイ、インクジェット、またはエアロゾル印刷などのダイレクトライト技術を用いることができる。ダイレクトパターン形成金属箔張り付け、または箔張り付けおよびその後のカット設計によるパターニングを用いることもでき、特に直交構造においては、M1およびM2を分離する導電層をビアホール内に堆積させることにより、箔張り付けを先行させることができる。直交二重層メタライゼーション構造における金属箔M2は、大型の面内M2パターン寸法に起因して平坦で機械的に再現可能な構造を提供する。例えば、M2金属箔フィンガ幅は、金属箔層厚さよりもはるかに大きくすることができる(例えば、10倍を超えて)。
二重レベルメタライゼーションを形成するための特定のプロセスフロー実施形態を以下で説明し、エピタキシャルシリコンリフトオフ法を用いて裏面コンタクト/裏面接合の薄い単結晶シリコンソーラーセルに関連して説明するが、本明細書で記載されるメタライゼーション法および構造は、標準的な結晶シリコンウェハベースセルを含むあらゆる厚さ(CZまたはFZウェハを用いた100ミクロン〜200ミクロンの厚さの範囲)のソーラーセルに適用可能とすることができる。
例えば、アルミニウム、またはアルミニウムおよびシリコンを含む合金の薄いM1は、裏側ソーラーセル基板表面上に堆積される。パターン形成堆積は、Alペーストのスクリーンまたはステンシル印刷、および/またはインクジェットおよびエアロゾル印刷またはPVD後のレーザアブレーションのような金属堆積のための多種多様な他のダイレクトパターンライト技術を用いることができる。スクリーン印刷Al/Al−Si金属の厚さは、ソーラーセル設計の導電性要件に応じて約5ミクロン〜約40ミクロンの範囲にわたることができる。あるいは、アルミニウム/アルミニウムシリコンは、スパッタリングなどの物理気相堆積法を用いてM1として堆積することができる。M1におけるPVD AlまたはAlSi堆積の場合、堆積後に続いて、ブランケット金属層をパルスピコ秒レーザ(例えば、約1ミクロン波長の金石該範囲の波長を有する)を用いてパターン形成することができ、金属ラインをアブレーションしてエミッタおよびベース極性をパターン形成しかつ電気的に絶縁する。一実施形態では、裏面接合/裏面コンタクト単結晶シリコンセルのM1パターンは、後部誘電体層と共に後部ミラーIR反射性を向上させるために比較的大きな金属カバレッジ面積比(少なくとも70%、最大で90%超)を有する分離領域によって分離された直線状(矩形、三角形、または台形)の互いに組み合わせられたベースおよびエミッタフィンガのアレイとすることができる。重要なことに、バスバー誘起の電気的シェーディング作用を排除し、また、ソーラーセル効率を最大にするために、M1上にはバスバーが存在しない。M1を形成するためのパターン形成Alスクリーン印刷の場合、追加のAlペーストは任意選択とすることができる。Alペーストは、M1に沿ったパッドの周期的パターンとして印刷され、上述のようなレーザストップ層として機能を果たすことができる。あるいは、第1のAlペーストラインの導電性が十分でない場合、第1の印刷と同じパターン(パドの第2の印刷とは対照的に)で使用されるときの第2の印刷はまた、M1抵抗を低減する働きをし、レーザストップ層として機能を果たすことができる。
プラズマスパッタリングのようなPVDがM1を堆積するのに使用される場合、レーザアブレーション金属パターニングは、任意選択的に、反射導電性エポキシパッドの任意選択のスクリーン印刷(例えば、アルミニウムおよび/または銀ペーストを用いて)が後続することができ、この場合ビアホールドリルがM1上に配置/載置されることになる。これは、スパッタリングされたM1の小さな厚さが与えられる場合にはレーザストップ能力が無いことに起因して必要とされる可能性がある。スパッタ堆積されたM1の厚さは、コスト低減のために薄く維持され、例えば、ピコ秒IRレーザを用いてパターニングの容易さを向上させることができる。Agおよび/またはAlベースの導電性エポキシは、薄いM1層単独よりもCO2レーザに対してより良好な反射パッドストップ力を提供する。
続いて、例えば、約50〜最大で500ミクロンの範囲(場合によっては50ミクロン〜200ミクロン)の厚さを有する薄いポリマー(例えば、好適なプリプレグ)シートが、例えば、圧力-熱ラミネーションプロセスを用いてM1およびセル基板裏側(換言すると、セルの太陽が当たる側と反対側)の上面上にラミネーションされる。電気絶縁誘電体シートは薄いシリコンに張り付けられ、セル処理中(例えば、エピタキシャルシリコンセルのリフトオフプロセスの直前)に中間で挿入されて、この実施形態において薄いシリコンセル用の恒久的補強層およびキャリアとしても機能を果たすので、誘電体材料の選択は、コスト検討事項に加え以下の追加の特質により決定付けることができる。第1に、誘電体材料は、M1接続にアクセスすることができ、M2が誘電体材料(例えば、プリプレグ)の上面上に堆積できるようにレーザドリリングを用いて開孔される助けとなる材料であるベきである。第2に、誘電体材料は、M1およびM2両方ならびにセル裏側上のセル分離領域(通常はシリコン酸化物または窒化シリコン、および/または酸化アルミニウムによって覆われる)の効果的な接着を支持すベきである。第3に、誘電体材料は、シリコンに比ベて比較的良好に一致した温度膨張係数(CTE)(例えば、約0〜5ppm/°Cの範囲のCTEのような10ppm/°Cを十分に下回る低CTE)を有して、ラミネーションおよび後続のソーラーセル処理温度にて、ならびに長期にわたる現場での稼働中に、CTE不整合に起因したシリコンクラックが確実に存在しないようにすベきである。第4に、上記に記載したようなBC/BJアーキテクチャにおいて、テクスチャリングおよびおもて面パッシベーションなどのソーラーセルの太陽が当たる側の処理ステップは、誘電体層が形成された後に続くことができ(1つの実施形態において、誘電体層のラミネーション後のステップは、セルの太陽が当たる側の処理の完了のためセルの太陽が当たる側を暴露する再利用可能なテンプレートからの薄いシリコン/誘電体シートラミネーションのリフトオフおよびリリースである)、したがって、裏側パッシベーション層は、おもて側表面またはセルの太陽が当たる側のテクスチャリングおよび清浄化に使用されるウェット化学薬品に暴露することができる。この場合、誘電体層は、セルのテクスチャリングおよびテクスチャ後の清浄化中にM1層を含むセルの裏側を保護するための効果的なシール剤として機能を果たすベきである。第5に、誘電体層は、応力に起因してソーラーセルを実質的に湾曲させるベきではない(例えば、セルの全体の湾曲は、156mm×156mmソーラーセルにおいて約2または3mm未満に制限されるベきである)。第6に、ラミネーションプロセスは、高生産性のソーラーセル製造に必要とされるスループット速度に適合すベきである。最後に、誘電体材料は、一部のBC/BJソーラーセル実施形態において極めて重要なプロセス/構造に使用されるパッシベーションプロセスに応じて、150°C〜400°Cの範囲で加熱される基板を通常利用するPECVDパッシベーションを用いて優れたおもて側パッシベーションを確保するため高プロセス温度を持続できるよう高い熱的安定性(例えば、少なくとも200°C、場合によっては最大で少なくとも300°C)を有するベきである。
誘電体層ラミネーションの後、ソーラーセルは、この薄いシリコンプロセスフロー実施形態に固有のいくつかのプロセスステップに進むことができる。これらのステップは、上記で概説したように、多孔質犠牲層に沿ったテンプレートからの薄いシリコン基板の機械的リリース、おもて側テクスチャおよびポストテクスチャ洗浄、おもて側窒化シリコンパッシベーション、ならびにM2層の形成を含むことができる。おもて側テクスチャおよびパッシベーションプロセスのステップの完了に続き、レーザドリリング(例えば、CO2〜10ミクロン波長レーザ)を用いてM1−M2導電性ビアプラグを通してM2とM1を接続するようラミネートした誘電体シートにビアホールを開孔し、M1(あるいは、M1上に印刷した導電性エポキシパッド)にて止める。事前に設定されたフィデシャル(基準マーク)を用いてビアホールをパッドに整列させることができる。さらに、誘電体ラミネーションを貫通する穴のレーザドリリングは、穴の内部に残留炭素を生じる場合があり、この残留炭素は、後で、例えば、プラズマスパッタエッチングを用いて、またはホットM2堆積中にホットメタルで直接的に洗浄することができる。
薄い結晶半導体(例えば、薄い結晶シリコン)ソーラーセルにおけるこのプロセスフローの変形形態において、M1層は、好適なペースト(例えば、大部分のアルミニウムと接合スパイクを防ぐためのいくらかのシリコンとを含むペースト)を用いてスクリーン印刷(またはインクジェット印刷、エアロゾル印刷、ステンシル印刷により形成)され、PVD堆積されてレーザパターン形成されるのではなく、熱することができる。このダイレクトライト法は、PVDおよびパターニング法と比ベてさらなる製造コスト節減を提供することができる。二重レベルメタライゼーション、すなわち、セル上に直接のM1および誘電体シートによりセルから分離されるM2はまた、より厚い従来のシリコンソーラーセル上と同様に形成することもできる。このことは、開示した主題によるマルチレベルメタライゼーション実施形態が、シリコンのインゴットまたは鋳造ブリックから従来のワイヤーソーイングによって形成されない薄い基板を用いて薄い半導体吸収体ソーラーセルと共に、また、ワイヤーソープロセスを用いてインゴットから形成された標準厚さのウェハ上に作られるソーラーセルと共に用いることができることを意味している。BC/BJソーラーセルがライン抵抗を下げるために高電気導電率を必要とするので、セル面積が大きくなるほど、メタライゼーション設計は、より低いシート抵抗を提供し、より高い通電能力を可能にするようより厚い金属層を使用しなければならない。提供されるマルチレベルメタライゼーション構造および方法は、セル設計および製造プロセスに応じて1ミクロン〜最大数百ミクロンの広範囲の厚さにわたるセル吸収体の使用を可能にしながら、BC/BJセルの面積を156mm×156mmまでスケールアップするのを可能にする技術である。
重要なことには、マルチレベルメタライゼーションのための構造および形成方法を本開示で詳細に説明するが、各構造およびプロセスフローの種々の態様は、開示した主題にしたがって組み合わせおよび/または変更することができる。
図78〜図80は、互いに組み合わせられた 裏面コンタクト(IBC)ソーラーセルについての例示的なマルチレベルメタライゼーション実施形態を示す図である。図78〜図80のメタライゼーションパターンは、第1の層のメタル1(M1)として、ならびに設計ルールおよび形状サイズをはるかに緩和および粗く(換言すると、より幅広のメタライゼーションラインおよびスペース)することができる第2の層のメタル2(M2)として金属または金属合金を使用している。他の形成プロセスの中で、M2またはM1およびM2の両方は、スプレイ堆積(サーマルスプレイまたはアークスプレイ)することができる。
図78は、バックプレーン誘電体層(下にあるメタル1パターンを詳細には図示していないバックプレーン層)の上面上に堆積(例えば、マスク関連コストを低減するためにダイレクトライトパターンで)またはメッキされた高導電性メタルフィンガを用いた直交するパターン転写を例示した裏面コンタクトソーラーセルの一部の上面図を示す図である。メタル1パターンは、第1のレベルのメタルエミッタフィンガ118(例えば、サーマルスプレイ、スクリーン印刷された金属ペースト、またはPVD堆積/レーザアブレーションされたメタルベースフィンガ)と、第1のレベルのメタルベースフィンガ120(例えば、サーマルスプレイ、スクリーン印刷された金属ペースト、またはPVD堆積/レーザアブレーションされたメタルエミッタフィンガ)とを含む。第1のレベルのメタルエミッタフィンガ118は、マルチレベルコンタクト開口部のトレンチ122およびトレンチ126を通じて下にあるソーラーセルエミッタ領域と接触し、第1のレベルのメタルベースフィンガ120は、穴128を通じて下にあるソーラーセルベース領域と接触している。第2のレベルのメタルエミッタコンタクトフィンガ110(例えば、メッキCuまたはサーマルスプレイ金属)は、第1および第2のメタライゼーション層間に位置付けられたバックプレーン内のエミッタ穴114(例えば、開孔したビアホール)を通じて第1のレベルのメタルエミッタフィンガ118と接触する。第2のレベルのメタルベースコンタクトフィンガ112(例えば、メッキCuまたはサーマルスプレイ金属)は、第1および第2のメタライゼーション層間に位置付けられたバックプレーン内のベース穴116(例えば、開孔したビアホール)を通じて第1のレベルのメタルベースフィンガ120と接触する。
図79は、バックプレーンによって支持されかつ平行メタライゼーション層を有する裏面コンタクトソーラーセルの断面図を示す図である。この構造は、図78に示す直交構造とは対照的な平行したメタル1およびメタル2を示している点に留意されたい。図79の裏面コンタクトセルは、おもて表面にテクスチャパッシベーション層150を備えた、n型ベースとして図示されたシリコン基板142(例えば、エピタキシャルシリコン基板またはウェハ形成シリコン基板)を含む。おもて表面テクスチャパッシベーションは、ランダムテクスチャピラミッドなどのテクスチャ構造を含むことができ、任意選択的に、パッシベーション層(例えば、熱酸化物プラス窒化シリコン、またはアモルファスシリコン(a−Si)/SiN、またはアモルファスシリコン酸化物(a−Si−O)/SiN、または真性アモルファスシリコン(i−a−Si)、または真性アモルファスシリコン酸化物(i−a−Si−O)/n型アモルファスシリコン(n−a−Si)/SiN)で覆われたおもて面のフィールド面を有する。第1のレベルのメタルエミッタコンタクト134は、p++エミッタコンタクト136にてp+エミッタ層148と接触し、第1のレベルのメタルベースコンタクト138は、n+ベースコンタクト140にてn型シリコン基板142と接触する。ホウ素ケイ酸塩ガラス層148およびリンケイ酸塩ガラス層146は、裏面コンタクト製造中のセルドーピング処理に使用される。バックプレーン132は、第1のレベルのエミッタコンタクト134および第1のレベルのベースコンタクト138(例えば、Al、AlSi、またはサーマルスプレイされたAl、AlSi、Al+Zn)を含む第1のレベルのメタライゼーションパターンと、第2のレベルのエミッタコンタクト130および第2のレベルのベースコンタクト144(例えば、サーマルスプレイされたAlおよびAl/Zn)を含む第2のレベルのメタライゼーションパターンとの間に電気絶縁層として形成される。第1のレベルのメタライゼーションパターンおよび第2のレベルのメタライゼーションパターンは、バックプレーン内に形成されたビア/穴(例えば、レーザドリリングプロセスにより)を通じて接触する。
図80は、第1のレベルのメタルエミッタコンタクト134が誘電体層156(例えば、a−Si上のトンネル誘電体層)上に位置するp++エミッタ層152(例えば、ポリ−SiGeエミッタ層)に接し、第1のレベルのメタルベースコンタクト138が、n+ベースコンタクト154(レーザドープされた)に接触していることを除いて、図79に示したホモ接合セルと同様の平行メタライゼーション層を有する、バックプレーンによって支持された裏面コンタクトソーラーセルの断面図を示す図である。
図79および80に示すメタライゼーションコンタクトは、図78に示すラインパターンで形成することができる。特に第1のレベルの金属用の金属ラインは、エミッタおよびベースコンタクト両方に対する同じ金属、合金、または金属スタックを含むことができる。しかしながら、同じレベルのエミッタコンタクト金属とベースコンタクト金属とは異なることができる。メタライゼーション後の最終熱プロセスならびにスプレイ塗布中の熱処理を用いて、結果として得られたスプレイした加工物における残留内部応力を低減し、したがって、金属層の接着を向上させ、さらに、基板湾曲を低減することができる。また、多層構造(例えば、ソーラーセルベースおよびエミッタ領域を収めたアルミニウムを含むメタルの第1の層の後に、ビアを通じて第2のレベルのメタル(メタル2)を有する、より低いコンタクト抵抗のアルミニウム−亜鉛合金から作られた最上部層)をライトすることによって基板上に金属ラインまたはパターンをダイレクトライトすることも実施可能とすることができる。
図81は、裏側マルチレベルメタライゼーション設計を有する裏面コンタクトソーラーセルの断面図である。M1メタル(例えば、アルミニウム)は、薄いシリコンソーラーセルの裏側のベースおよびエミッタ領域上にパターン形成される。誘電体材料がM1メタルパターン内のギャップおよびボイドを充填し、誘電体硬化プリプレグが、M1層とM2層との間の電気絶縁を提供する。導電性プラグ(例えば、M2と同じ材料で作られ、同じM2形成プロセスで形成される)が、M1からM2直交フィンガパターンへのコンタクトを提供する。
図82は、裏側マルチレベル(二重レベル)メタライゼーション設計を有する別の裏面コンタクトソーラーセルの断面図である。図示のように、図82の裏面コンタクトソーラーセルは、再使用可能テンプレート上でエピタキシャル堆積プロセスを用いて形成することができる。ソーラーセル製造プロセスは、通常はp型単結晶シリコンウェハで作られる再使用可能な結晶シリコンテンプレートから始まり、ここに多孔質シリコンの犠牲層が形成される(例えば、多孔質シリコンの二重層が、電流の存在下でHF/IPAウェット化学薬品の表面変形プロセスを通じて電気化学エッチングプロセス、すなわち陽極エッチングにより形成される)。高品質エピタキシャルシード層として、ならびにその後の分離/リフトオフ層として機能を果たす犠牲多孔質シリコン層が形成されると、インサイチュドープ単結晶シリコンの薄い層(例えば、数ミクロンから最大約100ミクロン、あるいは、数ミクロンから最大約60ミクロンの範囲の層厚さ)が形成され、これはエピタキシャル成長とも呼ばれる。インサイチュドープ単結晶シリコン層は、例えば、トリクロロシランすなわちTCSなどのシリコンガスを含む環境で化学気相堆積すなわちCVDプロセスを用いて高生産性大気圧エピタキシにより形成することができる。次いで、ホウケイ酸塩ガラスの少なくとも1つの層、あるいは、ホウケイ酸塩ガラスの2つの層(BSG1およびBSF2 2)が、別個の大気圧化学気相堆積(APCVD)プロセスで、および各APCVDプロセスの後にピコ秒レーザアブレーションプロセスで各々堆積され、BSG層においてエミッタ(p+)およびベースコンタクト拡散(n+)領域用の開口部を形成することができる。次いで、リンケイ酸塩ガラス層(PSG)が、APCVDプロセスの後に熱アニール(エミッタ接合、ベースおよびエミッタコンタクト拡散、および改善された裏側パッシベーションを形成する)、その後、誘電スタック層におけるエミッタ(p+)およびベース(p+)領域に対するコンタクトホール開口部を形成するためのピコ秒レーザアブレーションプロセスを用いて形成される。次に、例えば、スクリーン印刷プロセス(例えば、アルミニウムまたはアルミニウム−シリコンペーストのスクリーン印刷)でエミッタ(p+)およびベース(n+)領域上にパターン形成M1が形成される。M1アニール後、恒久的セル支持および補強のため、ならびにソーラーセルの高導電性セルメタライゼーションを支持するために、ソーラーセルの裏側にバックプレーンがラミネートされる。
次いで、大部分が処理された裏面コンタクト裏面接合バックプレーン強化大面積()ソーラーセル(例えば、少なくとも125×125mmまたはこれよりも大きく、最大で1000cm2またはこれよりも大きいソーラーセル面積)が機械的に脆弱にされた犠牲多孔質シリコン層(例えば、機械的リリースまたはMRプロセスを通じて)に沿ってテンプレートから分離およびリフトオフされ、他方、テンプレートは、多数回再使用してソーラーセル製造コストをさらに最小限にすることができる。次に、最終セル処理を、テンプレートからリリースされた後に暴露されるソーラーセルの太陽の当たる側に対して実施することができる。太陽の当たる側の処理は、例えば、おもて側テクスチャリング(例えば、ウェットエッチングまたはレーザテクスチャリング処理)、パッシベーション(例えば、PECVDプロセスを用いて)、および反射防止コーティング堆積プロセスを含むことができる。
次いで、例えば、CO2レーザを用いてバックプレーンビアをバックプレーン内に形成することができ、例えば、アルミニウムおよびニッケルのPVDを用いてメタル2シードがバックプレーン上に堆積される。次に、メタル2、例えば、スズおよび/または銅メッキがソーラーセルの裏側に形成される。
バックプレーン材料は、プロセス統合および信頼性要件に適合するプリント基板(PCB)において一般的に使用される安価なプリプレグ材料のような、薄い(例えば、約50〜250ミクロン)可撓性のある電気絶縁ポリマー材料から作ることができる。一般に、プリプレグは、樹脂が予め含浸された強化材料であり、複合部品を生成するため直ぐに使用できる状態になっている。プリプレグは、一貫性を確保することが意図された設備を用いて特別に配合された事前触媒化樹脂と補強繊維または織地を組み合わせることにより製造することができる。可撓性のある裏紙で覆われると、プリプレグは、室温で特定の時間期間(機能しなくな寿命)の間の扱いが容易で柔軟性を維持することができる。さらに、最新のプリプレグは、貯蔵のために冷却を必要としない材料をもたらし、より長い保存可能期間および低温で硬化する製品のプリプレグである。従来のプリプレグは、高圧蒸気硬化用に配合されるが、低温プリプレグは、はるかに低温で真空バッグの圧力だけを用いることにより完全に硬化することができる。
プリプレグ樹脂の粘度は、プリプレグの特性に影響を与え、温度の影響を受け、すなわち、20°Cでは、プリプレグ樹脂は、「乾燥」しているが、粘着性のある固体のようである。加熱時には、樹脂粘度は大幅に低下し、繊維の周りを流れることができ、モールド形状と共形になるようプリプレグに必要な柔軟性を与える。プリプレグが活性化温度を超えて加熱されると、プリプレグの触媒反応および樹脂分子の架橋反応が促進される。漸次的重合化により、流動しなくなるポイントに達するまで樹脂の粘度が増大する。次いで、反応は完全硬化に進む。したがって、プリプレグ材料を用いて、M1メタライゼーションパターンのギャップ/ボイド周辺および内部に「流動」することができる。
さらに、PCBは、コアとプリプレグの交互する層であり、コアは、両面に銅箔が接合された誘電体の薄い要素(コア誘電体は、硬化繊維ガラス−エポキシ樹脂である)で、プリプレグは、未硬化の繊維ガラスエポキシ樹脂である。プリプレグは、加熱および加圧されると硬化し固化することになる。換言すると、プリプレグは、繊維が樹脂と事前に含浸(結合)されている未硬化の複合材料のロールである。生成中、プリプレグサンドイッチは、樹脂をわずかに硬化させ、したがって架橋によりわずかに固化される正確な温度および時間まで加熱される。これはBステージジングと呼ばれる。サンドイッチが過度に加熱されるとプリプレグが過度に硬直し、「板状」になるので、これを確実に防ぐように注意する必要がある。溶剤は、Bステージング中に除去され、樹脂が溶剤を比較的乾燥させるようになる。一般的には、典型的な熱硬化性樹脂および一部の熱可塑性樹脂がプリプレグで使用される。最も一般的な樹脂はエポキシであり、プリプレグの主要市場は、エポキシの優れた機械的、化学的、および物理的特性が必要とされる航空宇宙、スポーツ用品、および電気回路基板である。通常、プリプレグは、約1ミル(〜25μm)から最大でこの数倍の範囲の厚さを有する。
さらに、プリプレグは、熱可塑性プラスチック(熱硬化性樹脂と共通ではない)から作ることができる。熱可塑性プラスチックのプリプレグは、これらの強靱性、耐溶剤性、または他の何らかの特定の目的で使用されることが多い。使用される熱可塑性プラスチックのほとんどは、航空宇宙用途における350°F硬化エポキシに匹敵する、PEEK、PEI、およびPPSのような超高性能樹脂である。強靱性などの特別な特性を当てにした自動車の車体パネルのような一部の新しい用途では、熱可塑性プラスチックを単独で、または熱硬化性樹脂と混合して使用している。
図83は、裏側マルチレベル(二重レベル)メタライゼーション設計ミニセルの実施例を備えたさらに別の裏面コンタクトソーラーセルの断面図である。図82の裏面コンタクトソーラーセルの形成に関して説明したのと同様に、図83の裏面コンタクトソーラーセルは、M2上に絶縁されたメタライゼーションをパターン化および形成するために、メタル2は、PVDプロセスの後にレーザパターニングプロセスを用いて(例えば、パルスナノ秒レーザ金属アブレーションを用いて)堆積することができる点を除いて、類似の裏側および表側処理ステップと共に、再使用可能テンプレート上でのエピタキシャル堆積プロセスを用いて形成することができる。
例えば、図82に示すようなセルの二重バスバーM2メタライゼーションパターン(換言すると、オンセル分布したバスバー無し、またはミニセル無し)における互いに組み合わせられた裏面コンタクトIBC M2メタライゼーション(金属層2)の導電性要件は、以下のようにして考慮に入れることができる。すなわち、2Nオンセルメタルフィンガ、およびη=20%においてImp=8A、IBCメタライゼーションピッチ=[156/(2N)]mmと仮定する。その結果、1フィンガ当たりのオーミック電力損=Pf=(ρ/t)[(Imp 2.L)/(3.W.N2)]、ここでρ/tはAl金属箔のシート抵抗、Impは最大電力でのセル電流、Lはセルの横寸法、Wは金属箔フィンガ幅(平行フィンガにおける)、Nはセル上のエミッタ−ベースフィンガペアの数である。したがって、全オーミック電力損=Pt=2N.Pf=2.(ρ/t)[(Imp 2.L)/(3.W.N)]となる。ここで、全バックプレーンインターコネクト電力損は、絶対効率損失の0.2%または相対効率損失の1%(これはPt≦50mWに相当する)相当に制限されなければならないと仮定する。また、フィンガ幅W=0.4mm、N=125、ρ=3μΩ・cm、Imp=8A、L=156mmと仮定する。Alの所要厚さtを計算すると、(50x10-3=2.(3x10-8/t)[(82x0.156)/(3x0.4x10-3x125)]である。したがって、バルクAl抵抗が3μΩであると、最小バックプレーンアルミニウム厚さt=80μmである。
別の実施例において、図83に示すようなセルのオンセル分布したバスバーM2メタライゼーションパターン(換言すると、ミニセル)における互いに組み合わせられた裏面コンタクトIBC M2メタライゼーション(金属層2)の導電性要件は、以下のようにして考慮に入れることができる。すなわち、2Nオンセルメタルフィンガ行、およびη=20%においてImp=8Aと仮定する。IBCミニセルのM列(バスバーのM個のペア)があり、分布オンセルバスバーが電気シェーディングを生成する(より大きなMではより高い電気シェーディング)と仮定する。バスバー幅を0.75とし、電気シェーディング損失≦0.3%絶対効率と制約すると、最大許容Mは3、すなわち、M=3となる。ミニセル列幅=L=L/M=156/3=52mm、およびI mp=Imp/Mである。IBCメタライゼーションピッチ=[156/(2N)]mmである。その結果、ミニセルフィンガ当たりのオーミック電力損=Pf=(ρ/t)[(I mp 2.L)/(3.W.N2)]、ここでρ/tはAl金属箔のシート抵抗、I mpは最大電力でのミニセル電流、Lはミニセルの列幅、Wは金属箔フィンガ幅(平行フィンガにおける)、Nは各ミニセル上のエミッタ−ベース列フィンガペアの数である。したがって、全オーミック電力損Pt=M.2N.Pf=2M.(ρ/t)[(I mp 2.L)/(3.W.N)]となる。ここで、全バックプレーンインターコネクト電力損は、絶対効率損失の0.2%または相対効率損失の1%(これはPt≦50mWに相当する)相当に制限されなければならないと仮定する。また、フィンガ幅W=0.4mm、N=125、ρ=3μΩ・cm、I m=2.67A、L=52mmと仮定する。Alの所要厚さtを計算すると、(50x10-3=2x3x(3x10-8/t)[(2.672x0.052)/(3x0.4x10-3x125)]である。したがって、バルクAl抵抗が3μΩであると、最小バックプレーンアルミニウム厚さt=9μmである。
図84は、メタル1設計の関数としての電力損の設計関連計算結果、具体的には、25ミクロン厚のエピタキシャルシリコン裏面コンタクトソーラーセルにおけるエミッタ領域の横方向多数キャリア輸送オーミック損失を示すグラフである。
図78に示したような直交インターコネクトパターン(換言すると、M1エミッタ/ベースフィンガと比べてM2エミッタ/ベースフィンガの直交変換)を用いた二重メタライゼーション構造の設計は、分析モデルを利用して所要のM2直交フィンガの最小数を決定することができる。他の要因の中で、M2メタライゼーションを最小限にすることにより、M2メタルに伴うコストが低減され、ならびにメタライゼーションパターンによる半導体基板に対して誘起される応力が低減される。例えば、M2バックプレーン直交フィンガの最小数は、以下のように計算することができる。すなわち、オンセルバックプレーンM1 Al金属箔厚さが80μm未満、またはM2 Al金属箔厚さが200μmを超える場合と同様に、オーミック損失は、バックプレーンAl金属箔ではなく、オンセルメタライゼーションによって決定付けられると仮定する。さらに、分析モデルを構築して解くための仮定条件として、ρおよびtは、オンセルAl金属(PVDまたは硬化インク)抵抗率および厚さ(ρ/tは、ベースおよびエミッタメタライゼーションにおけるオンセルAl金属シート抵抗である)であり、Fは、バックプレーンにおける直交Al金属箔フィンガペアの数、ImpはGen−1セルの最大電力電流(9.3A)、WBMはオンセルM1ベースAl金属幅、WEMはオンセルM1エミッタAl金属幅、ベースおよびエミッタメタルフィンガ間のオンセルギャップは、WBM + WEMの〜5%を超えない(または800μmベース+エミッタピッチにおいて≦40μm)、セル効率=21%(セルピーク電力=5.11Wp)、したがって、0.25%絶対効率損失〜60mWおよび0.50%絶対効率損失〜120mW、およびPtotはベースおよびエミッタの総計オーミック損失とする。
2つのセットの積分方程式、すなわち、エッジベースおよびエミッタフィンガについての積分方程式の1つのセットおよびベースおよびエミッタバックプレーンフィンガの残り(エッジフィンガを除くすべてのフィンガ)についての積分方程式の別のセットを用いてオンセル金属に起因する全ベースおよびエミッタオーミック損失を解くことになる。全損失(Ptot)は、全ベースおよびエミッタフィンガの上記の合計であり、解は、分析解に簡約することができる。すなわち、Ptot=(ρ/t).[(F+3)/96].(Imp 2/F3).[(WBM+WEM2/(WBM.WEM)]である。
エミッタ/ベースピッチが800μm、大型(≧95%)のM1オンセルAlメタライゼーション面積カバレッジ(すなわち、ベース−エミッタ金属ギャップ≦40μm)を仮定すると、以下のように計算することができる。
表1
Figure 0006250552
表1で分かるように、所与のオンセルベース金属比WBM/(WBM+WEM)、および金属ギャップ≦ベース+エミッタピッチの5%とすると、損失係数[(WBM+WEM2/(WBM.WEM)]は、ピッチと無関係である(例えば、800μmピッチと1,300μmピッチで同じ)。さらに、35%〜47.5%の範囲のオンセルベース金属比において、Ptot解の係数[(WBM+WEM2/(WBM.WEM)]は、およそ〜4.0から4.4である。最小係数(4.0)は、オンセルベース金属比50%で得られる。また、35%程のベース金属比は、4.40までの許容可能な小さな増大をもたらす。設計ルールとして、およそ35%(例えば、800μmピッチにおいてWBM=280μm)のベース金属比を用いることができる。
図85は、表1で計算された結果に基づくグラフであり、メタライゼーションピッチおよびM1ベース−エミッタ金属幅比に対するM2バックプレーン直交フィンガの数の相対感度を強調して示している。
以下の表2は、バックプレーン直交Al−箔フィンガペア(M2)の数をオンセルAl(M1)シート抵抗および厚さと比較している。
表2
Figure 0006250552
上記の計算は、以下の直交バックプレーン設計の結果をもたらすことができる。
・指定の最大許容セル効率損失(0.25%または0.50%絶対)では、オンセルAl金属のシート抵抗とバックプレーンAl−箔フィンガペアの必要数との間には強い相関関係がある。
・ρ=3μΩ.cmを有するPVD−Alにおける0.2〜0.50μm、あるいはρ=3μΩ.cmを有するダイレクトライトAlインクにおける2〜5μmの実際のオンセルAl厚さ範囲では、バックプレーンAl−金属−箔フィンガペア(F)の必要数は、絶対効率損失を0.25%に制限すると3〜4である。
・オンセルAl厚さのより薄い範囲(例えば、PVD−Alにおける0.2μm、またはより大きな硬化インク抵抗率ρ=45μΩ.cmを有するダイレクトライトAlインクにおける2〜3μm)では、バックプレーンAl−金属−箔フィンガのF=3ペアでも、絶対セル効率損失を0.50%に制限することになる。
図86は、オンセルアルミニウム金属(M1)の厚さと、絶対セル効率損失=0.25%(60mW)におけるバックプレーン直交Al−金属−箔フィンガペア(M2)の数を示すグラフである。図86に示すグラフで分かるように、バルクAl抵抗率3μΩ.cmを有するオンセルPVD−Alにおいて、バックプレーンの直交AlフィンガのF=4ペアと共にオンセルPVD−Al厚さ0.20μmを用いることができ、バックプレーンの直交AlフィンガのF=3ペアと共にオンセルPVD−Al厚さ0.40μmを用いることができる。バルクAl抵抗率45μΩ.cmを有するオンセル硬化Alインクにおいて、バックプレーンの直交AlフィンガのF=4ペアと共にオンセル硬化Alインク厚さ3μmを用いることができ、バックプレーンの直交AlフィンガのF=3ペアと共にオンセル硬化Alインク厚さ6μmを用いることができる。
図87は、オンセルアルミニウム金属(M1)の厚さと、絶対セル効率損失=0.50%(120mW)におけるバックプレーン直交アルミニウム−金属−箔フィンガペア(M2)の数を示すグラフである。図87に示すグラフで分かるように、バルクAl抵抗率3μΩ.cmを有するオンセルPVD−Alにおいて、バックプレーンの直交AlフィンガのF=4ペアと共にオンセルPVD−Al厚さ0.10μmを用いることができ、バックプレーンの直交AlフィンガのF=3ペアと共にオンセルPVD−Al厚さ0.20μmを用いることができる。バルクAl抵抗率45μΩ.cmを有するオンセル硬化Alインクにおいて、バックプレーンの直交AlフィンガのF=4ペアと共にオンセル硬化Alインク厚さ1.5μmを用いることができ、バックプレーンの直交AlフィンガのF=3ペアと共にオンセル硬化Alインク厚さ3μmを用いることができる。
以下の表3は、バックプレーン直交フィンガペア(M2)の数をオンセルメタライゼーション厚さ(M1)と比べた結果をまとめたものである。
表3
Figure 0006250552
表3から分かるように、1つの実施形態において、0.50%損失以下では、3μmの硬化Alインク(または0.2μmPVD Al)と共にF=3を選ぶことができ、別の実施形態では、0.25%損失以下では、3μmの硬化Alインク(または0.2μmPVD Al)と共にF=4を選ぶことができる。
動作中、本明細書で開示されるマルチレベルメタライゼーション、例えば、直交または非直交変換を含むM1およびM2を有する二重レベルのメタライゼーションは、性能、コスト、機械的降伏、およびアーキテクチャに関する以下に示すM1/M2設計融通性上の利点を提供する。
・M1の設計融通性の向上(セグメント化したM1設計(例えば、図54および55に示すような)およびミニセル設計)は、性能を損なうことなくシリコン(薄いシリコン基板ならびにウェハベースの厚いシリコン基板)に対する応力および金属関連の湾曲を低減するより薄いM1パターンを可能にする。さらに、M1は、大面積裏面コンタクトソーラーセルにおける薄いシリコン基板に対する支持構造として機能を果たすことができる。
・バスバーレスM1パターン−M1パターンは、ソーラーセルおよびM2上に形成されたバスバーのエッジまで延びて、M1バスバーに起因する電気的シェーディングを防ぐことができる。
・狭幅M1に起因するより大きなエミッタ部分−狭幅M1パターンは、より狭いベース拡散(例えば、M1ベース金属がベース拡散領域内に位置付けられる入れ子設計で)、したがって、より大きなエミッタ部分およびベース拡散領域からの電気シェーディングの低減を可能にする。
・M2は、M2とシリコンとの間に誘電体層を位置付けることによりシリコン基板から切り離すことができ、したがって、M2の応力を低減し、より厚いM2設計を可能にする。
・直交M2パターンは、高度に粗いM2寸法を可能にし、これは、追加のメタライゼーション形成方法を利用できるので、M2形成コストを低減することができる。さらに、直交パターンは、バイパスダイオードおよびMPPT電子部品などの能動部品の挿入を可能にすることができる。
本明細書で記載される実施形態は、概して、可撓性または剛性のバックプレーン上に支持された極薄(例えば、約1ミクロン〜最大約100ミクロン)の単結晶シリコン吸収体層を用いて裏面コンタクト/裏面接合結晶シリコンソーラーセルと共に説明してきたが、開示された主題の態様は、場合によっては、限定ではないが、おもて面コンタクトソーラーセルおよびこのようなセルを含むPVモジュール、非結晶シリコンソーラーセルおよび結晶GaAs、GaN、Geおよび/または他の元素および化合物の半導体から作られたようなモジュール、ならびに、結晶半導体ウェハ(結晶シリコンウェハなどの)から作られた裏面コンタクト/おもて面接合、裏面コンタクト/裏面接合およびおもて面コンタクトソーラーセルを含むウェハベースソーラーセルを含む、他のソーラーセルおよびモジュール実施構成にも適用できることは当業者には理解されるはずである。
例示的な実施形態のこれまでの説明は、当業者が特許請求した主題を作り使用することを可能にするために提供される。これらの実施形態に対するさまざまな変形は、当業者には容易に明らかになるであろう。そして本明細書において規定した一般的な原理を、発明の才能を使用せずに他の実施形態に適用することができる。したがって、特許請求した主題は、本明細書において示した実施形態に限定されないものとし、本明細書において開示した原理および新規な特徴に整合する最も広い範囲を与えられるものとする。
本明細書内に含まれるすべてのこのような追加のシステム、方法、構成、および利点は、特許請求の範囲の範囲内であるものとする。
110 第2のレベルのメタルエミッタコンタクトフィンガ
112 第2のレベルのメタルベースコンタクトフィンガ
114 エミッタ穴
116 ベース穴
118 第1のレベルのメタルエミッタフィンガ
120 第1のレベルのメタルベースフィンガ
122 トレンチ
126 トレンチ
128 穴

Claims (16)

  1. 裏面コンタクトソーラーセルを形成する方法であって、
    受光おもて側およびパターン形成したエミッタおよびベース領域を有する裏側を含む結晶半導体基板の裏側にベース電極およびエミッタ電極の互いに組み合わせられたパターンを有する導電性金属の第1の層を形成するステップと、
    前記導電性金属の第1の層に電気絶縁層を形成し、前記電気絶縁層が前記導電性金属の第1の層と導電性金属の第2の層との間に電気絶縁を提供するようにするステップと、
    前記導電性金属の第1の層へのアクセスを可能にするよう、前記電気絶縁層に穴を形成するステップと、
    前記穴を通じて前記導電性金属の第1の層に接触する導電性金属の第2の層を、前記電気絶縁層上にシード層を堆積させ、該シード層をメッキすることにより、前記電気絶縁層上に形成するステップと、
    を含み、エミッタ電極およびベース電極の互いに組み合わせられたパターンを有する前記導電性金属の第2の層が、前記導電性金属の第1の層に直交して形成され、前記導電性金属の第2の層のエミッタ電極およびベース電極の互いに組み合わせられた前記パターンにおける電極の数が、前記導電性金属の第1の層のエミッタ電極およびベース電極の互いに組み合わせられた前記パターンにおける電極の数よりも少ない、裏面コンタクトソーラーセルを形成する方法。
  2. 前記導電性金属の第2の層におけるエミッタ電極およびベース電極の互いに組み合わせられたパターンの電極の数が、前記導電性金属の第1の層におけるエミッタ電極およびベース電極の互いに組み合わせられたパターンの電極の数よりも約5〜50倍の範囲で少ない、請求項に記載の方法。
  3. 前記導電性金属の第1の層が、約30%〜50%の範囲のベース金属幅比を有するパターンで形成され、前記導電性金属の第2の層が直交フィンガの少なくとも2つのペアを含むパターンで形成される、請求項に記載の裏面コンタクトソーラーセルを形成する方法。
  4. 前記導電性金属の第1の層が、約30%〜50%の範囲のベース金属幅比を有するパターンで形成され、前記導電性金属の第2の層が直交フィンガの3または4つのペアを含むパターンで形成される、請求項に記載の裏面コンタクトソーラーセルを形成する方法。
  5. 前記導電性金属の第1の層が、プラズマスパッタリングによって堆積され、レーザアブレーションを用いてパターン形成される、請求項に記載の裏面コンタクトソーラーセルを形成する方法。
  6. 前記導電性金属の第1の層が、スクリーン印刷プロセスを用いて堆積される、請求項に記載の裏面コンタクトソーラーセルを形成する方法。
  7. 前記導電性金属の第1の層が、インクジェット印刷プロセスを用いて堆積される、請求項に記載の裏面コンタクトソーラーセルを形成する方法。
  8. 前記導電性金属の第1の層が、エアロゾルジェット印刷プロセスを用いて堆積される、請求項に記載の裏面コンタクトソーラーセルを形成する方法。
  9. 前記導電性金属の第1の層が、ステンシル印刷プロセスを用いて堆積される、請求項に記載の裏面コンタクトソーラーセルを形成する方法。
  10. 前記電気絶縁層が、薄い絶縁層のダイレクト印刷によって形成される、請求項に記載の裏面コンタクトソーラーセルを形成する方法。
  11. 前記電気絶縁層が、薄い絶縁層の堆積によって形成される、請求項に記載の裏面コンタクトソーラーセルを形成する方法。
  12. 前記電気絶縁層が、薄いプリプレグシートのラミネーションによって形成される、請求項に記載の裏面コンタクトソーラーセルを形成する方法。
  13. 前記プリプレグシート内の穴が、該プリプレグシートを貫通して開孔される、請求項12に記載の裏面コンタクトソーラーセルを形成する方法。
  14. 前記プリプレグシートのラミネーションの前に前記第1の導電性メタライゼーション層上で前記穴の所定の位置に位置付けられるように金属パッドを堆積するステップをさらに含む、請求項13に記載の裏面コンタクトソーラーセルを形成する方法。
  15. 前記導電性金属の第2の層が、パターン形成した金属箔シートを張り付けることにより形成される、請求項に記載の裏面コンタクトソーラーセルを形成する方法。
  16. 裏面コンタクトソーラーセルを形成する方法であって、
    受光おもて側およびパターン形成したエミッタおよびベース領域を有する裏側を含む結晶半導体基板の裏側にベース電極およびエミッタ電極の互いに組み合わせられたパターンを有する導電性金属の第1の層を形成するステップと、
    前記導電性金属の第1の層に電気絶縁層を形成し、前記電気絶縁層が前記導電性金属の第1の層と導電性金属の第2の層との間に電気絶縁を提供するようにするステップと、
    前記導電性金属の第1の層へのアクセスを可能にするよう、前記電気絶縁層に穴を形成するステップと、
    前記穴を通じて前記導電性金属の第1の層に接触する導電性金属の第2の層を、金属箔シートを張り付けて、ダイレクトカットを用いて前記金属箔シートをパターニングすることにより、前記電気絶縁層上に形成するステップと、
    を含み、エミッタ電極およびベース電極の互いに組み合わせられたパターンを有する前記導電性金属の第2の層が、前記導電性金属の第1の層に直交して形成され、前記導電性金属の第2の層のエミッタ電極およびベース電極の互いに組み合わせられた前記パターンにおける電極の数が、前記導電性金属の第1の層のエミッタ電極およびベース電極の互いに組み合わせられた前記パターンにおける電極の数よりも少ない、裏面コンタクトソーラーセルを形成する方法。
JP2014550535A 2011-12-30 2012-12-30 マルチレベルソーラーセルメタライゼーション Expired - Fee Related JP6250552B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161582184P 2011-12-30 2011-12-30
US61/582,184 2011-12-30
PCT/US2012/072249 WO2013102181A1 (en) 2011-12-30 2012-12-30 Multi-level solar cell metallization

Publications (3)

Publication Number Publication Date
JP2015507848A JP2015507848A (ja) 2015-03-12
JP2015507848A5 JP2015507848A5 (ja) 2016-03-03
JP6250552B2 true JP6250552B2 (ja) 2017-12-20

Family

ID=48698685

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014550535A Expired - Fee Related JP6250552B2 (ja) 2011-12-30 2012-12-30 マルチレベルソーラーセルメタライゼーション

Country Status (3)

Country Link
JP (1) JP6250552B2 (ja)
AU (2) AU2014208227A1 (ja)
WO (1) WO2013102181A1 (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105874612A (zh) * 2013-07-30 2016-08-17 索莱克赛尔公司 用于太阳能电池的层压背板
WO2015017098A1 (en) * 2013-07-31 2015-02-05 Christoph Sachs Use of silicon nitride as a substrate and a coating material for the rapid solidification of silicon
US10553738B2 (en) * 2013-08-21 2020-02-04 Sunpower Corporation Interconnection of solar cells in a solar cell module
US9496437B2 (en) 2014-03-28 2016-11-15 Sunpower Corporation Solar cell having a plurality of sub-cells coupled by a metallization structure
CN104124287B (zh) * 2014-06-20 2016-11-16 中山大学 一种单晶硅太阳电池背表面栅线电极结构及单晶硅太阳电池
DE102016110965B4 (de) * 2016-06-15 2019-03-14 Helmholtz-Zentrum Berlin Für Materialien Und Energie Gmbh Halbleiter-Bauelement mit vorder- und rückseitiger Elektrode und Verfahren zu dessen Herstellung
KR101800656B1 (ko) * 2016-08-09 2017-11-23 하이엔드테크놀로지(주) 포토레지스트 음각패턴 및 표면개질을 이용한 금속메쉬 타입 투명 전도막 제조방법 및 이에 의해 제조되는 투명 전도막
US10115855B2 (en) * 2016-09-30 2018-10-30 Sunpower Corporation Conductive foil based metallization of solar cells
US9960302B1 (en) 2016-10-18 2018-05-01 Tesla, Inc. Cascaded photovoltaic structures with interdigitated back contacts
US10937915B2 (en) 2016-10-28 2021-03-02 Tesla, Inc. Obscuring, color matching, and camouflaging solar panels
US10560049B2 (en) 2017-03-01 2020-02-11 Tesla, Inc. System and method for packaging photovoltaic roof tiles
US10381973B2 (en) 2017-05-17 2019-08-13 Tesla, Inc. Uniformly and directionally colored photovoltaic modules
US10985688B2 (en) 2017-06-05 2021-04-20 Tesla, Inc. Sidelap interconnect for photovoltaic roofing modules
US10734938B2 (en) 2017-07-21 2020-08-04 Tesla, Inc. Packaging for solar roof tiles
US10857764B2 (en) 2017-07-25 2020-12-08 Tesla, Inc. Method for improving adhesion between glass cover and encapsulant for solar roof tiles
US10978990B2 (en) 2017-09-28 2021-04-13 Tesla, Inc. Glass cover with optical-filtering coating for managing color of a solar roof tile
US10454409B2 (en) 2018-02-02 2019-10-22 Tesla, Inc. Non-flat solar roof tiles
US10862420B2 (en) 2018-02-20 2020-12-08 Tesla, Inc. Inter-tile support for solar roof tiles
US11190128B2 (en) 2018-02-27 2021-11-30 Tesla, Inc. Parallel-connected solar roof tile modules
US11431279B2 (en) 2018-07-02 2022-08-30 Tesla, Inc. Solar roof tile with a uniform appearance
US11245354B2 (en) 2018-07-31 2022-02-08 Tesla, Inc. Solar roof tile spacer with embedded circuitry
US11082005B2 (en) 2018-07-31 2021-08-03 Tesla, Inc. External electrical contact for solar roof tiles
US11245355B2 (en) 2018-09-04 2022-02-08 Tesla, Inc. Solar roof tile module
US11581843B2 (en) 2018-09-14 2023-02-14 Tesla, Inc. Solar roof tile free of back encapsulant layer
US11431280B2 (en) 2019-08-06 2022-08-30 Tesla, Inc. System and method for improving color appearance of solar roofs
CN112366249B (zh) * 2020-11-16 2023-10-20 理想万里晖半导体设备(上海)股份有限公司 具有追踪功能的太阳能电池制造方法及其所用的追踪系统

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4334455B2 (ja) * 2004-10-22 2009-09-30 シャープ株式会社 太陽電池モジュール
US8049099B2 (en) * 2006-03-01 2011-11-01 Sanyo Electric Co., Ltd. Solar cell and solar cell module including the same
US20080000522A1 (en) * 2006-06-30 2008-01-03 General Electric Company Photovoltaic device which includes all-back-contact configuration; and related processes
JP4989549B2 (ja) * 2007-08-24 2012-08-01 三洋電機株式会社 太陽電池及び太陽電池モジュール
JP2009135338A (ja) * 2007-11-30 2009-06-18 Sanyo Electric Co Ltd 太陽電池及び太陽電池の製造方法
US7999175B2 (en) * 2008-09-09 2011-08-16 Palo Alto Research Center Incorporated Interdigitated back contact silicon solar cells with laser ablated grooves
JP2011003724A (ja) * 2009-06-18 2011-01-06 Sanyo Electric Co Ltd 太陽電池モジュール
JP5625311B2 (ja) * 2009-10-20 2014-11-19 凸版印刷株式会社 太陽電池用裏面保護シート及び太陽電池モジュール
JP2011091327A (ja) * 2009-10-26 2011-05-06 Sharp Corp 太陽電池モジュールおよび太陽電池モジュールの製造方法
JP5598003B2 (ja) * 2010-01-29 2014-10-01 凸版印刷株式会社 太陽電池モジュール
JP5406900B2 (ja) * 2011-09-29 2014-02-05 シャープ株式会社 太陽電池モジュールおよびその製造方法

Also Published As

Publication number Publication date
AU2016265969A1 (en) 2016-12-15
AU2014208227A1 (en) 2014-08-21
JP2015507848A (ja) 2015-03-12
WO2013102181A1 (en) 2013-07-04

Similar Documents

Publication Publication Date Title
JP6250552B2 (ja) マルチレベルソーラーセルメタライゼーション
JP2017195401A (ja) 薄い結晶半導体吸収体を使用する高効率ソーラー光発電セルおよびモジュール
US20170278991A1 (en) Multi-level solar cell metallization
US20150171230A1 (en) Fabrication methods for back contact solar cells
US20130213469A1 (en) High efficiency solar cell structures and manufacturing methods
US20130228221A1 (en) Manufacturing methods and structures for large-area thin-film solar cells and other semiconductor devices
US20170236954A1 (en) High efficiency solar cell structures and manufacturing methods
US9379258B2 (en) Fabrication methods for monolithically isled back contact back junction solar cells
US9515217B2 (en) Monolithically isled back contact back junction solar cells
US9911875B2 (en) Solar cell metallization
KR102015591B1 (ko) 박형 실리콘 태양 전지용 활성 후면판
US20150194547A1 (en) Systems and methods for monolithically isled solar photovoltaic cells
US20130000715A1 (en) Active backplane for thin silicon solar cells
WO2013184244A1 (en) Manufacturing methods and structures for large-area thin-film solar cells and other semiconductor devices
KR20140015247A (ko) 태양전지용 백플레인 보강 및 상호연결부
WO2014127067A1 (en) Monolithically isled back contact back junction solar cells using bulk wafers
EP2507839B1 (en) Method for manufacturing photovoltaic modules comprising back-contact cells
AU2016200610B2 (en) Structures and methods of formation of contiguous and non-contiguous base regions for high efficiency back-contact solar cells
WO2014011260A2 (en) High efficiency solar cell structures and manufacturing methods
WO2015100392A2 (en) Self aligned contacts for monolithically isled back contact back junction solar cells

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151224

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151224

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160107

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20161124

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170223

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20170424

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170524

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170807

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20171004

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20171023

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20171122

R150 Certificate of patent or registration of utility model

Ref document number: 6250552

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees