JP6023777B2 - 極端紫外線リソグラフィプロセスおよび低減されたシャドウ効果および向上した強度を有するマスク - Google Patents

極端紫外線リソグラフィプロセスおよび低減されたシャドウ効果および向上した強度を有するマスク Download PDF

Info

Publication number
JP6023777B2
JP6023777B2 JP2014236676A JP2014236676A JP6023777B2 JP 6023777 B2 JP6023777 B2 JP 6023777B2 JP 2014236676 A JP2014236676 A JP 2014236676A JP 2014236676 A JP2014236676 A JP 2014236676A JP 6023777 B2 JP6023777 B2 JP 6023777B2
Authority
JP
Japan
Prior art keywords
state
mask
polygon
reflective layer
main
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014236676A
Other languages
English (en)
Other versions
JP2015103810A (ja
Inventor
彦丞 盧
彦丞 盧
信勝 游
信勝 游
政宏 陳
政宏 陳
濤南 嚴
濤南 嚴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of JP2015103810A publication Critical patent/JP2015103810A/ja
Application granted granted Critical
Publication of JP6023777B2 publication Critical patent/JP6023777B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0275Photolithographic processes using lasers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/26Phase shift masks [PSM]; PSM blanks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/76Patterning of masks by imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Optics & Photonics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Microscoopes, Condenser (AREA)

Description

この出願は、2013年11月22日に出願された米国特許61/907,882号の利益を享受し、その内容は引用によって本願に援用される。
本発明は、極端紫外線リソグラフィプロセスおよび低減されたシャドウ効果および向上した強度を有するマスクに関するものである。
半導体集積回路(IC)産業は、急激な成長を遂げている。ICの材料と設計における技術的な進歩は、ICの世代を産出し、各世代が前の世代に比べ、より小さく、且つより複雑な回路を有している。ICの発展過程では、通常、機能密度(即ち、チップ面積当たりの相互接続の装置の数)は増大されるが、形状寸法(即ち、製造プロセスを用いて作ることができる最小の構成要素(または線))は、減少される。この縮小プロセスは、通常、生産効率を上げ、関連コストを下げることによって利点を提供する。このような縮小は、IC処理と製造の複雑さも増大させる。これらの進歩を実現するために、IC処理と製造において同様の開発が必要とされる。例えば、高解像度のリソグラフィプロセスの実行を発展させる必要がある。1つのリソグラフィ技術は、極端紫外線リソグラフィ(EUVL)である。その他の技術は、X線リソグラフィ、イオンビーム投影リソグラフィ、電子ビーム投影リソグラフィ、および複数の電子ビームマスクレスリソグラフィを含む。
EUVLは、波長が約1〜100nmある極端紫外線(EUV)領域の光を用いたスキャナーを用いている。EUVスキャナーは、屈折光学でなく、反射光学を用いる以外(即ちレンズでなく、鏡を用いる)、いくつかのEUVスキャナーは、いくつかの光学スキャナーと同様の4X縮小投影プリント(printing)を用いる。EUVスキャナーは、反射マスク上に形成された望ましいパターンを吸収層(「EUV」マスク吸収体)に提供する。現在、軸上照明(on-axis illumination;ONI)を伴うバイナリマスク(BIM)がEUVLで用いられている。後のノード(例えば、最小ピッチが32nmと22nmのノードなど)の十分なエアリアルイメージのコントラスト(aerial image contrast)を実現するために、いくつかの技術、例えば、減衰位相シフトマスク(AttPSM)と交互位相シフトマスク(AltPSM)がEUVLの解像度の向上を得るために開発されている。しかしながら、各技術は、克服しなければならない制限がある。例えば、AltPSMで言えば、大きな反射減衰量なしで、位相シフト領域を生成する方法の1つは、基板上に好適な高さの段差を作り、その段差上に多層(ML)を形成することである。しかしながら、段差の高さをなくす傾向があり、位相シフト領域と非位相シフト領域との間の大きな遷移領域となる。これは、実現できる解像限界を制限する。このため、マスク構造およびその製造と使用方法がこの領域で更に向上されることが望まれている。
米国特許第8628897号明細書
極端紫外線リソグラフィプロセスおよび低減されたシャドウ効果および向上した強度を有するマスクを提供する。
本発明は、いくつかの実施形態に従って極端紫外線リソグラフィ(EUVL)のマスクを提供する。マスクは、互いに異なる第1の状態と第2の状態、第1のメインポリゴンと第1のメインポリゴンと隣接する第2のメインポリゴン、複数のサブレゾリューションアシストポリゴン、およびフィールドを含む。第2のメインポリゴンに割り当てられる状態は、サブレゾリューションアシストポリゴンの状態に関連し第1のメインポリゴンに割り当てられる状態は、フィールドの状態と連する。第1のメインポリゴンに割り当てられた状態は、第2のメインポリゴンに割り当てられた状態と異なる。複数のアシストポリゴンは、同じ状態に割り当てられ、フィールドに割り当てられた状態と異なる。
本発明は、いくつかの実施形態に従ってターゲットをパターン化する極端紫外線リソグラフィ(EUVL)プロセスを提供する。EUVLプロセスは、マスクを受けるステップを含む。マスクは、第1の状態と、第1の状態と異なる第2の状態、第1のメインポリゴンと第1のメインポリゴンと隣接する第2のメインポリゴン、複数のサブレゾリューションアシストポリゴン、およびフィールドを含む。第1のメインポリゴンに割り当てられた状態は、第2のメインポリゴンに割り当てられた状態と異なる。複数のアシストポリゴンは、同じ状態に割り当てられ、フィールドに割り当てられた状態と異なる。EUVLプロセスは、0.3未満の部分干渉性σの略軸上照明(ONI)によってマスクを露光し、回折光と非回折光を生成するステップ、フィルタによって殆どの非回折光を除去するステップ、および投影光学ボックス(POB)によって、回折光と除去されていない非回折光を収集して導いて、ターゲットを露光するステップを更に含む。
本開示の態様は、添付の図面を参照して、次の詳細な説明から良く理解される。工業における標準的プラクティスに従って、種々の特徴は寸法通りには描かれないことに注意すべきである。実際、種々の特徴の寸法は、議論の明確化のために、任意に増加または減少されているかもしれない。
本発明の1つ以上の実施形態の態様に応じて構成されたマスク構造を実施するリソグラフィシステムのブロック図である。 マスク構造およびそれを用いた方法を含む本発明の1つ以上の実施形態を実施するリソグラフィプロセスに用いられる投影光学ボックス(POB)の斜視図である。 本発明の1つ以上の実施形態の態様に応じて構成された種々の製造段階でのEUVマスクの断面図である。 本発明の1つ以上の実施形態の態様に応じて構成された図3のEUVマスク(一部)の断面図である。 本発明のもう1つ以上の実施形態の態様に応じて構成された図3のEUVマスク(一部)の断面図である。 本発明の1つ以上の実施形態の態様に応じて構成された種々の製造段階でのEUVマスクの断面図である。 本発明の1つ以上の実施形態の態様に応じたEUVマスクの上面図である。 本発明のもう1つ以上の実施形態の態様に応じて構成されたマスク製作の方法のフローチャートである。 本発明のもう1つ以上の実施形態の態様に応じて構成されたリソグラフィプロセスのフローチャートである。
次の開示は、その開示の異なる特徴を実施するための、多くの異なる実施の形態または実施例を提供することが分る。本開示を簡素化するために、複数の要素および複数の配列の特定の実施例が以下に述べられる。これらは単に実施例であり、これらに制限されるものではないことは勿論である。例えば、本説明の第2特徴の上方の、または第2特徴上の第1特徴の形成は、続いて、特徴が直接接触で形成される複数の実施の形態を含むことができ、且つ前記特徴が直接接触でないように、付加的な特徴が前記第1と第2特徴間に形成された複数の実施の形態を含むこともできる。また、本開示は、種々の実施例において、参照番号および/または文字を繰り返し用いている。この反復は、簡素化と明確さの目的のためであって、種々の実施の形態および/または議論された構成との間の関係を規定するものではない。
また、空間的に相対的な用語、例えば“下の方”、“下方”、“下の”、“上方”、“上の”などの派生語は、本開示の1つの要素または特徴と、別の要素と特徴との関係を簡略化するために用いられる。空間的に相対的な用語は、特徴に表される方向以外に、使用中または動作中におけるデバイスの異なる方向をカバーすることを意図している。例えば、図の装置が逆さにある場合、他の要素または特徴の“下方”、また“下の方”で記述される要素は、他の要素または特徴の“上方”に方向を定められる。従って、例示的な用語“下方”は、上方と下方の両方向を含むことができる。前記装置は、他の方式で方向づけされてもよく(90度回転して、または他の方向に)、ここで用いられる空間的に相対する記述が同様にそれに応じて解釈され得る。
図1は、本発明の1つ以上の実施形態から利点を受け得る極端紫外線(EUV)リソグラフィシステム10が以下に述べられている。EUVリソグラフィシステム10でEUVリソグラフィプロセスを実現する方法およびシステム10が図1を参照しながら併せて説明される。EUVリソグラフィシステム10は、1〜100ナノメートル(nm)の範囲の波長のEUV光を生成する放射源20を用いている。
EUVリソグラフィシステム10は、照明装置30も用いる。照明装置30は、放射源20からの光をマスク40に導くための、例えば単一のレンズまたは複数のレンズ(ゾーンプレート)を有するレンズシステムなどの屈折光学、または例えば単一のミラーまた複数のミラーを有するミラーシステムなどの反射光学を含むことができる。EUVの波長範囲では、ほぼ全ての凝縮材料は、吸収率が高く、通常、複数のミラー(後述される)からなる反射光学が用いられる。しかしながら、屈折光学は、例えばゾーンプレートによって実現されることもできる。ゾーンプレートによって実現された屈折光学は、主にローエンドのアプリケーション用の低コストの解決策である。本実施形態では、照明装置30が設置され、マスク40を照射するように軸上照明(ONI)を提供する。ONIでは、マスクに投射する全ての入射光線が形成する入射角は、主光線が形成する入射角(AOI)と同じ入射角、例えば、AOI=6°である。実際の状況では、入射光の広がり角があってもよい。例えば、小さい部分干渉性σ(例えば、σ=0.3)のディスク照明(即ち、瞳面上の照明の形状が瞳中心で集中したディスクのようになっている)が用いられる場合、主光線からずれた最大角度は、sin-1[m×σ×NA]であり、mとNAは、それぞれ撮像システムの投影光学ボックス(POB)50(下記に詳述される)の倍率と開口数である。軸外照明の場合、部分干渉性σは、マスク40を照射する平面波を生成する点光源を説明するのに用いられることもできる。この場合、瞳中心から瞳面上の点光源までの距離はNA×σであり、マスク40に投射する対応の平面波のAOIはsin-1[m×σ×NA]である。本実施形態では、0.3未満のσの点光源からなる略軸上照明(殆どONI)を用いるのに十分である。我々の実験と評価によると、EUVLにおいて、5%の減衰位相シフトマスク(AttPSM)を伴った軸外照明(OAI)を用いた従来の超解像技術(RET)は、レジストブラ(resist blur)が非常に小さくなることができない限り、32nmの最小ピッチのテクノロジーノードを超えることができない。これがこの発明でONIが用いられる理由である。
EUVリソグラフィシステム10は、マスクステージ42に固定されたマスク40(文献ではフォトマスクまたはレクチルとも呼ばれる)も用いる。マスク40は、透過マスクまたは反射マスクであることができる。本実施形態では、マスク40は、下記に詳述される反射マスクである。
本実施形態では、マスク40は、複数のメインポリゴン(main polygons)を含む。メインポリゴンは、ICデザインの層のパターンを示しており、ターゲット60にイメージ化される。メインポリゴンのないバックグラウンド領域は、サブレゾリューションアシストポリゴン(sub-resolution assist polygon)で満たされることができ、バックグラウンド領域の効果的な反射係数を調整する。サブレゾリューションアシストポリゴンは、長い長方形を含むことができる。例えば、ピッチpの同一の長い長方形の規則的な配列では(p=w+s、wは長い長方形の短い端の幅であり、sは2つの長い長方形の間の間隔である)、p<λ/NAの場合、ターゲット60にプリントしない。メインポリゴンとサブレゾリューションアシストポリゴンのない領域は、フィールドと呼ばれる。
EUVリソグラフィシステム10は、POB50も用いる。POB50は、屈折光学または反射光学を有することができる。本実施形態では、POB50は、反射光学を有する。マスク40から反射された放射線(例えばパターン化された放射線)は、POB50によって収集される。マスク40から反射された放射線は、種々な回折次数に回折され、POB50によって収集される。POB50は、1より小さい倍率を含むことができる(そのため、ターゲット60上の「イメージ」のサイズは、マスク40上の対応の「オブジェクト」のサイズより小さい)。
EUVリソグラフィシステム10とマスク40を用いたEUVリソグラフィプロセスのための方法が図2を参照しながら1つの実施形態に従って説明される。図2では、マスク40から反射された後、入射光線70は、マスク40上のポリゴンの存在により、種々な回折次数の光線、例えば0次回折光71、−1次回折光72、および+1次回折光73などに回折される。リソグラフィイメージングでは、通常、純粋なコヒーレント照明は、用いられない。本実施形態では、照明装置30より生成された部分干渉性σの最大値が0.3であるディスク照明が用いられる。これは、主光線の入射角(AOI)がsin-1[m×σ×NA]より大きくない主光線の入射角からずれたマスクに入射する多くの光線があり、各入射光線が種々な回折次数の光線を生成することを意味する。次いで、非回折光線71は、例えば、瞳面の中心遮光膜(obscuration)によって殆ど除去される(実際の状況では、丁度POB50によって収集されない)。−1次回折光72と+1次回折光73は、POB50によって収集され、ターゲット60を露光するように導かれる。−1次回折光72と+1次回折光73の強度は、バランスが取れているため、それらは互いに干渉し、高コントラストのエアリアル(aerial)イメージを生成する。最も高いエアリアルイメージのコントラストが実現されることができ、露光ラチチュード(EL)が最大限に生かされる。−1次回折光72と+1次回折光73が瞳面の瞳中心から同じ距離であるため、焦点深度(DOF)も最大化される。より孤立したパターン(例えば、接触層のパターン)では、より多くの回折次数は、同じNAにおいて収集されることができる。この場合、軸外照明(0次回折次数はそのまま除去されている)を用いて、解像度の向上を実現し、瞳充填率(照らされた領域対瞳の全面積)を上げることができる。
ターゲット60は、本実施形態のEUV放射などの放射ビームに敏感である、感光層(例えば、フォトレジストまたはレジスト)を有する半導体ウエハを含む。ターゲット60は、リソグラフィシステム10のターゲット基板ステージによって保持されることができる。ターゲット基板ステージは、マスクの像が繰り返してターゲット基板上にスキャンされるように(他のリソグラフィ方法でも可能であるが)、ターゲット基板の位置の制御を提供する。
以下の説明は、マスク40とマスク製造プロセスに関することである。マスク製造プロセスは、2つのステップ、ブランクマスク(blank mask)製造プロセスとマスクパターニングプロセスを含む。ブランクマスク製造プロセスでは、ブランクマスクは、好適な層(例えば、反射多層膜)を好適な基板上に堆積することによって形成される。ブランクマスクは、マスクパターニングプロセス中にパターン化され、集積回路(IC)の層の設計を有する。次いで、パターン化されたマスクは、回路パターンを半導体ウエハ上に転写するように用いられる。マスク上のパターンは、種々なリソグラフィプロセスによって繰り返し複数のウエハ上に転送されることができる。複数のマスク(例えば、15〜30のセットのマスク)は、完全なICを構成するのに用いられることができる。一般的に、種々マスクが種々のリソグラフィプロセスに用いられるように製造される。EUVマスクのタイプは、バイナリマスク(BIM)と位相シフトマスク(PSM)を含む。
図3と図4は、本発明の種々の実施形態の態様に応じて構成された種々の製造段階でのマスク40の断面図である。マスク40とその製造方法は、図3〜図4および他の図を参照にしながら併せて説明される。
図3では、この段階のマスク40は、低熱膨張材料(LTEM)で作られている基板110を含むブランクEUVマスクである。LTEMの材料は、TiO2ドープSiO2、または当技術分野で周知の他の低熱膨張材料を含むことができる。LTEM基板110は、マスクの加熱(heating)による画像歪みを最小化するために用いられる。本実施形態では、LTEM基板は、欠陥レベルが低く、スムーズな面の材料を含む。また、導電層105は、静電吸着の実現のためにLTEM基板110の後面に配置される。1つの実施形態では、導電層105は、他の合成物でも可能であるが、窒化クロム(CrN)を含む。
反射層(ML)120は、前述のLTEM基板110上に配置される。ML120は、第1の反射層120とも呼ばれ、他の反射層が後で導かれるとき、混乱を避ける。フレネルの式に従って、光が異なる屈折率の2種類の材料間のインターフェースを介して伝播するとき、光反射が生じる。反射光は、屈折率の差が大きいとき、大きくなる。反射光を増加させるために、多層の代替材料を堆積することによってインターフェースの数を増加させて、多層内の各層用に、好適な厚さを選ぶことによって異なるインターフェースから反射された光を構造的に干渉させる。しかしながら、多層の使用材料の吸収は、実現できる最大の反射率を制限する。ML120は、例えば、モリブデン−シリコン(Mo/Si)層ペア(例えば、各層ペアのシリコン層の上方または下方にモリブデン層がある)など、複数の層ペアを含む。また、ML120は、モリブデン−ベリリウム(Mo/Be)層ペア、またはML120に用いられることができる、EUV波長で高反射である任意の材料を含むことができる。ML120の各層の厚さは、EUV波長および入射角によって決まる。ML120の厚さは、各インターフェースで反射されたEUV光の最大の構造的なインターフェースと、ML120によるEUV光の最小の吸収を実現するように調節される。ML120は、高反射率を選ばれた放射タイプ/波長に提供するように選ばれることができる。通常、層ペアの数は、20〜80の範囲にあるが、層ペアの数は、いくつでも可能である。1つの実施形態では、ML120は、40ペアのMo/Si層ペアを含む。各Mo/Si層ペアは、約7nmの厚さを有し、全部の厚さが280nmである。この場合、約70%の反射率が実現される。
バッファ層130は、1つ以上の機能のためにML120よりも上方に形成されることができる。1つの実施形態では、バッファ層130は、パターニングプロセスまたは例えば、リペアまたはクリーニングなどの他の動作でエッチストップ層として機能する。もう1つの実施例では、バッファ層130は、ML120の酸化を防ぐように機能する。バッファ層130は、1つ以上の膜層を含み、所定の機能を実現する。本実施形態では、バッファ層130は、第2の反射層150と異なるエッチング特性を有し、下記に後述される。1つの実施形態では、バッファ層130は、ルテニウム(Ru)を含む。さらなる実施例においては、バッファ層130は、2〜5nmの範囲の厚さを有するRu膜層を含む。もう1つの実施例では、バッファ層130は、例えば、RuB、RuSiなどのRu化合物、クロム(Cr)、酸化クロム、または窒化クロムを含むことができる。低温堆積プロセスは、バッファ層を形成してML120の相互拡散を防止するようにしばしば選択される。
特に、マスク40は、第1の反射層120上に形成される第2の反射層150を含む。バッファ層130が存在する実施形態では、第2の反射層150は、図3に示されるように、バッファ層130上に形成される。第2の反射層150は、ICレイアウトに応じてパターン化されることになる。第2の反射層は、反射係数で180°の位相シフトを生じるように(第2の反射層がパターニング後に除去される領域に対して)設計されるため、EUVLの位相シフトマスクが実現されることができる。ここでは、第2の領域に対する第1の領域の相対的な反射係数は、同じ入射光の第1の領域と第2の領域から反射された光の振幅(共通のマスク面(例えば、マスク底面)から同じ垂直高さで評価される、位相情報を含む複素数)の比率として定義される。
反射係数が−1(「1」は、衰退なしを表し、「−」は、180°位相シフトを表す)であり得るとき、マスク40は交互位相シフトマスク(Alt−PSM)である。この場合、ICレイアウトのライン/スペースの面積比が1:1である場合、0次回折がなく、高コントラストのエアリアルイメージが実現されることができる。しかしながら、第2の反射層の必要な厚さが大き過ぎる場合、マスクシャドーイング効果が生じ、逆にエアリアルイメージのコントラストを低下させる。このため、第2の反射層の設計は、反射係数と厚さとの間の妥協が必要である。本実施形態では、高コントラストのエアリアルイメージは、0次回折が瞳フィルタによって除去されるため、常に実現されることができる。しかしながら、反射係数が−1に近づくことができる場合、0次回折の振幅は、0に近づけることができ、0次回折の除去による照射量の損失は、最小化されることができ、露光ツールのスループットは最大化されることができる。また、180°の位相シフトを実現する第2の反射層の必要な厚さをより小さくすることができる場合、マスクトポグラフィによる光散乱は低減されることができ、露光ツールのスループットは、1次回折の強度の増加によりさらに向上させることができる。EUV波長の範囲では、各材料は、高吸収性である。第2の反射層用に単一の材料を用いて−1に近い反射係数を実現することは難しい。EUVLでは、交互材料の多層が用いられて高反射率を実現する。注意すべきは、反射率は、反射係数の絶対値の2乗と定義されることである。
13.5nmの波長を用いるEUVLでは、13.5nm近傍のスペクトル範囲に対して最大の積分反射率を与えるため、SiとMoがベストな選択である。典型的なMLの設計は、各ペアで約3nmのMoと約4nmのSiのSi/Moペアからなる。この典型的なMLの設計が位相シフトマスクを実現するために第2の反射層に用いられるとき、各Si/Moペアは約12°の位相シフトに寄与する。このため、180°の位相シフトを実現するには、総厚約105nmの15のSi/Moペアを必要とし、この場合、マスクシャドーイング効果は、顕著でなければならない。Siの屈折率と消滅(extinction)係数がそれぞれ1と0に近いため、Siは、位相シフト(空気に伝播する光を基準にして)に殆ど寄与しない。殆どの全ての位相シフトは、Moの存在によって決まる。Siが行う役割は、光の反射が起こるより多くのSi/Moインターフェースを生成することだけである。従って、Moの総厚は、44nmに近く、どんな設計が第2の反射層に用いられても同じである。この典型的なMLの設計では、隣接のSi/Moペアから反射された光の位相差は、360°であり(360°の整数倍のみが高反射率を実現するために許容可能である最小値)、この場合、全ての3nmのMoは、4nmのSiを伴い、かなりの積層の高さとなる。しかしながら、隣接のSi/Moペアから反射された反射された光の位相差を720°にした場合、それほど多くのSi層を挿入する必要がなく、第2の反射層のために180°の位相シフトを生じさせるのに必要な総厚がこのため、減少させることができる。
第1の実施形態では、図4に示されるように、第2の反射層150は、約44nmの厚さを有する単一のモリブデン(Mo)膜151を含む。前項に述べられた理由によると、これはMoが用いられる場合、最も薄い設計の反射層でなければならない。この実施形態では、反射係数は、約−0.7757であり、反射率は約0.6017である。
第2の実施形態では、第2の反射層150は、断面図として図5に示されるように、多層膜を含む。具体的に言えば、第2の反射層150は、5つのMo膜162、164、166、168、および170と5つのSi膜152、154、156、158、および160を含み、2つの隣接するMo膜がSi膜を挟み、2つの隣接するSi膜がMo膜を挟むように構成される。本実施形態では、Mo膜162は、約1nmの厚さを有し、Mo膜164、166、168、および170は、約10.1nmの同じ厚さを有する。Si膜152は、約4nmの厚さを有し、Si膜154、156と、158は、約4.3nmの同じ厚さを有し、上部のSi膜160は、約2.6nmの厚さを有する。第2の反射層150は、上部のシリコン膜160上に堆積されたもう1つのバッファ層172を更に含むことができる。この実施形態では、反射係数は、約−0.8665であり、反射率は約0.7508である。この実施形態では、第2の反射層の総厚は、約63.4nmであり、105nmよりはるかに小さい。第1の実施形態と比較し、第2の反射層の総厚は、約19.4nmより大きいが、反射率は約55.7%高い。
第1または第2の実施形態では、各厚さは、その各公称値の20%以内にある。言い換えれば、各厚さはその各公称値の80%〜120%の範囲内にある。
バッファ層172は、バッファ層130と同様である。例えば、バッファ層172は、Ru膜を含む。実施例を推進するために、バッファ層172は、2〜5nmの範囲の厚さを有するRu膜層を含む。もう1つの実施例では、バッファ層172は、例えば、RuB、RuSiなどのRu化合物、クロム(Cr)、酸化クロム、または窒化クロムを含むことができる。
図3を再度参照すると、1つ以上の層105、120、130、と150(例えば、図の151または図5の152〜170)は、例えば蒸着とDCマグネトロンスパッタなどの物理蒸着(PVD)プロセス、例えば無電解めっきまたは電気めっきなどのめっきプロセス、例えば大気圧CVD(APCVD)、低圧CVD(LPCVD)、プラズマCVD(PECVD)、または高密度プラズマCVD(HDP CVD)などの化学蒸着(CVD)プロセス、イオンビーム蒸着、スピンオンコーティング、有機金属分解(MOD)、および/または当技術分野で周知の他の方法を含む種々の方法によって形成されることができる。MODは、非真空環境において液体ベースの方法を用いる蒸着技術である。MODを用いることで、溶剤で溶解される有機金属前駆体は、基板上にスピンコーティングされ、且つ溶剤は蒸発される。真空紫外(VUV)光源は、有機金属前駆体を金属成分元素に変換するように用いられる。
図6を参照すると、本実施形態の1つは、第2の反射層150は、パターン化されて2つの状態を有するマスクを形成する。第2の反射層150は、マスクパターニングプロセスによって状態210と状態220を形成する。マスクパターニングプロセスは、レジスト塗布(例えばスピンオンコーティング)、ソフトベーキング、マスク合わせ、露光、露光後ベーク、現像、水洗、乾燥(例えばハードベーキング)、他の好適なプロセス、および/またはその組み合わせを含んで、パターン化されたレジスト層を形成することができる。電子、イオン、または光子ビームによる直接書き込みは、マスクパターニングプロセスの露光ステップに用いられることができる。
前述のパターニングプロセスでは、エッチングプロセスは、次いでエッチングマスクとしてパターン化されたレジスト層で第2の反射層150の部分を除去するのに用いられる。エッチングプロセスは、ドライ(プラズマ)エッチング、ウェットエッチング、および/または他のエッチング方法を含むことができる。状態210では、第2の反射層が除去される。状態220では、第2の反射層が残る。
図6をさらに参照して、EUVマスク40は、2つの状態210と220を含む。状態210と状態220の反射係数は、それぞれr1とr2とする。2つの状態は、r2の絶対値がr1の絶対値に実質的に等しいまたは近いように構成される。また、本実施形態では、状態210の領域からの反射されたEUV光と状態220の領域からの反射されたEUV光は、180°の位相差を有する。
図7は、本発明の1つ以上の実施形態の態様に応じたマスク40の上面図である。0次回折(周波数空間)を除去することは、空間周波数を2倍(実際の空間において)にする。従って、空間周波数をマスク上で二分割し、ターゲット60で望ましいICパターンを得ることが必要である。これは3つの状態、即ち3つの異なる反射係数のマスクによって、且つ異なる状態を隣接したメインポリゴン(ICパターン用の)とバックグラウンド(即ち、メインポリゴンのない領域)に割り当てることによって実現されることができる。2つの状態、即ち、状態210と220が既にある。本実施形態では、バックグラウンドのための第3の状態は、サブレゾリューションアシストポリゴンをバックグラウンドに実施し、同じ状態(例えば、状態220)を全てのサブレゾリューションアシストポリゴンに割り当てることによって作られる。注意するのは、バックグラウンドは、メインポリゴンなしの領域に定義され、フィールドはメインポリゴンとアシストポリゴンなしの領域として定義される。フィールドとサブレゾリューションアシストポリゴンは、併せてバックグラウンドを定義する。次いで、フィールドはアシストポリゴンに割り当てられた状態と異なるもう一つの状態(例えば、状態210)と関連する。サブレゾリューションアシストポリゴンは、リソグラフィ露光プロセス中、印刷可能でない。サブレゾリューションアシストポリゴンの少なくとも1つのエッジは、/NAより短く、は、放射源の波長であり、NAは、POBの開口数である。サブレゾリューションアシストポリゴンは、印刷可能でないため、バックグラウンドは、併せてそれらの第1と第2の状態(210と220)と異なる効果的な反射係数を有する。従って、バックグラウンドの効果的な反射係数は、バックグラウンドの種々の領域の反射係数の面積加重平均である。
よって、図7に示されるように、マスク40は、3つの異なる状態を有する。隣接のメインポリゴン310と320は、異なる状態(例えば、それぞれ状態210と220)に割り当てられる。バックグラウンドでは、フィールド330とサブレゾリューションアシストポリゴン340は、異なる状態(例えば、それぞれ状態210と220)に割り当てられるため、第1と第2のマスク状態(210と220)と異なる第3のマスク状態のバックグラウンドを作る。サブレゾリューションアシストポリゴン340は、印刷可能でないため、バックグラウンドは、それらの第1と第2の状態と異なる効果的な反射係数を有するが、バックグラウンドのサブレゾリューションアシストポリゴン340のパターン密度によって調節可能である。
リソグラフィ露光プロセス中、マスク40は、0.3より小さい部分干渉性σの略軸上照明(ONI)によって露光され、回折光と非回折光を生成し、殆どの非回折光を除去し、且つ投影光学ボックス(POB)によって、回折光と除去されていない非回折光を収集して導いて、ターゲットを露光する。
本実施形態では、マスク40は、EUVリソグラフィシステム10用に例えば交互に位相がシフトするマスク(AltPSM)などの位相シフトマスクとして設計される。マスク40は、複数のメインポリゴン(ICパターン用の)とアシストポリゴンを含む。隣接のメインポリゴンは、異なる状態に割り当てられる。例えば、種々のメインポリゴン310と320は、状態210と220にそれぞれ割り当てられる。サブレゾリューションアシストポリゴンは、EUVリソグラフィシステム10で、ターゲット60(ウエハなど)に撮像されていない。しかしながら、それらは、バックグラウンドの(効果的な)反射係数を変える。本実施形態では、バックグラウンドのパターン密度は、実質的に均一である。バックグラウンドのパターン密度は、単位面積のアシストポリゴンの総面積として定義される。バックグラウンドの(効果的な)反射係数は、バックグラウンドでパターン密度を変えることによって調整されることができる。メインポリゴンとアシストポリゴンは、第2の反射層150をパターン化することで形成される。
また、第2の反射層150は、状態210からの反射されたEUV光と状態220からの反射されたEUV光が180°の位相差を有するように設計される。この場合、0次回折の振幅(マスク上のメインポリゴン、アシストポリゴン、およびフィールドを含む種々の領域の反射係数の面積加重の比)は、パターン密度を調節することによって0であることができる。例えば、r2=−r1で、パターン密度が50%であるとき、0次回折の振幅は、0である。よって、0次回折の除去による照射量の損失は、最小化されることができ、露光ツールのスループットは最大化されることができる。
第2の反射層150は、状態210の反射係数に対する状態220の反射係数を決定する。図4と関連した第1の実施形態では、(対応する)反射係数は、約−0.7757である。図5と関連した第2の実施形態では、(対応する)反射係数は、約−0.8665である。ここでは、「−」の記号は、180°の位相差を表している。
様々な利点が本発明の異なる実施形態において表されることができる。例えば、マスク40は、交互位相シフトマスクとして設計され、撮像品質、コントラスト、および解像度が向上される。マスク40は、従来の交互位相シフトマスクに比べ、パターンの不均衡の問題を受けない。1つの実施形態では、振幅Aは、実質的に1に近く(図4と関連した第1の実施形態での0.776と図5と関連した第2の実施形態での0.867)、全体の放射エネルギーの損失は、約40%以下と実質的に低い。従って、リソグラフィ露光プロセスの露光期間は、減少され、スループットは増加される。種々の実施形態では、マスク40のパターン化された層(第2の反射層150)の厚さは、90nmより下方に減少され、露光プロセス中のシャドウ効果は、減少されるまたは取り除かれる。パターン化された層150を形成するように、吸収層が用いられないか、または少ない減衰材が用いられるため、放射エネルギーの損失が低減される。もう1つの実施形態では、図7のマスク40は、サブレゾリューションアシストポリゴン340が第1の状態210にあり、フィールド330が第2の状態220にあるように異なって設計されることができる。
図8は、マスクを製造する方法350のフローチャートである。方法350は、図7と図8を参照にしながら説明される。方法350は、例えばドープ特性(doped feature)、フィン形状のアクティブ領域、ゲート、または金属配線などの複数のICの特徴(メインポリゴンとも呼ばれる)を有するICパターンを受ける動作352を含む。
方法350は、種々のメインポリゴンを2つの状態(第1の状態と第2の状態)に割り当てることで、隣接のメインポリゴンが異なる状態に割り当てられる動作354を含む。第1の状態は、第1の反射率を有し、第2の状態は、第2の反射率を有する。種々の実施例では、第2の反射率は、第1の反射率の50%より大きいか、または第1の反射率は第2の反射率の50%より大きい。図7では、メインポリゴン310と320は、それぞれ状態210と220に割り当てられる。
方法350は、バックグラウンドでサブレゾリューションポリゴンを組み込むという動作356を含み、これにより第1の状態210と第2の状態220などの異なる状態でフィールドとサブレゾリューションポリゴンをそれぞれ割り当てる。図7では、フィールド330は、状態210で割り当てられ、サブレゾリューションポリゴン340は、状態220で割り当てられる。バックグラウンドのサブレゾリューションポリゴン340のパターン密度は、バックグラウンドが、反射係数で状態210と220と異なる所望の状態を有するように調整されるように設計される。
方法350は、各々の割り当てられた状態(210または220)のメインポリゴンとサブレゾリューションポリゴンのバックグラウンドを含むICパターンに基づくマスク製造用のテープアウトデータを形成した操作358を含む。テープアウトデータは、ICパターンを定義し、マスク製造用に好適なフォーマットに準備される。方法350は、操作358の前の他の操作を含んでもよい。例えば、方法350は、フラクチャリング、光近接効果補正(OPC)、シミュレーション、デザインルールチェック、および/またはマスクルールチェックを含むことができる。1つの実施例ではOPCプロセスは、ICパターンに種々のアシストポリゴンを組み込んでいる。実施例の促進には、アシストポリゴンは、例えば第2状態220などの同じ状態で割り当てられる。
方法350は、テープアウトデータに基づいて、マスク40を製造する操作360を含むことができる。マスク40の製造は、図3〜図6に上述される。例えば、マスクの製造は、第1の反射層120の形成と第2の反射層150の形成を含む。マスクの製造は、テープアウトデータに基づき、第2の反射層150のパターン化をし、それぞれ状態210または状態220の種々の特徴を形成するステップを更に含む。
方法350は、マスクを用いてウエハを形成する操作362を含むことができる。ウエハの製造は、マスク40を用いてウエハにリソグラフィプロセスを行うステップを含む。操作362は、図9に示されたフローチャートのように方法362が更に説明される。
方法362は、EUVリソグラフィ露光プロセスを行うことができるリソグラフィシステム10などのリソグラフィシステム(図1の)にマスク40をローディングする操作372を含むことができる。マスクは、複数の状態210と220を含む。特に、異なる状態が隣接のメインポリゴンに割り当てられる。サブレゾリューションポリゴンとフィールドは、異なる状態で割り当てられる。
方法362は、ターゲット60をリソグラフィシステム10にローディングする操作374を含むことができる。ウエハなどのターゲット60は、レジスト層で塗布される。本実施形態では、レジスト層は、リソグラフィシステム10の放射源20からのEUV放射に敏感である。
方法362は、リソグラフィシステム10でリソグラフィ露光プロセスをターゲットに行う操作376を含む。リソグラフィ露光プロセスは、マスク40を用いるため、マスク40に定義されたマスクパターンは、レジスタ層に撮像される。本実施形態では、操作376のリソグラフィ露光プロセスは、非回折光が除去されるモードで実行される。特に、リソグラフィ露光プロセスは、0.3より小さい部分干渉性σの略軸上照明(ONI)によってマスク40を露光し、回折光と非回折光を生成し、殆どの非回折光を除去し、且つ投影光学ボックス(POB)によって、回折光と除去されていない非回折光を収集して導いて、ターゲットを露光するステップを含む。
方法362は、露光されたレジスト層を現像することによって、その上に定義された複数の開口を有するレジストパターンを形成する操作378を含むことができる。方法362は、例えば操作380の他の操作を更に含んで、レジストパターンの開口を通してウエハに製造プロセスを行うことができる。1つの実施例では、製造プロセスは、ウエハがレジストパターンをエッチマスクとして用いるエッチングプロセスを含む。もう1つの実施例では、製造プロセスは、ウエハがレジストパターンを注入用のマスクとして用いるイオン注入プロセスを含む。
本発明はリソグラフィシステムとプロセスに導く。1つの実施形態では、極端紫外線リソグラフィ(EUVL)プロセスは、複数の状態でEUVマスクを受け、EUVマスクの異なる状態は、隣接のメインポリゴンに割り当てられ、且つサブレゾリューションポリゴンをバックグランドに組み込んで、フィールドとサブレゾリューションポリゴンが異なる状態で割り当てられ、且つ0.3未満の部分干渉性σの略軸上照明によってEUVマスクを露光し、回折光と非回折光を生成し、殆どの非回折光を除去し、且つ投影光学ボックス(POB)によって、回折光と除去されていない非回折光を収集して導いて、ターゲットを露光するステップを含む。
もう1つの実施形態では、EUVLプロセスは、2つの状態のEUVマスクを形成し、隣接のメインポリゴンにEUVマスクの異なる状態とフィールドとサブレゾリューションポリゴンに異なる状態を割り当て、0.3未満の部分干渉性σの略軸上照明によってEUVマスクを露光し、回折光と非回折光を生成し、非回折光を除去し、且つPOBによって、回折光と除去されていない非回折光を収集して導いて、半導体ウエハを露光するステップを含む。
本発明は、マスクにも導く。1つの実施形態では、EUVマスクは、低熱膨張材料(LTEM)基板、LTEM基板の1つの表面上の反射ML(第1の反射層)、およびLTEM基板の反対側の表面上の導電層を含む。バッファ層は、反射ML上に提供され、第2の反射層は、バッファ層上に提供される。パターニングプロセスは、第2の反射層に行われ、異なるメインポリゴン、フィールドと、サブレゾリューションポリゴンに割り当てられた複数の状態を形成する。
上述に基づくと、本発明がEUVリソグラフィプロセス362を提供することが分る。EUVリソグラフィプロセスは、0.3未満の部分干渉性σの略軸上照明(例えばディスク照明)を用いてEUVマスクを露光し、回折光と非回折光を生成する。EUVリソグラフィプロセス362は、非回折光を除去し、主に2つの対照的に配置され(瞳面上に)、強度のバランスが取れた−1次回折次数と+1次回折次数からの回折光を用いて、半導体ウエハを露光する。EUVリソグラフィプロセスは、所定の反射係数を有する2つの状態のEUVマスクも用いる。異なる状態が隣接のメインポリゴン、フィールドと、サブレゾリューションポリゴンに割り当てられる。EUVリソグラフィプロセスは、両ライン/スペースとエンド−エンドパターン用にエアリアルイメージのコントラストの向上を示し、最大ピッチの範囲で高い焦点深度(DOF)を実現する。EUVリソグラフィプロセスは、先進技術のノードのための超解像技術を提供する。
本開示の精神および範囲からの逸脱なしに、他の選択肢または実施形態が存在することができる。1つの実施例では、方法350の1つ以上の操作352〜358がコンピュータ支援IC設計システムなどのコンピュータで実行される。
よって、本発明は、いくつかの実施形態に従って極端紫外線リソグラフィ(EUVL)のマスクを提供する。マスクは、互いに異なる第1の状態と第2の状態、第1のメインポリゴンと第1のメインポリゴンと隣接する第2のメインポリゴン、複数のサブレゾリューションアシストポリゴン、およびフィールドを含む。各第1と第2のメインポリゴン、サブレゾリューションアシストポリゴン、およびフィールドは、関連した状態を有する。第1のメインポリゴンに割り当てられた状態は、第2のメインポリゴンに割り当てられた状態と異なる。複数のアシストポリゴンは、同じ状態に割り当てられ、フィールドに割り当てられた状態と異なる。
本発明は、いくつかの実施形態に従ってターゲットをパターン化する極端紫外線リソグラフィ(EUVL)プロセスを提供する。EUVLプロセスは、マスクを受けるステップを含む。マスクは、第1の状態と、第1の状態と異なる第2の状態、第1のメインポリゴンと第1のメインポリゴンと隣接する第2のメインポリゴン、複数のサブレゾリューションアシストポリゴン、およびフィールドを含む。第1のメインポリゴンに割り当てられた状態は、第2のメインポリゴンに割り当てられた状態と異なる。複数のアシストポリゴンは、同じ状態に割り当てられ、フィールドに割り当てられた状態と異なる。EUVLプロセスは、0.3未満の部分干渉性σの略軸上照明(ONI)によってマスクを露光し、回折光と非回折光を生成するステップ、フィルタによって殆どの非回折光を除去するステップ、および投影光学ボックス(POB)によって、回折光と除去されていない非回折光を収集して導いて、ターゲットを露光するステップを更に含む。
本発明は、いくつかの実施形態に従って極端紫外線リソグラフィ(EUVL)プロセスの1つの実施形態を提供する。EUVLプロセスは、複数の状態を有する極端紫外線(EUV)マスクを受けるステップを含む。EUVマスクは、第1の状態の第1のメインポリゴン、第1のメインポリゴンと隣接し、第1の状態と異なる第2の状態を有する第2のメインポリゴン、第1の状態を有する第1の複数のアシストポリゴンと第2の状態を有するフィールドを含む。EUVLプロセスは、0.3未満の部分干渉性σの略軸上照明(ONI)によってEUVマスクを露光し、回折光と非回折光を生成するステップ、殆どの非回折光を除去するステップ、および投影光学ボックス(POB)によって、回折光と除去されていない非回折光を収集して導いて、ターゲットを露光するステップを更に含む。
本発明は、いくつかの実施形態に従ってターゲットをパターン化する極端紫外線リソグラフィ(EUVL)プロセスを提供する。EUVLプロセスは、第1の状態と第1の状態と異なる第2の状態を更に含むマスクを受けるステップ、第1のメインポリゴンと第1のメインポリゴンと隣接する第2のメインポリゴン、複数のサブレゾリューションアシストポリゴン、およびフィールドを含む。各メインポリゴン、アシストポリゴン、およびフィールドは、関連した状態を有する。第1のメインポリゴンに割り当てられた状態は、第2のメインポリゴンに割り当てられた状態と異なる。複数のアシストポリゴンは、同じ状態に割り当てられ、フィールドに割り当てられた状態と異なる。EUVLプロセスは、照明によってマスクを露光し、回折光と非回折光を生成するステップ、フィルタによって殆どの非回折光を除去するステップ、および投影光学ボックス(POB)によって、回折光と除去されていない非回折光を収集して導いて、ターゲットを露光するステップを更に含む。
いくつかの実施例では、照明は、軸外照明であり、σcΔσ/2とσc+Δσ/2との間の部分干渉性σを有する光源からなり、σcは、約0.5であり、Δσは、0.3未満である。
本発明は、いくつかの実施形態に従って極端紫外線(EUV)マスクを提供する。EUVマスクは、低熱膨張材料(LTEM)基板、LTEM基板の1つの表面上の第1の反射層、およびバッファ層上の第2の反射層を含み、第2の反射層は、パターン化されて種々の開口を有し、第1の状態と第2の状態を定義する。第1の状態は、第1の反射層を含み、第2の反射層を含まない。第2の状態は、両第1と第2の反射層を含む。隣接のメインポリゴンは、第1と第2の状態をそれぞれ定義する。複数のサブレゾリューションポリゴンは、第1と第2の状態の中の1つに定義される。フィールドは第1と第2の状態のもう1つに定義される。
以上、当業者が本開示の態様をより理解できるように幾つかの実施の形態特徴を概説した。当業者は、本開示を、ここで採用された実施の形態の、同じ目的を実行しおよび/または同じ利点を達成するために他のプロセスおよび構造を設計又は改変するための基礎として、容易に使用できることが分る。本開示の精神及び範囲を逸脱しない限りにおいては、当業者であればそのような等価な構成を達成することが可能であり、当業者は、本開示の精神および範囲を逸脱せずに、ここで種々の変更、代替、および改変をするだろう。
10 EUVリソグラフィシステム
20 放射源
30 照明装置
40 マスク
42 マスクステージ
50 投影光学ボックス(POB)
60 ターゲット
70 入射光線
71 0次回折光
72 −1次回折光
73 +1次回折光
105 導電層
110 LTEM基板
120 反射層(ML)
130 第1の反射層
150 第2の反射層
151 モリブデン(Mo)膜
162、164、166、168、170 Mo膜
152、154、156、158、160 Si膜
72 バッファ層
210 第1の状態
220 第2の状態
310、320 メインポリゴン
330 フィールド
340 サブレゾリューションアシストポリゴン

Claims (10)

  1. 極端紫外線リソグラフィ(EUVL)のマスクであって、
    第1の反射係数を有する第1の状態と、前記第1の反射係数と位相差がほぼ180度異なる第2の反射係数を有する第2の状態、
    第1のメインポリゴンと前記第1のメインポリゴンと隣接する第2のメインポリゴン、および
    前記第1のメインポリゴンと前記第2のメインポリゴンの傍に形成され、複数のサブレゾリューションアシストポリゴンおよび前記サブレゾリューションアシストポリゴンの傍のフィールドを含むバックグラウンド領域を含み、
    記第1と第2の状態の1つは、前記第1のメインポリゴンに割り当てられ、前記第1と第2の状態の他方は、前記第2のメインポリゴンに割り当てられ、前記複数のサブレゾリューションアシストポリゴンは、前記第2のメインポリゴンに割り当てられた状態と同じ状態に割り当てられ、前記フィールドに割り当てられた状態は、前記第1のメインポリゴンに割り当てられた状態と同じ状態であるマスク。
  2. 記第2の状態の反射率は、前記第1の状態の反射率の50%よりも大きい請求項1に記載のマスク。
  3. 前記マスクは、
    低熱膨張材料(LTEM)基板、
    前記LTEM基板上の第1の反射層、
    前記第1の反射層上のバッファ層、および
    前記バッファ層上の第2の反射層を含み、前記第2の反射層は、パターン化されて開口を有し、前記第1の状態と第2の状態の中の1つは、前記第2の反射層の前記開口内で、前記第1の反射層を含み、前記第2の反射層がない第1の領域に定義され、前記第1の状態と第2の状態のもう1つは、前記第1と第2の反射層の両方を含む第2の領域に定義される請求項1に記載のマスク。
  4. 前記サブレゾリューションアシストポリゴンの隣接する2つの間のピッチは、λ/NAより短く、λは、放射源の波長であり、NAは、投影光学ボックスの開口数である請求項1に記載のマスク。
  5. ターゲットをパターン化する極端紫外線リソグラフィ(EUVL)プロセスであって、
    第1の反射係数を有する第1の状態と、前記第1の反射係数と位相差がほぼ180度異なる第2の反射係数を有する第2の状態、
    第1のメインポリゴンと前記第1のメインポリゴンと隣接する第2のメインポリゴン、および
    前記第1のメインポリゴンと第2のメインポリゴンの傍に形成され、複数のサブレゾリューションアシストポリゴンおよび前記サブレゾリューションアシストポリゴンの傍のフィールドを含むバックグラウンド領域を含み
    前記第1と第2の状態の1つは、前記第1のメインポリゴンに割り当てられ、前記第1と第2の状態の他方は、前記第2のメインポリゴンに割り当てられ、前記複数のサブレゾリューションアシストポリゴンは、前記第2のメインポリゴンに割り当てられた状態と同じ状態に割り当てられ、前記フィールドに割り当てられた状態は、前記第1のメインポリゴンに割り当てられた状態と同じ状態であり、
    照明によって前記マスクを露光し、回折光と非回折光を生成するステップ、
    フィルタによって殆どの前記非回折光を除去するステップ、および
    投影光学ボックス(POB)によって、前記回折光と前記除去されていない非回折光を収集して導いて、前記ターゲットを露光するステップを含むEUVLプロセス。
  6. 前記照明は、0.3未満の部分干渉性σの略軸上照明(ONI)であるか、または軸外照明であり、σcΔσ/2とσc+Δσ/2との間の部分干渉性σを有する光源からなり、σcは、ほぼ0.5であり、Δσは、0.3未満である請求項5に記載のEUVLプロセス。
  7. 記第2の状態の反射率は、前記第1の状態の反射率の50%よりも大きい請求項5に記載のEUVLプロセス。
  8. 前記マスクは、
    低熱膨張材料(LTEM)基板、
    前記LTEM基板上の第1の反射層、
    前記第1の反射層上のバッファ層、および
    ターン化されて開口を有し、前記バッファ層上の第2の反射層を含み、
    前記第1と第2の状態の1つは、前記第2の反射層の前記開口内で、前記第1の反射層を含み、前記第2の反射層がない第1の領域に定義され、前記第1と第2の状態のもう1つは、前記第1と第2の反射層の両方を含第2の領域に定義される請求項5に記載のEUVLプロセス。
  9. 前記サブレゾリューションアシストポリゴンの隣接する2つの間のピッチは、λ/NAより短く、λは、放射源の波長であり、NAは、投影光学ボックスの開口数である請求項5に記載のEUVLプロセス。
  10. 極端紫外線(EUV)マスクであって、
    低熱膨張材料(LTEM)基板
    前記低熱膨張材料基板の1つの表面上の第1反射層、
    前記第1の反射層上のバッファ層、および
    前記バッファ層上の第2の反射層を含み、前記第2の反射層は、パターン化されて種々の開口を有して、第1の状態と第2の状態を定義し、前記第1の状態は、前記第1の反射層を含み、前記第2の反射層を含まない開口で規定され、前記第2の状態は、前記第1と第2の反射層の両方を含み、
    隣接のメインポリゴンは、前記第1と第2の状態をそれぞれ定義し、
    前記メインポリゴンの傍で、複数のサブレゾリューションアシストポリゴンおよび前記サブレゾリューションアシストポリゴンの傍のフィールドを有するバックグラウンド領域を有し、
    前記複数のサブレゾリューションアシストポリゴンは、前記第1の状態と前記第2の状態の中の1つに定義され、且つ
    前記フィールドは前記第1の状態と前記第2の状態のもう1つに定義されるEUVマスク。
JP2014236676A 2013-11-22 2014-11-21 極端紫外線リソグラフィプロセスおよび低減されたシャドウ効果および向上した強度を有するマスク Active JP6023777B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361907882P 2013-11-22 2013-11-22
US61/907,882 2013-11-22
US14/221,555 US9261774B2 (en) 2013-11-22 2014-03-21 Extreme ultraviolet lithography process and mask with reduced shadow effect and enhanced intensity
US14/221,555 2014-03-21

Publications (2)

Publication Number Publication Date
JP2015103810A JP2015103810A (ja) 2015-06-04
JP6023777B2 true JP6023777B2 (ja) 2016-11-09

Family

ID=53182952

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014236676A Active JP6023777B2 (ja) 2013-11-22 2014-11-21 極端紫外線リソグラフィプロセスおよび低減されたシャドウ効果および向上した強度を有するマスク

Country Status (3)

Country Link
US (3) US9261774B2 (ja)
JP (1) JP6023777B2 (ja)
KR (1) KR101751576B1 (ja)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9529272B2 (en) * 2013-03-15 2016-12-27 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US9964850B2 (en) 2014-07-31 2018-05-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method to mitigate defect printability for ID pattern
US10890849B2 (en) * 2016-05-19 2021-01-12 Nikon Corporation EUV lithography system for dense line patterning
TWI774375B (zh) 2016-07-27 2022-08-11 美商應用材料股份有限公司 具多層吸收劑的極紫外遮罩坯料及製造方法
US10962873B2 (en) 2017-09-29 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same
US10451979B2 (en) 2017-09-29 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus for EUV lithography and method of measuring focus
US10969690B2 (en) 2017-09-29 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet control system for adjusting droplet illumination parameters
US10342109B2 (en) 2017-11-14 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
US11013097B2 (en) 2017-11-15 2021-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
US11617255B2 (en) 2017-11-15 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Droplet generator and method of servicing extreme ultraviolet imaging tool
US11435660B2 (en) * 2017-11-22 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Photomask and method of fabricating a photomask
US10642158B2 (en) 2017-11-29 2020-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of controlling reticle masking blade positioning to minimize impact on critical dimension uniformity and device for controlling reticle masking blade positioning
US11143951B2 (en) 2018-04-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
US10955752B2 (en) 2018-06-28 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. EUV radiation source apparatus for lithography
US10859928B2 (en) 2018-06-28 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. EUV light source and apparatus for lithography
US11979971B2 (en) 2018-06-29 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. EUV light source and apparatus for lithography
US10719020B2 (en) 2018-06-29 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Droplet generator and method of servicing extreme ultraviolet radiation source apparatus
US11249384B2 (en) 2018-06-29 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for EUV lithography and method of manufacturing the same
US11275300B2 (en) * 2018-07-06 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask blank defect reduction
US10866525B2 (en) 2018-07-31 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and apparatus for manufacturing the semiconductor device
US11153959B2 (en) 2018-08-17 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
US10871719B2 (en) 2018-08-17 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. EUV metal droplet catchers
US10802406B2 (en) 2018-08-17 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for generating extreme ultraviolet radiation
US10877190B2 (en) 2018-08-17 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet radiation source
US11429027B2 (en) 2018-08-17 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Photolithography method and apparatus
US11016383B2 (en) 2018-08-31 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
US11262659B2 (en) 2018-08-31 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning extreme ultraviolet lithography collector
US10809613B2 (en) 2018-09-25 2020-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Mask for EUV lithography and method of manufacturing the same
DE102019124781B4 (de) 2018-09-28 2024-06-06 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen und behandeln einer fotomaske
US10871721B2 (en) 2018-09-28 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Mask blank for lithography and method of manufacturing the same
US10880980B2 (en) 2018-09-28 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. EUV light source and apparatus for EUV lithography
US11119398B2 (en) 2018-09-28 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks
US11360384B2 (en) 2018-09-28 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fabricating and servicing a photomask
US10877378B2 (en) 2018-09-28 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Vessel for extreme ultraviolet radiation source
DE102019110706A1 (de) 2018-09-28 2020-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum herstellen von euv-fotomasken
US10747119B2 (en) 2018-09-28 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method for monitoring reflectivity of the collector for extreme ultraviolet radiation source
US10962885B2 (en) 2018-09-28 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet (EUV) polarization splitter
US11106126B2 (en) 2018-09-28 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing EUV photo masks
US11029324B2 (en) 2018-09-28 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Particle image velocimetry of extreme ultraviolet lithography systems
TW202026770A (zh) 2018-10-26 2020-07-16 美商應用材料股份有限公司 用於極紫外線掩模吸收劑的ta-cu合金材料
US11452197B2 (en) 2018-10-29 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Shock wave visualization for extreme ultraviolet plasma optimization
US10527926B1 (en) 2018-10-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Pressurized tin collection bucket with in-line draining mechanism
TW202028495A (zh) 2018-12-21 2020-08-01 美商應用材料股份有限公司 極紫外線遮罩吸收器及用於製造的方法
TW202035792A (zh) 2019-01-31 2020-10-01 美商應用材料股份有限公司 極紫外光遮罩吸收體材料
US11249390B2 (en) 2019-01-31 2022-02-15 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TWI828843B (zh) 2019-01-31 2024-01-11 美商應用材料股份有限公司 極紫外線(euv)遮罩素材及其製造方法
US20220121105A1 (en) * 2019-02-07 2022-04-21 Asml Netherlands B.V. A patterning device and method of use thereof
WO2020175354A1 (ja) * 2019-02-28 2020-09-03 Hoya株式会社 反射型マスクブランク、反射型マスク及びその製造方法、並びに半導体装置の製造方法
US11639544B2 (en) 2019-03-01 2023-05-02 Applied Materials, Inc. Physical vapor deposition system and processes
TWI818151B (zh) 2019-03-01 2023-10-11 美商應用材料股份有限公司 物理氣相沉積腔室及其操作方法
TW202043905A (zh) 2019-03-01 2020-12-01 美商應用材料股份有限公司 物理氣相沉積系統與處理
TW202104957A (zh) 2019-04-19 2021-02-01 美商應用材料股份有限公司 布拉格反射器中的梯度界面
US11275303B2 (en) 2019-05-22 2022-03-15 Applied Materials Inc. Extreme ultraviolet mask absorber matertals
US11366379B2 (en) 2019-05-22 2022-06-21 Applied Materials Inc. Extreme ultraviolet mask with embedded absorber layer
TW202111420A (zh) 2019-05-22 2021-03-16 美商應用材料股份有限公司 極紫外光遮罩吸收材料
TW202104666A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202104667A (zh) 2019-05-22 2021-02-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11385536B2 (en) 2019-08-08 2022-07-12 Applied Materials, Inc. EUV mask blanks and methods of manufacture
US11448956B2 (en) 2019-09-05 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. EUV mask
US11150559B2 (en) 2019-12-30 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Laser interference fringe control for higher EUV light source and EUV throughput
US11531262B2 (en) 2019-12-30 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Mask blanks and methods for depositing layers on mask blank
US11294292B2 (en) 2019-12-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Particle removing assembly and method of cleaning mask for lithography
US11768431B2 (en) 2019-12-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of fast surface particle and scratch detection for EUV mask backside
US11630385B2 (en) 2020-01-24 2023-04-18 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
TW202129401A (zh) 2020-01-27 2021-08-01 美商應用材料股份有限公司 極紫外線遮罩坯體硬遮罩材料
TWI817073B (zh) 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
TW202131087A (zh) 2020-01-27 2021-08-16 美商應用材料股份有限公司 極紫外光遮罩吸收劑材料
TW202141165A (zh) 2020-03-27 2021-11-01 美商應用材料股份有限公司 極紫外光遮罩吸收材料
US11644741B2 (en) 2020-04-17 2023-05-09 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11300871B2 (en) 2020-04-29 2022-04-12 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11829062B2 (en) 2020-05-22 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. EUV photo masks and manufacturing method thereof
US11506969B2 (en) 2020-05-26 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
US11619875B2 (en) 2020-06-29 2023-04-04 Taiwan Semiconductor Manufacturing Co., Ltd. EUV photo masks and manufacturing method thereof
US11340531B2 (en) 2020-07-10 2022-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Target control in extreme ultraviolet lithography systems using aberration of reflection image
TW202202641A (zh) 2020-07-13 2022-01-16 美商應用材料股份有限公司 極紫外線遮罩吸收劑材料
US11150564B1 (en) 2020-09-29 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. EUV wafer defect improvement and method of collecting nonconductive particles
US11609490B2 (en) 2020-10-06 2023-03-21 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11513437B2 (en) 2021-01-11 2022-11-29 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11940725B2 (en) 2021-01-27 2024-03-26 S&S Tech Co., Ltd. Phase shift blankmask and photomask for EUV lithography
US11592738B2 (en) 2021-01-28 2023-02-28 Applied Materials, Inc. Extreme ultraviolet mask absorber materials
US11275317B1 (en) 2021-02-26 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Droplet generator and method of servicing a photolithographic tool
US11415879B1 (en) 2021-03-05 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Reticle enclosure for lithography systems
US11550229B1 (en) 2021-06-18 2023-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Enhancing lithography operation for manufacturing semiconductor devices
US11561482B2 (en) 2021-06-18 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for reducing hydrogen permeation from lithographic tool
US11602037B1 (en) 2021-08-27 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for generating extreme ultraviolet radiation
US11605477B1 (en) 2021-08-27 2023-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. EUV lithography apparatus
US11815803B2 (en) 2021-08-30 2023-11-14 Applied Materials, Inc. Multilayer extreme ultraviolet reflector materials
US11520246B1 (en) 2021-08-30 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Highly efficient automatic particle cleaner method for EUV systems
US11747735B2 (en) 2021-08-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. EUV vessel perimeter flow auto adjustment
US11782337B2 (en) 2021-09-09 2023-10-10 Applied Materials, Inc. Multilayer extreme ultraviolet reflectors

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10115932A (ja) * 1996-10-09 1998-05-06 Mitsubishi Electric Corp 位相シフトマスクを用いた露光方法
US6641959B2 (en) 2001-08-09 2003-11-04 Intel Corporation Absorberless phase-shifting mask for EUV
DE60309238T2 (de) * 2002-03-08 2007-06-06 Asml Netherlands B.V. Lithographische Maske, lithographischer Apparat und Verfahren zur Herstellung einer Vorrichtung
JP2009043789A (ja) * 2007-08-06 2009-02-26 Elpida Memory Inc パターン形成方法及びマスク
US8764995B2 (en) 2010-08-17 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet light (EUV) photomasks, and fabrication methods thereof
US8691476B2 (en) 2011-12-16 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and method for forming the same
US8715890B2 (en) 2012-01-31 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor mask blanks with a compatible stop layer
US8709682B2 (en) 2012-02-08 2014-04-29 Taiwan Semiconductor Manufacturing Company, Ltd. Mask and method for forming the mask
US8628897B1 (en) 2012-07-05 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8841047B2 (en) 2012-04-02 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography process and mask
US8877409B2 (en) 2012-04-20 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reflective mask and method of making same
US8722286B2 (en) 2012-05-31 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Devices and methods for improved reflective electron beam lithography
US8765330B2 (en) 2012-08-01 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Phase shift mask for extreme ultraviolet lithography and method of fabricating same
US8679707B2 (en) 2012-08-01 2014-03-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a lithography mask
US8828625B2 (en) 2012-08-06 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Extreme ultraviolet lithography mask and multilayer deposition method for fabricating same
US8785084B2 (en) 2012-09-04 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for mask fabrication and repair
US8765582B2 (en) 2012-09-04 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method for extreme ultraviolet electrostatic chuck with reduced clamp effect
US8753788B1 (en) 2013-01-02 2014-06-17 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus of repairing a mask and a method for the same

Also Published As

Publication number Publication date
US9261774B2 (en) 2016-02-16
US9488905B2 (en) 2016-11-08
JP2015103810A (ja) 2015-06-04
US20150147687A1 (en) 2015-05-28
US20160161839A1 (en) 2016-06-09
US20170052441A1 (en) 2017-02-23
US9618837B2 (en) 2017-04-11
KR20150059596A (ko) 2015-06-01
KR101751576B1 (ko) 2017-06-27

Similar Documents

Publication Publication Date Title
JP6023777B2 (ja) 極端紫外線リソグラフィプロセスおよび低減されたシャドウ効果および向上した強度を有するマスク
US9760015B2 (en) Extreme ultraviolet lithography process
US9116435B2 (en) Extreme ultraviolet lithography mask
US9285671B2 (en) Mask for use in lithography
US9996013B2 (en) Extreme ultraviolet lithography process and mask
CN103529640B (zh) 掩模及其形成方法
US9733562B2 (en) Extreme ultraviolet lithography process and mask
US9304390B2 (en) Extreme ultraviolet lithography process and mask
US9448491B2 (en) Extreme ultraviolet lithography process and mask
KR20150110276A (ko) 향상된 결함 복원성을 갖는 집적 회로를 제조하는 방법
US9535334B2 (en) Extreme ultraviolet lithography process to print low pattern density features
US9529272B2 (en) Extreme ultraviolet lithography process and mask
KR101928163B1 (ko) 낮은 패턴 밀도 피처를 인쇄하기 위한 개선된 극자외선 리소그래피 시스템, 디바이스, 및 방법
US9122166B2 (en) Extreme ultraviolet lithography process and mask

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151211

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160401

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160920

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161007

R150 Certificate of patent or registration of utility model

Ref document number: 6023777

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250