CN103529640B - 掩模及其形成方法 - Google Patents

掩模及其形成方法 Download PDF

Info

Publication number
CN103529640B
CN103529640B CN201310173745.3A CN201310173745A CN103529640B CN 103529640 B CN103529640 B CN 103529640B CN 201310173745 A CN201310173745 A CN 201310173745A CN 103529640 B CN103529640 B CN 103529640B
Authority
CN
China
Prior art keywords
absorbed layer
mask
patterning
reflecting multilayer
scope
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201310173745.3A
Other languages
English (en)
Other versions
CN103529640A (zh
Inventor
游信胜
严涛南
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103529640A publication Critical patent/CN103529640A/zh
Application granted granted Critical
Publication of CN103529640B publication Critical patent/CN103529640B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • G03F1/24Reflection masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/54Absorbers, e.g. of opaque materials

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Abstract

本发明公开了掩模及其形成方法,其中掩模可应用于光刻以制造半导体晶片。掩模包括低热膨胀材料(LTEM)基板、位于LTEM基板上方的反射多层(ML)以及位于反射ML上方的图案化吸收层。图案化吸收层包括范围在25nm和31nm之间的厚度、范围在0.84和0.93之间的折射率以及范围在0.038和0.051之间的消光系数。

Description

掩模及其形成方法
技术领域
本发明总的来说涉及半导体领域,更具体地,涉及掩模及其形成方法。
背景技术
半导体集成电路(IC)工业经历了迅速发展。IC材料和设计的技术发展产生了多代IC,每一代都比上一代具有更小且更复杂的电路。在IC演变过程中,功能密度(即,每单位面积上互连器件的数量)逐渐增加而几何大小(即,可使用制造工艺制造的最小部件(或线))减小。该比例缩小工艺通过增加生产效率和降低相关成本来提供效益。这种比例缩小也增加了IC处理和制造的复杂性。对于将要实现的这些发展,需要IC处理和制造的类似研发。例如,对实施更高分辨率光刻工艺的需求增加。一种光刻技术是远紫外光刻(EUVL)。其他技术包括X射线光刻、离子束投影光刻、电子束投影光刻和多电子束无掩模光刻。
EUVL使用远紫外线(EUV)区域中的光(具有约1-100nm的波长)的扫描器。一些EUV扫描器提供4X缩小投影印刷,其类似于一些光学扫描器,除了EUV扫描器使用反射光学器件而不是折射光学器件,即,用反射镜代替透镜。EUV扫描器通过转印由吸收层限定的掩模图案来在晶圆上提供期望图案。目前,在EUVL中采用二元强度掩模(BIM)和同轴照明(ONI)。为了使未来节点(例如,具有32nm和22nm的最小间距的节点等)实现足够的空间图像对比度,已经开发了多种技术(例如,衰减相移掩模(AttPSM)和交替相移掩模(AltPSM))来获得EUVL的分辨率增强。但是每一种技术都具有其需要被克服的局限。例如,吸收层可能无法完全吸收入射光,并且入射光的一部分被吸收层反射。此外,吸收层的厚度会导致阴影效应。所有这些总是导致空间图像对比度的降低,这会导致较差的图案轮廓和较差的分辨率,尤其是当图案部件的大小持续减小时。期望对这个领域进行改进。
发明内容
根据本发明的第一方面,提供了一种远紫外线(EUV)掩模底版,包括:低热膨胀材料(LTEM)基板;位于LTEM基板上方的反射多层(ML);以及位于反射ML上方的吸收层,吸收层包括范围在约25nm至约31nm之间的厚度、范围在约0.84至约0.93之间的折射率和范围在约0.038至约0.051之间的消光系数。
优选地,吸收层包含钯。
优选地,含钯的吸收层的厚度约为28nm。
优选地,该掩模底版还包括:位于反射ML上方和吸收层下方的覆盖层。
更优选地,该掩模底版还包括:位于覆盖层上方和吸收层下方的缓冲层。
优选地,LTEM基板包含掺杂二氧化钛的熔融石英。
根据本发明的另一方面,提供了一种远紫外线(EUV)掩模,包括:低热膨胀材料(LTEM)基板;位于LTEM基板上方的反射多层(ML);以及位于反射ML上方的图案化吸收层,吸收层包括范围在约25nm至约31nm之间的厚度、范围在约0.84至约0.93之间的折射率和范围在约0.038至约0.051之间的消光系数。
优选地,图案化吸收层包含钯。
优选地,含钯的图案化吸收层的厚度约为28nm。
优选地,该掩模还包括:位于反射ML上方和图案化吸收层下方的覆盖层。
优选地,覆盖层包含硅。
优选地,该掩模还包括:位于覆盖层上方和图案化吸收层下方的缓冲层。
优选地,缓冲层包含钌。
优选地,缓冲层包含铬。
根据本发明的又一方面,提供了一种远紫外光刻(EUVL)方法,包括:接收EUV掩模;通过穿过照明器的辐射源曝光EUV掩模;通过投影光学盒(POB)收集从EUV掩模反射的辐射;以及引导所收集的辐射以曝光目标。
优选地,EUV掩模包括:低热膨胀材料(LTEM)基板;位于LTEM基板上方的反射多层(ML);以及位于反射ML上方的图案化吸收层,吸收层包括范围在约25nm至约31nm之间的厚度、范围在约0.84至约0.93之间的折射率和范围在约0.038至约0.051之间的消光系数。
优选地,图案化吸收层包含钯。
优选地,含钯的图案化吸收层的厚度约为28nm。
优选地,EUV掩模还包括:位于反射ML上方和图案化吸收层下方的覆盖层;以及位于覆盖层上方和图案化吸收层下方的缓冲层。
优选地,含钯的图案化吸收层通过金属有机分解(MOD)来形成。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以更好地理解本公开的各个方面。应该注意的是,根据工业中的标准实践,各种部件没有被按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增大或减小。
图1是用于实施本发明的一个或多个实施例的光刻系统的框图。
图2和图3示出了处于根据本公开多个方面构建的光刻工艺的各个阶段的掩模的一个实施例的各个方面的截面图。
具体实施方式
以下公开提供了许多用于实施本发明不同特征的不同实施例或实例。以下描述部件和布置的具体实例以简化本公开。当然,这些仅仅是实例而不用于限制。例如,以下描述中第一部件形成在第二部件上或之上可包括第一部件和第二部件被形成为直接结构的实施例,并且也可以包括可以在第一和第二部件之间形成附加部件使得第一和第二部件可以不直接接触的实施例。另外,本公开可以在多个实例中重复参考标号和/或字母。这种重复是为了简化和清晰的目的,其本身并不表示所讨论的各个实施例和/或结构之间的关系。
在此可使用诸如“在…下面”、“下面的”、“在…上面”、“上面的”、以及“在…上方”等空间关系术语来容易地描述如图中所示的一个元件或部件与另一元件或部件的关系。应当理解,除图中所示的方位之外,空间关系术语将包括使用或操作中的装置的各种不同的方位。例如,如果翻转图中所示的装置,则被描述为在其他元件或部件“下面”或“之下”的元件将被定位为在其他元件或部件的“上面”。因此,示例性术语“在…下面”包括在上面和在下面的方位。装置可以以其它方式定位(旋转90度或在其他方位),并且通过在此使用的空间关系描述符进行相应地解释。
参照图1,公开了可得益于本发明的一个或多个实施例的EUV光刻工艺10。EUV光刻工艺10使用波长约为1-100nm的EUV辐射源20。
EUV光刻工艺10还使用照明器30。照明器30可包括折射光学器件(诸如单透镜或具有多个透镜的透镜系统(波带片))和/或反射光学器件(诸如单反射镜或具有多个反射镜的反射镜系统),以将来自辐射源20的光引导至掩模40。在EUV波长范围内,通常使用反射光学器件。然而,也可以通过例如波带片实现折射光学器件。
EUV光刻工艺10还使用掩模40。掩模40可以是透射型掩模或反射型掩模。在本实施例中,掩模40是反射型掩模,诸如以下更详细描述的。掩模40可结合其他分辨率增强技术,诸如相移掩模(PSM)和/或光学临近校正(OPC)。
EUV光刻工艺10还使用投影光学盒(POB)50。POB50可具有折射光学器件或反射光学器件。被掩模40反射的辐射(例如,图案化辐射)被POB50收集。POB50可包括小于1的放大率(从而减少辐射中包含的图案化图像)。POB50引导收集的辐射以曝光目标60。
目标60包括具有对EUV辐射敏感的光敏层(例如,光刻胶或抗蚀剂)的半导体晶片。目标60可被目标基板台保持。目标基板台提供目标基板位置的控制,使得掩模的图像以重复方式(虽然其他光刻方法也是可以的)扫描到目标基板上。
以下描述涉及掩模40和掩模制造工艺。掩模制造工艺包括两步:空白掩模(blackmask,掩模底板)制造工艺和掩模图案化工艺。在空白掩模制造工艺期间,空白掩模通过在适当的基板上沉积适当的层形成(例如,多个反射层)来形成。空白掩模在掩模图案化工艺中被图案化,以具有集成电路(IC)器件(或芯片)的层的设计。然后,图案化掩模被用于将电路图案(例如,IC器件的层的设计)转印至半导体晶片。图案可以通过各种光刻工艺反复转印至多个晶片。多个掩模(例如,15至30个掩模)可用于构建完整的IC器件。
通常,制造各种掩模来用于各种工艺。EUV掩模的类型包括二元强度掩模(BIM)和相移掩模(PSM)。示例性BIM包括几乎完全吸收的区域(也被称为不透明区域)和反射区域。在不透明区域中,具有吸收体,并且入射光束几乎完全被吸收体吸收。吸收体可以由包含铬、氧化铬、氮化铬、铝铜、钛、氮化钛、钽、氧化钽、氮化钽和氮化钽硼(tantalum boronnitride)的材料制造。在反射区域中,吸收体被移走并且入射光被多层(ML)反射,这将在下面进行进一步的详细描述。PSM包括吸收区域和反射区域。从吸收区域反射的一部分入射光相对于从反射区域反射的光具有适当的相位差,以增强分辨率和图像质量。PSM的吸收体可以由诸如氮化钽和氮化钽硼的材料以一定的厚度制成。PSM可以是衰减相移掩模(AttPSM)和交替PSM(AltPSM)。对于AttPSM,吸收体顶面处的反射率通常为2%-15%。对于AltPSM,吸收体顶面处的反射率通常大于50%。
参照图2,空白掩模100包括由低热膨胀材料(LTEM)制成的基板110。LTEM材料可包括掺杂TiO2的SiO2、硅和/或本领域已知的其他低热膨胀材料。LTEM基板110用于使由于掩模加热而导致的图像变形最小。在本实施例中,LTEM基板包括具有低缺陷水平和光滑表面的材料。另外,导电层105可沉积在LTEM基板110的下方(如图所示)来用于静电夹紧的目的。在一个实施例中,导电层105包括氮化铬(CrN),当然其他组合物也是可以的。
反射多层120形成在LTEM基板110上方。根据菲涅耳方程式,当光穿过不同折射率的两种材料之间的界面传播时发生光反射。当折射率的差异越大时,光反射越大。为了增加光反射,也可以通过形成交替材料的多层来增加界面的数量,并且通过为多层内的每一层选择适当的厚度来使从不同界面反射的光结构性地相互作用。然而,多层所采用的材料的吸收限制了可实现的最高反射率。反射ML120包括多个膜对(film pair),诸如钼-硅(Mo/Si)膜对(例如,在每个膜对中,钼层在硅层上方或下方)。可选地,反射ML120可包括钼-铍(Mo/Be)膜对,或者具有大折射率差和小消光系数差的任何两种材料或材料组合。反射ML120的每一层的厚度均取决于入射光的波长和掩模上的入射角度。对于指定的入射角度,调整反射ML120的厚度以实现在ML220的不同界面处反射的光的最大相长干涉。膜对的典型数量为20-80,当然任何数量的膜对都是可以的。在一个实施例中,反射ML120包括40对Mo/Si层。每个Mo/Si膜对都具有约7nm的厚度,例如Mo为3nm且Si为4nm。在这种情况下,达到约70%的反射率。
覆盖层130形成在反射ML120上方以防止反射ML的氧化。在本实施例中,覆盖层130包括约4-7nm厚度的硅。缓冲层140形成在覆盖层130上方,以用作吸收层的图案化或修复工艺中的蚀刻终止层,这将在稍后进行描述。缓冲层140具有与吸收层不同的蚀刻特性。缓冲层140包括钌(Ru)、Ru化合物(诸如RuB、RuSi)、铬(Cr)、Cr氧化物和Cr氮化物。对于缓冲层,通常选择低温沉积工艺以防止反射ML120的内部扩散。在本实施例中,缓冲层140包含钌且厚度为2-5nm。在一个实施例中,覆盖层和缓冲层是单层。
吸收层150形成在缓冲层140上。吸收层150吸收投射到掩模200上的EUV波长范围内的辐射。为了实现足够的光密度,吸收层的厚度通常足够大,例如,83nm。吸收层的厚度以及使主光线入射角(CRAO)不等于0而在掩模图案旁边生成阴影,这被称为阴影效应。阴影效应导致水平和垂直图案的临界尺寸(CD)差异、图案偏移和区域内CD变化(如果入射光的方位角在曝光区域中变化),虽然它们可以被模拟和校正。阴影效应还导致空间图像对比度损失,这将会减小工艺窗并提高掩模误差增强系数(MEEF)。当进行更小的技术节点时,如果保持吸收层的厚度不变,则阴影效应变得更加严重。这是因为阴影的大小占据了反射区域尺寸的更大部分。阴影效应阻碍EUVL实现更小的技术节点。
为了减少阴影效应,优选更薄的吸收层。但是更薄的吸收层可能使来自吸收区域的反射光增加,这会导致空间图像对比度损失,除非来自吸收区域的反射光相对于来自反射区域的反射光180度异相。在本公开中,吸收层150被配置为具有相对较薄的厚度并且(来自吸收区域210的反射光相对于来自反射区域220的反射光)产生约180度的相移。吸收层150还被配置为针对一维等线/间距图案使0级衍射和1级衍射的幅度相同(吸收区域和反射区域的宽度相同)。在空白掩模100的制造过程中,处理变化将带来膜属性的变化,例如膜合成物。吸收层150还被配置为具有膜属性变化的足够容限。
基于上述考虑,在本公开中,吸收层150包括厚度范围在25nm和31nm之间的厚度、折射率范围在0.84和0.93之间以及消光系数范围在0.038和0.051之间的材料。吸收层150材料同时满足上述厚度、折射率和消光系数的标准。在一个实施例中,吸收层150包含钯。在另一个实施例中,吸收层150包含厚度约为28nm的钯。
可通过各种方法来形成层105、120、130、140和150中的一个或多个,包括:物理汽相沉积(PVD)工艺,诸如蒸发和DC磁控溅射;喷镀工艺,诸如无电极电镀或电镀;化学汽相沉积(CVD)工艺,诸如大气压CVD(APCVD)、低气压CVD(LPCVD)、等离子体增强CVD(PECVD)或高密度等离子体CVD(HDP CVD);离子束沉积;旋涂;金属有机分解(MOD)和/或本领域已知的其他方法。
参照图3,在本实施例中,吸收层150被图案化以形成设计布局图案掩模200。在一个实施例中,通过MOD技术形成钯吸收层150。首先,在三氯甲烷溶液中溶解的醋酸钯(Pdac)旋涂在缓冲层140上以形成指定厚度的Pdac膜。然后,对Pdac膜进行局部曝光。这可以通过伴随另一掩模的VUV辐射或者通过电子束或离子束直写来实现。通过接收曝光,Pdac将分解为钯和挥发性有机物。因此,实现了钯的局部沉积并获得在指定区域具有钯的钯吸收层150。因此,实现了钯的局部沉积并获得多个指定的吸收区域210(其中具有钯)和多个指定的反射区域(其中不具有钯)。
掩模200可结合其他分辨率增强技术,诸如光学临近校正(OPC)。掩模200可通过掩模缺陷修复系统进行缺陷修复工艺。掩模缺陷修复系统是适当的系统,诸如电子束修复系统和/或聚焦离子束(FIB)修复系统。
如图1所示,在一个实施例中,掩模200在EUV光刻工艺10中用作掩模40。
基于上面所述,可以看出本公开提供了光刻工艺的掩模。该掩模配置有薄吸收层,以针对N14之外的技术节点减少阴影效应,提高空间图像对比度和工艺窗。根据本公开,通过选择同时满足厚度、折射率和消光系数的特定条件的材料来制造薄吸收层。
本公开提供了许多不同的远紫外线(EUV)掩模底版的实施例,其相对于现有技术提供了一种或多种改进。在一个实施例中,EUV掩模底版包括低热膨胀材料(LTEM)基板、位于LTEM基板上方的反射多层(ML)和位于反射ML上方的吸收层。吸收层包括范围在25nm和31nm之间的厚度、范围在0.84和0.93之间的折射率和范围在0.038和0.051之间的消光系数。
在另一个实施例中,远紫外线(EUV)掩模包括低热膨胀材料(LTEM)基板、位于LTEM基板上方的反射多层(ML)和位于反射多层ML上方的图案化吸收层。图案化吸收层具有范围在25nm和31nm之间的厚度、范围在0.84和0.93之间的折射率和范围在0.038和0.051之间的消光系数。
在又一个实施例中,远紫外光刻(EUVL)工艺的方法包括:接收EUV掩模;通过穿过照明器的辐射源曝光EUV掩模;通过投影光学盒(POB)收集从EUV掩模反射的辐射;以及引导收集的辐射以曝光目标。
前面已经描述了多个实施例的特征。本领域的技术人员应该理解,为了实现相同的目的和/或达到在此所介绍的实施例的相同的优点,可以容易地使用本公开作为设计或更改其他工艺和结构的基础。本领域的技术人员还应该意识到这种等效构造不背离本公开的精神和范围,并且在不背离本公开的精神和范围的条件下可以做出各种改变、替换和变化。

Claims (19)

1.一种远紫外线掩模底版,包括:
低热膨胀材料基板;
位于所述低热膨胀材料基板上方的反射多层;以及
位于所述反射多层上方的吸收层,所述吸收层包括范围在25nm至31nm之间的厚度、范围在0.84至0.93之间的折射率和范围在0.038至0.051之间的消光系数,
其中,来自由所述反射多层构成的反射区域的反射光相对于来自由所述吸收层构成的吸收区域的反射光产生约180度的相移,并且所述反射区域和所述吸收区域的宽度相同。
2.根据权利要求1所述的掩模底版,其中,所述吸收层包含钯。
3.根据权利要求2所述的掩模底版,其中,含钯的所述吸收层的厚度约为28nm。
4.根据权利要求1所述的掩模底版,还包括:位于所述反射多层上方和所述吸收层下方的覆盖层。
5.根据权利要求4所述的掩模底版,还包括:位于所述覆盖层上方和所述吸收层下方的缓冲层。
6.根据权利要求1所述的掩模底版,其中,所述低热膨胀材料基板包含掺杂二氧化钛的熔融石英。
7.一种远紫外线掩模,包括:
低热膨胀材料基板;
位于所述低热膨胀材料基板上方的反射多层;以及
位于所述反射多层上方的图案化吸收层,所述吸收层包括范围在25nm至31nm之间的厚度、范围在0.84至0.93之间的折射率和范围在0.038至0.051之间的消光系数,
其中,来自由所述反射多层构成的反射区域的反射光相对于来自由所述吸收层构成的吸收区域的反射光产生约180度的相移,并且所述反射区域和所述吸收区域的宽度相同。
8.根据权利要求7所述的掩模,其中,所述图案化吸收层包含钯。
9.根据权利要求8所述的掩模,其中,含钯的所述图案化吸收层的厚度约为28nm。
10.根据权利要求7所述的掩模,还包括:位于所述反射多层上方和所述图案化吸收层下方的覆盖层。
11.根据权利要求10所述的掩模,其中,所述覆盖层包含硅。
12.根据权利要求10所述的掩模,还包括:位于所述覆盖层上方和所述图案化吸收层下方的缓冲层。
13.根据权利要求12所述的掩模,其中,所述缓冲层包含钌。
14.根据权利要求12所述的掩模,其中,所述缓冲层包含铬。
15.一种远紫外光刻方法,包括:
接收远紫外线掩模;
通过穿过照明器的辐射源曝光所述远紫外线掩模;
通过投影光学盒收集从所述远紫外线掩模反射的辐射;以及
引导所收集的辐射以曝光目标,
其中,所述远紫外线掩模包括:
低热膨胀材料基板;
位于所述低热膨胀材料基板上方的反射多层;以及
位于所述反射多层上方的图案化吸收层,所述吸收层包括范围在25nm至31nm之间的厚度、范围在0.84至0.93之间的折射率和范围在0.038至0.051之间的消光系数,
其中,来自由所述反射多层构成的反射区域的反射光相对于来自由所述吸收层构成的吸收区域的反射光产生约180度的相移,并且所述反射区域和所述吸收区域的宽度相同。
16.根据权利要求15所述的方法,其中,所述图案化吸收层包含钯。
17.根据权利要求16所述的方法,其中,含钯的所述图案化吸收层的厚度约为28nm。
18.根据权利要求15所述的方法,其中,所述远紫外线掩模还包括:
位于所述反射多层上方和所述图案化吸收层下方的覆盖层;以及
位于所述覆盖层上方和所述图案化吸收层下方的缓冲层。
19.根据权利要求16所述的方法,其中,含钯的所述图案化吸收层通过金属有机分解来形成。
CN201310173745.3A 2012-07-05 2013-05-10 掩模及其形成方法 Active CN103529640B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/542,550 US8663878B2 (en) 2012-07-05 2012-07-05 Mask and method for forming the same
US13/542,550 2012-07-05

Publications (2)

Publication Number Publication Date
CN103529640A CN103529640A (zh) 2014-01-22
CN103529640B true CN103529640B (zh) 2017-04-12

Family

ID=49878767

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201310173745.3A Active CN103529640B (zh) 2012-07-05 2013-05-10 掩模及其形成方法

Country Status (2)

Country Link
US (1) US8663878B2 (zh)
CN (1) CN103529640B (zh)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9046781B2 (en) * 2013-03-15 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for reflective-type mask
US9454073B2 (en) * 2014-02-10 2016-09-27 SK Hynix Inc. Photomask blank and photomask for suppressing heat absorption
US9285673B2 (en) * 2014-07-10 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Assist feature for a photolithographic process
US9581889B2 (en) * 2014-07-11 2017-02-28 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank with absorber and manufacturing system therefor
US9710588B2 (en) * 2014-08-05 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of generating modified layout for RC extraction
US9709884B2 (en) * 2014-11-26 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. EUV mask and manufacturing method by using the same
KR102469807B1 (ko) * 2015-10-28 2022-11-23 에스케이하이닉스 주식회사 반사형 포토마스크의 제조방법
US10061191B2 (en) * 2016-06-01 2018-08-28 Taiwan Semiconductor Manufacturing Co., Ltd. High durability extreme ultraviolet photomask
US20200041892A1 (en) * 2018-07-31 2020-02-06 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask and method of manufacturing the same
US11137675B2 (en) 2018-08-14 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Mask and method for forming the same
US11480869B2 (en) * 2019-08-29 2022-10-25 Taiwan Semiconductor Manufacturing Company Ltd. Photomask with enhanced contamination control and method of forming the same
JPWO2022249863A1 (zh) * 2021-05-27 2022-12-01
US20220382148A1 (en) * 2021-05-28 2022-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet mask with alloy based absorbers

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1530747A (zh) * 2002-11-28 2004-09-22 Asml 器件制造方法和计算机程序
CN1656424A (zh) * 2001-10-03 2005-08-17 英特尔公司 光刻掩模制造
CN101533217A (zh) * 2008-03-11 2009-09-16 海力士半导体有限公司 极端远紫外掩模及其制造方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050221238A1 (en) * 2004-04-02 2005-10-06 Asml Netherlands B.V. Use of a reticle absorber material in reducing aberrations
KR100972863B1 (ko) * 2008-04-22 2010-07-28 주식회사 하이닉스반도체 극자외선 리소그라피 마스크 및 그 제조 방법
WO2010007955A1 (ja) * 2008-07-14 2010-01-21 旭硝子株式会社 Euvリソグラフィ用反射型マスクブランク、および、euvリソグラフィ用反射型マスク

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1656424A (zh) * 2001-10-03 2005-08-17 英特尔公司 光刻掩模制造
CN1530747A (zh) * 2002-11-28 2004-09-22 Asml 器件制造方法和计算机程序
CN101533217A (zh) * 2008-03-11 2009-09-16 海力士半导体有限公司 极端远紫外掩模及其制造方法

Also Published As

Publication number Publication date
US8663878B2 (en) 2014-03-04
US20140011121A1 (en) 2014-01-09
CN103529640A (zh) 2014-01-22

Similar Documents

Publication Publication Date Title
CN103529640B (zh) 掩模及其形成方法
JP6023777B2 (ja) 極端紫外線リソグラフィプロセスおよび低減されたシャドウ効果および向上した強度を有するマスク
US9760015B2 (en) Extreme ultraviolet lithography process
US9116435B2 (en) Extreme ultraviolet lithography mask
US9285671B2 (en) Mask for use in lithography
US9996013B2 (en) Extreme ultraviolet lithography process and mask
US9733562B2 (en) Extreme ultraviolet lithography process and mask
US10007174B2 (en) Extreme ultraviolet lithography process and mask
US9448491B2 (en) Extreme ultraviolet lithography process and mask
US11982936B2 (en) Photomask and method of fabricating a photomask

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant