JP5621006B2 - 金属及びシリコンの交互層を含むコンタクト構造体並びに関連デバイスの形成方法 - Google Patents

金属及びシリコンの交互層を含むコンタクト構造体並びに関連デバイスの形成方法 Download PDF

Info

Publication number
JP5621006B2
JP5621006B2 JP2012552918A JP2012552918A JP5621006B2 JP 5621006 B2 JP5621006 B2 JP 5621006B2 JP 2012552918 A JP2012552918 A JP 2012552918A JP 2012552918 A JP2012552918 A JP 2012552918A JP 5621006 B2 JP5621006 B2 JP 5621006B2
Authority
JP
Japan
Prior art keywords
layer
metal
silicon
layers
nickel
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012552918A
Other languages
English (en)
Other versions
JP2013520014A (ja
Inventor
ヘルムート ハグレイトナー
ヘルムート ハグレイトナー
ゾルタン リン
ゾルタン リン
スコット シェパード
スコット シェパード
ジェイソン ヘニング
ジェイソン ヘニング
ジェイソン ガーガナス
ジェイソン ガーガナス
ダン ナミシア
ダン ナミシア
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Wolfspeed Inc
Original Assignee
Cree Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Cree Inc filed Critical Cree Inc
Publication of JP2013520014A publication Critical patent/JP2013520014A/ja
Application granted granted Critical
Publication of JP5621006B2 publication Critical patent/JP5621006B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/45Ohmic electrodes
    • H01L29/452Ohmic electrodes on AIII-BV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28575Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Junction Field-Effect Transistors (AREA)

Description

本発明は、電子デバイスに関し、より具体的には、半導体コンタクト構造体に関する。
シリコン(Si)及びガリウムヒ素(GaAs)といった材料は、低電力用の半導体デバイス、及び低周波数用途(Siの場合)の半導体デバイスにおける用途が広く見出されている。しかしながら、これらのありふれた半導体材料は、例えば、そのバンドギャップが比較的小さい(例えば、室温において、Siの場合には1.12eV、GaAsの場合には1.42eV)及び/又は破壊電圧が比較的小さいため、より高電力及び/又は高周波用途にはあまり適していない場合がある。
Si及びGaAsによって提示される難点に鑑みて、高電力、高温及び/又は高周波数の用途及びデバイスへの関心は、炭化シリコン(アルファSiCの場合、室温において2.996eV)及びIII族窒化物(例えば、GaNの場合、室温において3.36eV)のようなより広いバンドギャップの半導体材料へと移ってきた。これらの材料は、典型的には、ガリウムヒ素及びシリコンと比較して、より高い電界破壊強度及びより高い電子飽和速度を有する。
高出力及び/又は高周波数用途のために特に興味深いデバイスは、高電子移動度トランジスタ(HEMT)であり、これは、ある場合には、変調ドープ電界効果トランジスタ(MODFET)としても知られている。異なるバンドギャップ・エネルギーを有する2つの半導体材料のヘテロ接合部において2次元電子ガス(2DEG)が形成されるために、より小さいバンドギャップの材料がより高い電子親和性を有する幾つかの状況の下で、これらのデバイスは動作上の利点を提供することができる。2DEGは、非ドープの(「意図せずドープされた」)より小さいバンドギャップの材料中の蓄積層であり、例えば、1013キャリア/cm2を超える非常に高いシート電子濃度を含むことができる。さらに、広バンドギャップ半導体中に発生する電子が2DEGまで移って、イオン化不純物散乱が低減されることによる高い電子移動度が可能になる。
こうして高いキャリア濃度と高いキャリア移動度を組み合わせると、非常に大きな相互コンダクタンスをHEMTに与えることができ、また、高周波の用途では、金属−半導体電界効果トランジスタ(MESFET)と比べて、有力な性能上の利点をもたらすことができる。
窒化ガリウム/窒化アルミニウムガリウム(GaN/AlGaN)材料系で製造される高電子移動度トランジスタは、前述の高い破壊電界、広いバンドギャップ、大きい伝導帯オフセット、及び/又は高い飽和電子ドリフト速度を含む材料特性の組み合わせにより、大量のRF電力を発生させることが可能である。2DEG内の電子の大部分は、AlGaNにおける分極に起因するものである。GaN/AlGaN系のHEMTは、既に実証されている。その開示が引用により本明細書に組み入れられる特許文献1及び特許文献2は、AlGaN/GaN HEMT構造及び製造方法を説明している。同一出願人による、引用により本明細書に組み入れられるSheppard他による特許文献3は、半絶縁性炭化シリコン基板と、基板上の窒化アルミニウムバッファ層と、バッファ層上の絶縁性窒化ガリウム層と、窒化ガリウム層上の窒化アルミニウムガリウムバリア層と、窒化アルミニウムガリウム活性構造体上のパッシベーション層とを有するHEMTデバイスを記載する。
米国特許第5,192,987号明細書 米国特許第5,296,395号明細書 米国特許第6,316,793号明細書 米国特許第Re.34,861号明細書 米国特許第4,946,547号明細書 米国特許第5,200,022号明細書 米国特許第5,210,051号明細書 米国特許第5,393,993号明細書 米国特許第5,523,589号明細書 米国特許第6,218,680号明細書 米国特許第6,548,333号明細書 米国特許第7,544,963号明細書 米国特許第7,548,112号明細書 米国特許第7,592,211号明細書 米国特許出願公開第2006/0244010号明細書 米国特許出願公開第2007/0018210号明細書 米国特許出願公開第2007/0164322号明細書
HEMT及び他の半導体デバイスにおいては、例えば、比較的高い電流の動作に適合させ、熱の発生を低減させるなどのために、比較的低い抵抗のオーミック・コンタクトが望ましい場合がある。例えば、HEMTデバイスに用いられる通常のソース/ドレイン・コンタクトは、後の製造作業中の化学攻撃による劣化、及び/又は使用中の付着問題にさらされることがある。従って、当技術分野において、HEMT及び他の半導体デバイスのための改善されたオーミック・コンタクト構造体及び製造方法に対する必要性が引き続き存在する。
本発明の幾つかの実施形態によると、半導体デバイスを形成する方法が、半導体層を準備するステップと、半導体層上に第1の金属の第1の層を準備するステップとを含むことができる。第1の金属の第1の層上に第2の層を準備することができる。第2の層は、シリコン層及び第2の金属の層を含むことができ、第1の金属及び第2の金属は異なる。例えば、第1の金属はチタンとすることができ、第2の金属はニッケルとすることができる。さらに、シリコン層は、第2の金属の層と第1の金属の第1の層との間にあることができる。
シリコン層を準備するステップは、少なくとも2つのシリコン層を準備するステップを含むことができ、第1の金属の層を準備するステップは、少なくとも2つのシリコン層を分離する少なくとも1つのニッケル層を準備するステップを含むことができる。シリコン層及び第2の金属の層を含む第2の層をアニールして、第2の金属を含むシリサイド層を形成すると同時に、第1の金属の第1の層の少なくとも部分をシリサイド層と半導体層の間に保持することができる。さらに、第1の金属はチタンとすることができ、第2の金属はニッケルとすることができ、シリコン層及びニッケルを含む第2の金属の層をアニールするステップは、約500℃を超えない温度でアニールするステップを含むことができる。アニール温度を約500℃より低く保持することにより、チタンシリサイドの形成を低減させ、これにより、ニッケルシリサイドと半導体層との間にチタン層を保持することができる。
第2の層を準備するステップは、シリコン及び第2の金属の複数の交互層を準備するステップを含むことができ、第2の金属の層の全ては、少なくとも1つのシリコン層によって第1の金属の第1の層から分離することができる。従って、第1の金属と第2の金属の混合を減らすことができる。シリコン層及び第2の金属の層を含む第2の層上に、金属キャップ層を設けることができ、金属キャップ層及び第2の金属は、異なる材料を含む。さらに、第1の金属はチタンとすることができ、第2の金属はニッケルとすることができ、金属キャップ層は、白金、パラジウム、及び/又は金の少なくとも1つとすることができる。さらに、半導体層を準備するステップは、2次元電子ガスをもたらすIII族窒化物ヘテロ接合構造体を準備するステップと、第1の金属の層と2次元電子ガスとの間に電気的結合をもたらすドープ領域を準備するステップとを含むことができる。
本発明の他の実施形態によると、半導体デバイスを形成する方法が、III族窒化物半導体層を準備するステップと、III族窒化物半導体層上に第1の金属の層を準備するステップとを含むことができる。第1の金属の層上にシリサイド層を設けることができ、このシリサイド層は、第2の金属を含み、第1の金属及び第2の金属は異なる。例えば、第1の金属はチタンとすることができ、第2の金属はニッケルとすることができる。
シリサイド層上に第3の金属の層を準備することができ、この第3の金属は、第1の金属及び第2の金属とは異なる。例えば、第1の金属はチタンとすることができ、第2の金属はニッケルとすることができ、第3の金属は、白金、パラジウム、及び/又は金の少なくとも1つとすることができる。さらに、III族窒化物半導体層を準備するステップは、2次元電子ガスをもたらすIII族窒化物ヘテロ接合構造体を準備するステップと、第1の金属の層と2次元電子ガスとの間に電気的結合をもたらすドープ領域を準備するステップとを含むことができる。ドープ領域は、ドープ・ソース/ドレイン領域を含むことができ、第1の金属の層に隣接する半導体層上にゲート電極を形成することができる。
本発明のさらに他の実施形態によると、半導体デバイスは、半導体層と、半導体層上の第1の金属の第1の層とを含むことができる。さらに、第1の金属の第1の層上に第2の層があってもよい。第2の層は、シリコン層及び第2の帰属の層を含むことができ、第1の金属及び第2の金属は異なり得る。例えば、第1の金属はチタンとすることができ、第2の金属はニッケルとすることができる。さらに、第2の金属の層と第1の金属の第1の層との間にシリコン層があってもよい。
第2の層は、少なくとも2つのシリコン層、及び、少なくとも2つのシリコン層を分離する少なくとも1つのニッケル層を含むことができる。さらに、第2の金属の層の全てを、シリコン層の少なくとも1つによって第1の金属の層から分離することができる。さらに、第2の層内のシリコンの原子重量パーセントは、約45パーセントから約55パーセントまでの範囲内とすることができる。
金属キャップ層が、第2の層上にあってもよく、金属キャップ層の金属は、第1の金属及び第2の金属は異なる。例えば、第1の金属はチタンとすることができ、第2の金属はニッケルとすることができ、金属キャップ層は、白金、パラジウム、及び/又は金の少なくとも1つにすることができる。さらに、半導体層は、2次元電子ガスをもたらすIII族窒化物ヘテロ接合構造体と、第1の金属の層と2次元電子ガスとの間に電気的結合をもたらすドープ領域とを含むことができる、
本発明のさらに他の実施形態によると、半導体デバイスは、III族窒化物半導体層と、III族窒化物半導体層上の第1の金属の層とを含むことができる。シリサイド層が、第1の金属の層上にあってもよく、このシリサイド層は第2の金属を含むことができ、第1の金属及び第2の金属は異なる。例えば、第1の金属はチタンとすることができ、第2の金属はニッケルとすることができる。さらに、第3の金属の層が、シリサイド層上にあってもよく、第3の金属は、第1の金属及び第2の金属とは異なる。例えば、第1の金属はチタンとすることができ、第2の金属はニッケルとすることができ、第3の金属は、白金、パラジウム、及び/又は金の少なくとも1つとすることができる。
III族窒化物半導体層は、2次元電子ガスをもたらすIII族窒化物ヘテロ接合構造体と、第1の金属の層と2次元電子ガスとの間に電気的結合をもたらすドープ領域とを含むことができる。さらに、シリサイド層内のシリコンの原子重量パーセントは、約45パーセントから約55パーセントまでの範囲内とすることができる。
本発明のさらなる理解を与えるために含められ、本出願に組み込まれ、その一部を構成する添付図面は、本発明の特定の実施形態を説明する。
本発明の幾つかの実施形態による、オーミック・コンタクト構造体を形成する操作を示す断面図である。 本発明の幾つかの実施形態による、オーミック・コンタクト構造体を形成する操作を示す断面図である。 本発明の幾つかの実施形態による、オーミック・コンタクト構造体を形成する操作を示す断面図である。 本発明の幾つかの実施形態による、オーミック・コンタクト構造体を形成する操作を示す断面図である。 図1及び図2に示されるような基板上のオーミック・コンタクト構造体の大きく拡大した断面図である。 オーミック・コンタクト構造体のシート抵抗を示すグラフである。
ここで、本発明の実施形態が示されている添付図面を参照して、本発明の実施形態が以下により完全に説明される。しかしながら、本発明は、多くの異なる形態で具体化することができ、本明細書で述べられる実施形態に限定されると解釈すべきではない。むしろ、これらの実施形態は、本開示が十分かつ完全なものとなるように、そして当業者に本発明の範囲を十分に伝えられるように提供される。全体を通して、同様の番号は同様の要素を指す。
第1、第2などの用語は、本明細書では、種々の要素を説明するために用いることができるが、これらの要素は、これらの用語によって限定されるべきではないことが理解されるであろう。これらの用語は、1つの要素を別の要素と区別するためだけに用いられている。例えば、本発明の範囲から逸脱することなく、第1の要素を第2の要素と称することもでき、同様に、第2の要素を第1の要素と称することもできる。本明細書で用いられる「及び/又は」という用語は、関連した列挙された項目の1つ又はそれ以上のいずれか及び全ての組み合わせを含む。
本明細書で用いられる用語は、特定の実施形態を説明するためだけのものであり、本発明を限定することを意図したものではない。本明細書で用いられる単数形「1つの(a)」、「1つの(an)」及び「その(the)」は、文脈が明らかにそうでないことを示していない限り、複数形も含むことが意図される。さらに、本明細書で用いられるとき、「含む(comprise)」、「含んでいる(comprising)」、「含む(include)」及び/又は「含んでいる(including)」という用語は、言及された特徴、整数、ステップ、動作、要素、及び/又は構成要素の存在を指定するが、1つ又はそれ以上の他の特徴、整数、ステップ、動作、要素、構成要素、及び/又はそれらの群の存在又は付加を排除するものではないことがさらに理解されるであろう。
特に断りのない限り、本明細書で用いられる全ての用語(技術用語及び科学用語を含む)は、本発明が属する技術分野の当業者によって一般的に理解される意味と同じ意味を有するものである。さらに、本明細書で用いられる用語は、本明細書及び関連のある技術分野の文脈内でのその意味と矛盾しない意味を有するものと解釈されるべきであり、本明細書で明白にそのように定義されない限り、理想化された又は過度に形式的な意味合いで解釈されるべきではないことが、さらに理解されるであろう。
層、領域又は基板などの要素が、別の要素「上に)」ある、又は別の要素「の上に」延びるものとして言及されている場合、これは、直接、他の要素上にあり、若しくはその上に延びていることもあり、又は、介在する要素が存在することもあることが理解されるであろう。対照的に、ある要素が別の要素「の直接上に」ある又は「の上に直接」延びると言及されている場合、介在する要素は存在しない。同様に、ある要素が別の要素「に接続される」又は「に結合される」と言及されている場合、これは、直接、他の要素に接続され、若しくは結合されていることもあり、又は、介在する要素が存在することもあることもまた理解されるであろう。対照的に、ある要素が別の要素「に直接接続される」又は「に直接結合される」ものとして言及される場合、介在する要素は存在しない。
図に示される1つの要素、層、又は領域と別の要素、層、又は領域との関係を既述するために、「下方(below)」、「上方(above)」、「上部(upper)」、「下部(lower)」、「水平方向(horizontal)」、「横方向(lateral)」、「垂直方向(vertical)」、「真下(beneath)」、「上方(over)」、「上」などの相対語を用いることができる。これらの用語は、図に示される配向に加えて、デバイスの異なる配向を包含することが意図されることが理解されるであろう。
本発明の実施形態は、本明細書では、本発明の理想化された実施形態(及び中間構造体)の概略図である断面図を参照して説明される。分かりやすくするために、これらの図中の層及び領域の厚さが誇張されていることもある。さらに、例えば製造技法及び/又は公差の結果として、図の形状からの変形が予想される。従って、本発明の実施形態は、本明細書で示された特定の領域の形状に限定されると解釈されるべきではなく、例えば製造加工の結果である、形状における偏差を含むものと解釈されるべきである。例えば、矩形として示された注入領域は、典型的には、丸みを帯びた又は湾曲した特徴を有しており、及び/又は、注入領域から非注入領域への不連続の変化(discrete change)ではなく、その縁部において注入濃度勾配を有する。同様に、注入により形成された埋込み領域は、その埋込み領域と、注入が行われる表面との間の領域内にある程度の注入をもたらすことがある。従って、図中で示される領域は、本質的に概略的なものであり、それらの形状は、デバイスの領域の実際の形状を示すことを意図するものではなく、本発明の範囲を限定することを意図するものでもない。
本発明の幾つかの実施形態が、その層及び/又は領域の多数キャリアの濃度を示すn型又はp型などの導電型を有することを特徴とする半導体層及び/又は領域に関して説明される。従って、N型材料は、負に帯電した電子の多数平衡濃度を有し、P型材料は、正に帯電した正孔の多数平衡濃度を有する。幾つかの材料は、他の層又は領域に比べて多数キャリアの濃度が相対的に高い(「+」)又は低い(「-」)ことを示すために、(N+、N-、P+、P-、N++、N--、P++、P--などにおけるように)「+」又は「-」を付けて示されることがある。しかしながら、このような表記は、ある層又は領域中に、特定の濃度の多数又は少数キャリアが存在することを意味しない。
本明細書で説明される炭化シリコン(SiC)基板/層は、4Hポリタイプの炭化シリコン基板/層とすることができる。しかしながら、3C、6H、及び15Rポリタイプなどの他の炭化シリコンのポリタイプの候補を使用することもできる。適切なSiC基板は、本発明の譲受人であるノースカロライナ州ダラム所在のCree Research, Inc.から入手可能であり、こうした基板を製造するための方法は、科学文献、並びに、これらに限定されるものではないが、特許文献4、特許文献5、及び特許文献6を含む同一出願人による多数の米国特許に記載されており、これらの開示はその全体が引用により本明細書に組み入れられる 。
本明細書で用いられる「III族窒化物」という用語は、窒素と、周期表のIII族の1つ又はそれ以上の元素、通常はアルミニウム(Al)、ガリウム(Ga)、及びインジウム(In)との間で形成された半導体化合物を指す。この用語は、GaN、AlGaN及びAlInGaNのような二元、三元、及び四元化合物のことも指す。III族元素は、窒素と結合して、二元化合物(例えば、GaN)、三元化合物(例えば、AlGaN)、及び四元化合物(例えば、AlInGaN)を形成することができる。これらの化合物は全て、1モルの窒素が合計で1モルのIII族元素と結合する実験式を有することができる。従って、AlxGa1-xN(式中、1>x>0)のような式を用いて、これらの化合物を記述することが多い。III族窒化物のエピタキシャル成長のための技術は、ある程度開発されており、適切な科学文献、及び、同一出願人による特許文献7、特許文献8、及び特許文献9に報告されており、これらの開示はその全体が引用により本明細書に組み入れられる。
半導体デバイスのコンタクト構造体は、下にある半導体材料とのオーミック・コンタクトをもたらし得る。高電子移動度トランジスタ(HEMT)のようなIII族窒化物半導体デバイスにおいて、ソース/ドレイン・コンタクトは、窒化ガリウム、窒化アルミニウムガリム、窒化インジウムガリウム、窒化インジウム、窒化インジウムアルミニウム、及び/又は窒化インジウムガリウムアルミニウムなどのIII族窒化物半導体材料内の2次元電子ガス(2DEG)とのオーミック・コンタクトをもたらし得る。アルミウムニッケル構造体は、III族窒化物半導体材料とのオーミック・コンタクトをもたらし得るが、アルミウムニッケル構造体は、電解腐食、後のエッチング中の化学攻撃、及び/又は付着問題にさらされることがある。
本発明の幾つかの実施形態によると、半導体層のソース/ドレイン・コンタクトが、半導体層上の第1の金属の層と、第1の金属の層上の第2の金属を含むシリサイド層(即ち、第2の金属のシリサイド)とを含むことができ、第1及び第2の金属は異なるものである。例えば、第1の金属はチタン及び/又は任意の他の適切な金属とすることができ、第2の金属はニッケル及び/又は任意の他の適切な金属とすることができる。より具体的には、シリコンと第2の金属との交互層を第1の金属の層上に形成し、次いでアニールしてシリサイド層を形成することができる。さらに、アニールする前に、第3の金属の層をシリコンと第2の金属との交互層上に形成して、これによりコンタクト構造体の酸化を低減することができる。第3の金属は、金、白金、パラジウム、及び/又は任意の他の適切な金属とすることができる。結果として得られるオーミック・コンタクト構造体は、化学的に安定したもの及び/又は耐食性となることができ、及び/又は、デバイスの耐用年数にわたって下層の半導体層との付着性を保持しながら、下層の半導体層との低抵抗接触をもたらし得る。
図1乃至図4は、本発明の実施形態によるオーミック・コンタクト構造体を形成する操作を示す断面図である。図1に示すように、III族窒化物半導体HEMT(高電子移動度トランジスタ)などの半導体構造体103を、炭化シリコンSiC基板又はサファイア基板のような基板101の上に形成することができる。基板101は、半絶縁性炭化シリコン(SiC)基板とすることができ、この半絶縁性炭化シリコン(SiC)基板は、例えば、4Hポリタイプの炭化シリコンとすることができる。他の炭化シリコンの候補のポリタイプには、3C、6H、及び15Rポリタイプを挙げることができる。基板は、Cree,Inc.から入手可能な高純度半絶縁性(HPSI)基板とすることができる。「半絶縁性」という用語は、本明細書において、絶対的な意味ではなく、記述的に用いられる。
本発明の幾つかの実施形態において、炭化シリコンのバルク結晶が、室温において約1×105Ω・cmに等しいか又はそれより高い抵抗率を有することができる。本発明の幾つかの実施形態において用いることができる例示的なSiC基板は、例えば、本発明の譲渡人であるノースカロライナ州ダラム所在のCree,Inc.によって製造されており、こうした基板を製造するための方法は、その開示の全体が引用により本明細書に組み入れられる、特許文献4、特許文献5、特許文献6、及び特許文献10に記載されている。同様に、III族窒化物のエピタキシャル成長の技術は、その開示の全体が引用により本明細書に組み入れられる、特許文献7、特許文献8、及び特許文献9に記載されている。
半導体構造体103は、異なるバンドギャップを有するIII族窒化物半導体材料で形成されたチャネル層103a及びバリア層103bを含むことができるので、チャネル層103aとバリア層103bの間の界面がヘテロ接合を定める。チャネル層103aは、GaNのようなIII族窒化物層とすることができる。チャネル層103aはまた、窒化インジウムガリウム(InGaN)、窒化アルミニウムインジウムガリウム(AlInGaN)などのような他のIII族窒化物層を含むこともできる。チャネル層103aは、ドープされていなくても(即ち、「意図せずにドープされていても」)よく、約20オングストロームを上回る厚さまで成長させることができる。チャネル層103aはまた、例えば、GaN、AlGaNなどの超格子又は組み合わせといった多層構造体とすることができる。
バリア層103bは、AlxGa1-xN(0<x<1)のようなIII族窒化物層とすることができる。バリア層103bはまた、AlInGaN、AlN、及び/又はそれらの層の組み合わせといった他のIII族窒化物層を含むこともできる。バリア層103bは、例えば、約0.1nmから約100nmまでの厚さにすることができるが、内部の実質的な亀裂及び/又は欠陥の形成を減らすのに十分に薄くすることができる。本発明の幾つかの実施形態において、バリア層103bは、高濃度ドープされたn型層とすることができる。例えば、バリア層103bは、約1019cm-3の濃度にドープすることができる。半導体構造体103は、例示目的のためにチャネル層103a及びバリア層103bを有するように示されるが、半導体構造体103は、チャネル層103aと基板101との間の緩衝及び/又は核生成層、及び/又は、バリア層103bの上のキャップ層のような付加的な層/構造体/要素を含むことができる。基板、チャネル層、バリア層、及び他の層を含むHEMT構造体は、例として、特許文献1、特許文献2、特許文献3、特許文献11、特許文献12、特許文献13、特許文献14、特許文献15、特許文献16、及び特許文献17に説明されており、これらの開示の全体が引用により本明細書に組み入れられる。
さらに図1に示すように、フォトレジスト・リフトオフマスク105を半導体構造体103上に形成して、オーミック・コンタクトが形成される半導体構造体103の部分を露出させることができる。次に、オーミック・コンタクト材料の層をフォトレジスト・リフトオフマスク105上、及び、半導体構造体103の露出部分上に形成して、図1に示す構造体をもたらす。より具体的には、第1の金属の層107をフォトレジスト・リフトオフマスク105上、及び、半導体構造体103の露出部分上に形成することができ、シリコンと第2の金属との交互層109を第1の金属の層107上に形成することができる。さらに、第3の金属の層111をシリコンと第2の金属との交互層109上に形成することができる。一例として、層107は、チタン(Ti)及び/又は任意の他の適切な金属の層とすることができ、交互層109は、シリコン(Si)とニッケル(Ni)(及び/又は任意の他の適切な金属)との交互層とすることができ、層111は、金(Au)、白金(Pt)、パラジウム(Pd)及び/又は任意の他の適切な金属の層とすることができる。さらに、層107、交互層109及びキャップ層111は、例えば蒸着により、同じ反応チャンバ内でインサイチュ(その場)形成することができる。さらに、半導体構造体103は、第1の金属のそれぞれの層107と、チャネル層103aとバリア層103bの間の界面における2DEGとの間に電気的結合をもたらすドープされたソース/ドレイン領域106を含むことができる。ドープされたソース/ドレイン領域106は、例えば、n型導電性をもたらすようにドープすることができる。
図5は、半導体構造体103の部分上の層107、109及び111の部分を示す大きく拡大した断面図である。具体的には、第1の金属の層107は、半導体構造体103のIII族窒化物半導体層(AlGaN層など)の直接上に形成することができ、シリコン109aと第2の金属の層109bとの交互層は、第1の金属の層107上に形成することができる。図5に示すように、第1のシリコン層109aは、第2の金属の層109bの全てを第1の金属の層107から分離することができる。より具体的には、第1のシリコン層109aは、第1の金属の層107の直接上とすることができる。本発明の幾つかの実施形態によると、シリコン層109aは、隣接する第2の金属の層109bよりも厚くすることができ、より具体的には、シリコン層109aの厚さは、隣接する第2の金属の層109bの厚さより約2倍厚くすることができる。
本発明の特定の実施形態によると、層107はチタン層とすることができ、層109aはシリコン層とすることができ、層109bはニッケル層とすることができる。シリコン層109aの厚さは約400オングストロームから約600オングストロームまでの範囲とすることができ、ニッケル層109bの厚さは約200オングストロームから約300オングストロームまでの範囲とすることができ、より具体的には、シリコン層109aの厚さは約500オングストロームとすることができ、ニッケル層109bの厚さは約250オングストロームとすることができる。より一般的には、層109a及び109bの厚さは、交互層109の組み合わせにおいて、約45乃至約55原子重量パーセントの範囲のシリコン、より具体的には、約48乃至約52原子重量パーセントの範囲のシリコンを提供するように選択することができる。本発明の幾つかの実施形態によると、層109a及び109bの厚さは、シリコンとニッケルとの交互層109の組み合わせにおいて、約50原子重量パーセントのシリコンを提供するように選択することができる。
交互層109a及び109bは、少なくとも1つのシリコン層109aと少なくとも1つのニッケル層109bとを含み、少なくとも1対のシリコン層109a及びニッケル層109bをもたらす。本発明の幾つかの実施形態によると、積層体109内に、2対から10対までのシリコン層109a及びニッケル層109bを含ませることができ、特定の実施形態によると、3対又は4対のシリコン層109a及びニッケル層109bを設けることができる。本発明の他の実施形態によると、少なくとも2対のシリコン層109a及びニッケル層109bを含ませることができる。一例として、シリコン及びニッケル層の対を示したが、最後のニッケル層を省略して、ニッケル層よりも1つ多いシリコン層があってもよい。本発明の幾つかの実施形態によると、第2の金属の単層109bを2つのシリコン層109aの間に挟むことができる。
さらに、キャップ層111を交互層109上に設けて、交互層109をキャップ層111とチタン層107の間に挟むことができる。キャップ層111は、例えば、金、パラジウム、及び/又は白金の層とすることができ、キャップ層111は約500オングストローム未満、より具体的には、約50オングストロームから約200オングストロームまでの範囲の厚さを有することができる。本発明の他の実施形態によると、キャップ層111を省略することができる。キャップ層111は、例えば、交互層109及び/又は後に形成されるシリサイドの酸化を低減することができる。
図2に示すように、フォトレジスト・リフトオフマスク105、並びに、その上の層107、109及び111の部分を除去することができる。それに応じて、第5の構造体を有する、分離したオーミック・コンタクト構造体115(それぞれ第1の金属層107、交互層109、及びキャップ層111を含む)が、半導体構造体103上に残る。図1及び図2において、例証としてリフトオフ・パターン形成が説明されるが、オーミック・コンタクト構造体115は、他の操作を用いて形成することもできる。例えば、ブランケット層107、109、及び111を半導体構造体103上に直接形成し(即ち、フォトレジスト・リフトオフマスクを用いずに)、次いで、後のフォトリソグラフィ・マスキング及びエッチング操作を用いてパターン形成することができる。
次に、交互層109に熱アニール操作を施して、第1の金属の層107上の第2の金属のシリサイド層109’(例えば、ニッケルシリサイド及び/又は任意の他の適切な金属のシリサイド)を含むコンタクト構造体115’を設けることができる。より具体的には、熱アニールは、第1の金属(例えば、チタン及び/又は任意の他の適切な金属)の著しいシリサイドを形成することなく、第2の金属(例えば、ニッケル及び/又は任意の他の適切な金属)を含むシリサイドを形成するのに十分な温度で実施することができる。さらに、第2の金属の層109bの全てと第1の金属の層107との間に第1のシリコン層109aを設けることにより、熱アニール操作中の第1の金属と第2の金属の混合を減らすことができる。一例として、チタン層107とニッケル層109bの場合、約500℃を超えない温度で急速熱アニール(RTA)を実施して、チタンとニッケルの著しい混合、及び、チタンシリサイドの著しい形成なしに、ニッケルシリサイドを形成することができる。急速熱アニールは、例えば、約200℃から約500℃までの範囲の温度で実施することができる。
さらに、上述のように適切な厚さの層109a及び109bを設けることにより、結果として生じるシリサイド層109’内のシリコンの原子重量パーセントを約45乃至約55原子重量パーセントの範囲に、より具体的には、約48乃至約52原子重量パーセントの範囲にすることができる。本発明の幾つかの実施形態によると、結果として生じるシリサイド層109’内のシリコンの原子重量パーセントは、約50原子重量パーセントとすることができる。さらに、金属シリサイドの組成は、シリサイド層109’の厚さ全体にわたって比較的均一にすることができる。さらに、キャップ層111(含まれる場合)は、熱アニール操作の前/間/後に、交互層109及び/又はシリサイド層109’の酸化を低減することができる。
さらに、図3にさらに示すように、熱アニール操作の前又は後に、保護層117をコンタクト構造体115’上に形成することができる。保護層117は、例えば、窒化シリコン(Sixy)、窒化アルミニウム(AlN)、二酸化シリコン(SiO2)、及び/又は他の適切な保護材料などの絶縁性材料の層とすることができる。他の材料を保護層117に用いることもできる。例えば、保護層117はまた、酸化マグネシウム、酸化スカンジウム、酸化アルミニウム及び/又は酸窒化アルミニウムを含むことができる。さらに、保護層117は単一層とすることができ、又は均一な組成及び/又は不均一な組成の多層を含むことができる。
キャップ層111は、例として図3において保護層117を形成した後に示されるが、キャップ層111は、熱アニール操作後、保護層117の形成前に除去することができる。さらに、保護層117は、上述のように全体的に省略することもできる。
次に、図4に示されるようなフォトリソグラフィ・マスク及びエッチング操作を用いて、保護層117をパターン形成してコンタクト構造体115’を露出させ、半導体構造体103のゲート・コンタクト領域119を露出させることができる。次に、図4にさらに示されるように、ソース/ドレイン電極121及びゲート電極123を形成することができる。本発明の幾つかの実施形態によると、ソース/ドレイン電極121及びゲート電極123を、金及び/又は任意の他の適切な金属などの同じ材料を用いて同時に形成することができる。例えば、金属(例えば、金及び/又は任意の他の適切な金属)のブランケット層を堆積させ、次いで、後のフォトリソグラフィ・マスク及びエッチング操作を用いてパターン形成し、ソース/ドレイン電極及びゲート電極123を形成することができる。本発明の他の実施形態によると、ゲート電極123(又はその部分)をソース/ドレイン電極121とは別個に形成することができるので、ゲート電極123及びソース/ドレイン電極121は、異なる材料を含み得る。ゲート電極材料の一例として、金について説明されたが、ニッケル、白金、ニッケルシリサイド、銅、パラジウム、クロム、タングステン、窒化タングステンシリコン、及び/又は任意の他の適切な導電性材料のような他の材料をゲート電極123に用いることができる。本発明の幾つかの実施形態によると、ゲート電極123の部分を半導体構造体103に直接接触させて、これらの間にショットキー又は他の非オーミック・コンタクトをもたらし得る。従って、ゲート電極123の材料は、半導体構造体103のゲート・コンタクト領域119とのショットキー又は他の非オーミック・コンタクトをもたらすように選択することができる。
本発明の幾つかの実施形態によると、ゲート電極123を形成することができ、次に、ソース/ドレイン電極121の金属層を形成/パターン形成してソース/ドレイン電極121を設けることができる。図4には示されないが、絶縁層を、ゲート電極123上、オーミック・コンタクト115’上、及び保護層117上に形成することができる。この絶縁層をパターン形成してオーミック・コンタクト115’の部分を露出させ、次いで、ソース/ドレイン電極121を、絶縁層上及びそれぞれのオーミック・コンタクト115’の露出部分上に形成することができる。
図4に示すように、ソース/ドレイン電極121を形成した後、オーミック・コンタクト115’上にキャップ層111を保持することができる。本発明の他の実施形態によると、キャップ層111は、保護層117のパターン形成後、及び、ソース/ドレイン電極121の形成前に除去することができる。本発明のさらに他の実施形態によると、キャップ層111は、保護層117を形成する前に除去することができ、又は図1−図3に関して上述したように全体的に省略することができる。
従って、図4のHEMTは、チャネル層103aとバリア層103bとの間の界面における2次元電子ガス(2DEG)を通して、オーミック・コンタクト115’の間に導通をもたらすことができる。さらに、ゲート電極123に印加される電気信号に応答して、オーミック・コンタクト115’間の2DEGを通しての導通を変調させることができる。
オーミック・コンタクト構造体及び製造方法が、III族窒化物半導体HEMT構造体に関して例証として上述されてきた。本発明の実施形態によるオーミック・コンタクト構造体及び製造方法は、他の半導体デバイス及び/又は材料で用いることができる。本発明の他の実施形態によるオーミック・コンタクト構造体及び方法は、例えば、MOSFETトランジスタ、バイポーラ接合トランジスタ、及び発光ダイオード等で用いることができる。さらに、本発明の実施形態によるオーミック・コンタクト構造体及び方法は、図1−図4に関連して上述したような、デバイスの同じ側/面上に全てのコンタクトを有する水平型デバイス、又は、デバイスの両側/面にコンタクトを有する垂直型デバイスで用いることができる。
図6は、異なるウェハ上に製造されたオーミック・コンタクト構造体についての平均シート抵抗(オーム/スクエア)及びその範囲を示すグラフである。NiSi_B群は、図1−図5に示さるような本発明の実施形態に従って形成されたオーミック・コンタクト構造体が設けられた3つのウェハ(HP0217−10、HP0221−06、及びKC0034−04)を含み、各々のオーミック・コンタクト構造体は、チタン層と、3つのニッケル層(各々が約250オングストロームの厚さを有する)と交互する3つのシリコン層(各々が約500オングストロームの厚さを有する)をアニールすることによって形成されたシリサイド層とを含む。NiSiA群は、図1−図5に示されるような本発明の実施形態に従って形成されたオーミック・コンタクト構造体が設けられた3つのウェハ(HP0220−11、HP0221−09、及びHP0222−02)を含み、各々のオーミック構造体は、チタン層と、2つのニッケル層(各々が約250オングストロームの厚さを有する)と交互する2つのシリコン層(各々が約500オングストロームの厚さを有する)をアニールすることによって形成されたシリサイド層とを含む。Ti/Al/Ni比較群は、オーミック・コンタクト構造体が設けられた4つのウェハ(FM0562−05、GF0301−07、GF0329−12、及びJS0077−02)を含み、各々のオーミック・コンタクト構造体は、チタン層、アルミニウム層、及びニッケル層を含む層から形成される。
図6のグラフに示されるように、本発明の実施形態によるNiSi_B群及びTi/Al/Ni比較群のオーミック・コンタクト構造体の抵抗は、統計的に非常に類似し得る。しかしながら、上述のように、シリコンとニッケルとの交互層をアニールすることによって形成されるシリサイドを含むオーミック・コンタクト構造体は、後のエッチング操作がもたらす損傷に対する抵抗を増大させ、腐食による損傷を低減させ、及び/又は付着性を向上させる。
図面及び本明細書において、本発明の典型的な好ましい実施形態が開示され、特定の用語が用いられたが、これらは、一般的及び記述的な意味で用いられただけであり、限定目的では用いられていず、本発明の範囲は、以下の特許請求の範囲において説明される。
101:基板
103:半導体構造体
103a:チャネル層
103b:バリア層
105:フォトレジスト・リフトオフマスク
106:ソース/ドレイン領域
107:第1の金属の層
109:交互層
109a:シリコン層
109b:第2の金属の層
109’:第2の金属のシリサイド層
111:キャップ層(第3の金属の層)
115、115’:オーミック・コンタクト構造体
117:保護層
119:ゲート・コンタクト領域
121:ソース/ドレイン電極
123:ゲート電極

Claims (9)

  1. 半導体デバイスを形成する方法であって、
    III族窒化物半導体層を準備するステップと、
    前記III族窒化物半導体層上に第1の金属の第1の層を準備するステップと、
    前記第1の金属の前記第1の層上に第2の層を準備するステップと、
    を含み、前記第2の層はシリコンの層及び第2の金属の層を含み、前記第1の金属と前記第2の金属とは異なっており、
    前記シリコン層は少なくとも2つのシリコン層を含み、前記第2の金属の前記層は、前記少なくとも2つのシリコン層を分離する前記第2の金属の少なくとも1つの層を含んでおり、
    前記少なくとも2つのシリコン層及び前記第2の金属の前記少なくとも1つの層を含む前記第2の層をアニールして前記第2の金属を含むシリサイド層を形成すると同時に、前記シリサイド層と前記III族窒化物半導体層との間に前記第1の金属の前記第1の層の少なくとも一部を保持するステップをさらに含む
    ことを特徴とする方法。
  2. 前記第1の金属はチタンを含み、前記第2の金属はニッケルを含み、前記少なくとも2つのシリコン層及びニッケルを含む前記第2の金属の前記少なくとも1つの層をアニールして前記シリサイド層を形成するステップは、約500℃を超えない温度でアニールするステップを含むことを特徴とする、請求項1に記載の方法。
  3. 前記第2の層を準備するステップは、シリコンと前記第2の金属との複数の交互層を準備するステップを含み、前記第2の金属の前記層はいずれも、前記シリコン層の少なくとも1つによって前記第1の金属の前記第1の層から分離されることを特徴とする、請求項2に記載の方法。
  4. 前記少なくとも2つのシリコン層及び前記第2の金属の前記少なくとも1つの層を含む前記第2の層上に金属キャップ層を準備するステップをさらに含み、前記金属キャップ層と前記第2の金属とは異なる材料を含み、
    前記第1の金属はチタンを含み、前記第2の金属はニッケルを含み、前記金属キャップ層は、白金、パラジウム、及び/又は金の少なくとも1つを含むことを特徴とする、請求項3に記載の方法。
  5. 前記III族窒化物半導体層を準備するステップは、
    2次元電子ガスをもたらすIII族窒化物ヘテロ接合構造体を準備するステップと、
    前記第1の金属の前記層と前記2次元電子ガスとの間に電気的結合をもたらすドープ領域を準備するステップと、
    を含むことを特徴とする、請求項1に記載の方法。
  6. III族窒化物半導体層と、
    前記III族窒化物半導体層上の第1の金属の層と、
    前記第1の金属の前記層上のシリサイド層と、
    を含み、前記シリサイド層は第2の金属を含み、前記第1の金属と前記第2の金属とは異なっており、前記第1の金属はチタンを含み、前記第2の金属はニッケルを含むことを特徴とする半導体デバイス。
  7. 前記シリサイド層内のシリコンの原子重量パーセントは、約45パーセントから約55パーセントまでの範囲にあることを特徴とする、請求項6に記載の半導体デバイス。
  8. 前記シリサイド層上の第3の金属の層をさらに含み、前記第3の金属は、前記第1の金属及び前記第2の金属とは異なることを特徴とする、請求項に記載の半導体デバイス。
  9. 前記第1の金属はチタンを含み、前記第2の金属はニッケルを含み、前記第3の金属は、白金、パラジウム、及び/又は金の少なくとも1つを含むことを特徴とする、請求項に記載の半導体デバイス。
JP2012552918A 2010-02-11 2011-02-08 金属及びシリコンの交互層を含むコンタクト構造体並びに関連デバイスの形成方法 Active JP5621006B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/704,013 US8563372B2 (en) 2010-02-11 2010-02-11 Methods of forming contact structures including alternating metal and silicon layers and related devices
US12/704,013 2010-02-11
PCT/US2011/023975 WO2011100212A1 (en) 2010-02-11 2011-02-08 Methods of forming contact structures including alternating metal and silicon layers and related devices

Publications (2)

Publication Number Publication Date
JP2013520014A JP2013520014A (ja) 2013-05-30
JP5621006B2 true JP5621006B2 (ja) 2014-11-05

Family

ID=44352991

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012552918A Active JP5621006B2 (ja) 2010-02-11 2011-02-08 金属及びシリコンの交互層を含むコンタクト構造体並びに関連デバイスの形成方法

Country Status (5)

Country Link
US (1) US8563372B2 (ja)
EP (1) EP2534684B1 (ja)
JP (1) JP5621006B2 (ja)
CN (1) CN102884621B (ja)
WO (1) WO2011100212A1 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9214352B2 (en) 2010-02-11 2015-12-15 Cree, Inc. Ohmic contact to semiconductor device
US9548206B2 (en) 2010-02-11 2017-01-17 Cree, Inc. Ohmic contact structure for group III nitride semiconductor device having improved surface morphology and well-defined edge features
JP5685020B2 (ja) * 2010-07-23 2015-03-18 住友電気工業株式会社 半導体装置の製造方法
US8466555B2 (en) * 2011-06-03 2013-06-18 Raytheon Company Gold-free ohmic contacts
US9111905B2 (en) 2012-03-29 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
US9666705B2 (en) * 2012-05-14 2017-05-30 Infineon Technologies Austria Ag Contact structures for compound semiconductor devices
US9373689B2 (en) 2012-12-28 2016-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
JP5722933B2 (ja) * 2013-02-04 2015-05-27 株式会社豊田中央研究所 積層電極
US10867792B2 (en) 2014-02-18 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor (HEMT) having an indium-containing layer and method of manufacturing the same
US9640623B2 (en) 2014-10-17 2017-05-02 Cree, Inc. Semiconductor device with improved field plate
US9608078B2 (en) 2014-10-17 2017-03-28 Cree, Inc. Semiconductor device with improved field plate
US10026823B1 (en) * 2017-03-08 2018-07-17 Raytheon Company Schottky contact structure for semiconductor devices and method for forming such schottky contact structure
US10923585B2 (en) 2019-06-13 2021-02-16 Cree, Inc. High electron mobility transistors having improved contact spacing and/or improved contact vias
US10971612B2 (en) 2019-06-13 2021-04-06 Cree, Inc. High electron mobility transistors and power amplifiers including said transistors having improved performance and reliability
US11769768B2 (en) 2020-06-01 2023-09-26 Wolfspeed, Inc. Methods for pillar connection on frontside and passive device integration on backside of die
US20220376104A1 (en) 2021-05-20 2022-11-24 Cree, Inc. Transistors including semiconductor surface modification and related fabrication methods
US20220376085A1 (en) 2021-05-20 2022-11-24 Cree, Inc. Methods of manufacturing high electron mobility transistors having improved performance
US11842937B2 (en) 2021-07-30 2023-12-12 Wolfspeed, Inc. Encapsulation stack for improved humidity performance and related fabrication methods
US20230075505A1 (en) 2021-09-03 2023-03-09 Wolfspeed, Inc. Metal pillar connection topologies for heterogeneous packaging
US20230078017A1 (en) 2021-09-16 2023-03-16 Wolfspeed, Inc. Semiconductor device incorporating a substrate recess
US20230395695A1 (en) 2022-06-07 2023-12-07 Wolfspeed, Inc. Method for reducing parasitic capacitance and increasing peak transconductance while maintaining on-state resistance and related devices
US20240105824A1 (en) 2022-09-23 2024-03-28 Wolfspeed, Inc. Barrier Structure for Sub-100 Nanometer Gate Length Devices
US20240105823A1 (en) 2022-09-23 2024-03-28 Wolfspeed, Inc. Barrier Structure for Dispersion Reduction in Transistor Devices
US20240120202A1 (en) 2022-10-06 2024-04-11 Wolfspeed, Inc. Implanted Regions for Semiconductor Structures with Deep Buried Layers

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4866005A (en) 1987-10-26 1989-09-12 North Carolina State University Sublimation of silicon carbide to produce large, device quality single crystals of silicon carbide
US4946547A (en) 1989-10-13 1990-08-07 Cree Research, Inc. Method of preparing silicon carbide surfaces for crystal growth
US5210051A (en) 1990-03-27 1993-05-11 Cree Research, Inc. High efficiency light emitting diodes from bipolar gallium nitride
US5200022A (en) 1990-10-03 1993-04-06 Cree Research, Inc. Method of improving mechanically prepared substrate surfaces of alpha silicon carbide for deposition of beta silicon carbide thereon and resulting product
US5192987A (en) 1991-05-17 1993-03-09 Apa Optics, Inc. High electron mobility transistor with GaN/Alx Ga1-x N heterojunctions
TW520072U (en) 1991-07-08 2003-02-01 Samsung Electronics Co Ltd A semiconductor device having a multi-layer metal contact
JP3128344B2 (ja) * 1992-09-10 2001-01-29 三洋電機株式会社 n型炭化ケイ素の電極形成方法
JP3079851B2 (ja) * 1993-09-28 2000-08-21 富士電機株式会社 炭化けい素電子デバイスの製造方法
US5393993A (en) 1993-12-13 1995-02-28 Cree Research, Inc. Buffer structure between silicon carbide and gallium nitride and resulting semiconductor devices
US5523589A (en) 1994-09-20 1996-06-04 Cree Research, Inc. Vertical geometry light emitting diode with group III nitride active layer and extended lifetime
JP3883641B2 (ja) 1997-03-27 2007-02-21 株式会社半導体エネルギー研究所 コンタクト構造およびアクティブマトリクス型表示装置
US6316793B1 (en) 1998-06-12 2001-11-13 Cree, Inc. Nitride based transistors on semi-insulating silicon carbide substrates
US6218680B1 (en) 1999-05-18 2001-04-17 Cree, Inc. Semi-insulating silicon carbide without vanadium domination
US6548333B2 (en) * 2000-12-01 2003-04-15 Cree, Inc. Aluminum gallium nitride/gallium nitride high electron mobility transistors having a gate contact on a gallium nitride based cap segment
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3866149B2 (ja) * 2002-05-08 2007-01-10 富士通株式会社 半導体装置の製造方法
US6894931B2 (en) * 2002-06-20 2005-05-17 Kabushiki Kaisha Toshiba Nonvolatile semiconductor memory device
US20050189651A1 (en) * 2002-07-25 2005-09-01 Matsushita Elec. Ind. Co. Ltd. Contact formation method and semiconductor device
AU2003272733A1 (en) * 2002-09-26 2004-04-19 The State Of Oregon Acting By And Through The State Board Of Higher Education On Behalt Of The University Of Oregon Nickel silicides formed by low-temperature annealing of compositionally modulated multilayers
US7105861B2 (en) 2003-04-15 2006-09-12 Luminus Devices, Inc. Electronic device contact structures
US20060006393A1 (en) * 2004-07-06 2006-01-12 Ward Allan Iii Silicon-rich nickel-silicide ohmic contacts for SiC semiconductor devices
JP4087365B2 (ja) * 2004-09-14 2008-05-21 新電元工業株式会社 SiC半導体装置の製造方法
JP4594113B2 (ja) * 2005-01-19 2010-12-08 新電元工業株式会社 半導体装置の製造方法
US7514353B2 (en) 2005-03-18 2009-04-07 Applied Materials, Inc. Contact metallization scheme using a barrier layer over a silicide layer
US7544963B2 (en) 2005-04-29 2009-06-09 Cree, Inc. Binary group III-nitride based high electron mobility transistors
US7615774B2 (en) 2005-04-29 2009-11-10 Cree.Inc. Aluminum free group III-nitride based high electron mobility transistors
US7548112B2 (en) 2005-07-21 2009-06-16 Cree, Inc. Switch mode power amplifier using MIS-HEMT with field plate extension
JP5121207B2 (ja) * 2005-12-02 2013-01-16 株式会社半導体エネルギー研究所 半導体装置及びその作製方法
US7592211B2 (en) 2006-01-17 2009-09-22 Cree, Inc. Methods of fabricating transistors including supported gate electrodes
US7709269B2 (en) 2006-01-17 2010-05-04 Cree, Inc. Methods of fabricating transistors including dielectrically-supported gate electrodes
US20100207137A1 (en) * 2007-07-24 2010-08-19 Sumitomo Chemical Company, Limited Semiconductor device, semiconductor device manufacturing method, high carrier mobility transistor and light emitting device
KR20100065147A (ko) * 2007-08-31 2010-06-15 라티스 파워(지앙시) 코포레이션 저온에서 p-형 ⅲ-v 질화물 반도체 물질과의 저저항률 옴 접촉부를 제작하기 위한 방법

Also Published As

Publication number Publication date
EP2534684A4 (en) 2014-10-01
EP2534684B1 (en) 2017-09-06
CN102884621A (zh) 2013-01-16
US20110193135A1 (en) 2011-08-11
CN102884621B (zh) 2016-05-25
JP2013520014A (ja) 2013-05-30
US8563372B2 (en) 2013-10-22
EP2534684A1 (en) 2012-12-19
WO2011100212A1 (en) 2011-08-18

Similar Documents

Publication Publication Date Title
JP5621006B2 (ja) 金属及びシリコンの交互層を含むコンタクト構造体並びに関連デバイスの形成方法
KR101108344B1 (ko) 캡층 및 리세스된 게이트를 가지는 질화물계트랜지스터들의 제조방법들
US9214352B2 (en) Ohmic contact to semiconductor device
KR101124937B1 (ko) 질화물계 트랜지스터를 위한 캡층 및/또는 패시베이션층,트랜지스터 구조 및 그 제조방법
JP6014023B2 (ja) 酸化ニッケルを含むゲートを有する半導体デバイス及びその作製方法
JP5780613B2 (ja) 改良された接着力を有する半導体デバイス及びその製造方法
US10090394B2 (en) Ohmic contact structure for group III nitride semiconductor device having improved surface morphology and well-defined edge features
JP2014003301A (ja) 窒化物ベースのトランジスタおよびエッチストップ層を用いた製造方法
JP5415668B2 (ja) 半導体素子
JP2014110320A (ja) ヘテロ接合電界効果トランジスタ及びその製造方法
JP2007088186A (ja) 半導体装置及びその製造方法
JP2008153371A (ja) 縦型電界効果トランジスタ

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131224

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131226

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140324

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140331

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140424

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140901

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140919

R150 Certificate of patent or registration of utility model

Ref document number: 5621006

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250