JP5231357B2 - Resist material and pattern forming method using the same - Google Patents

Resist material and pattern forming method using the same Download PDF

Info

Publication number
JP5231357B2
JP5231357B2 JP2009187136A JP2009187136A JP5231357B2 JP 5231357 B2 JP5231357 B2 JP 5231357B2 JP 2009187136 A JP2009187136 A JP 2009187136A JP 2009187136 A JP2009187136 A JP 2009187136A JP 5231357 B2 JP5231357 B2 JP 5231357B2
Authority
JP
Japan
Prior art keywords
group
resist material
polymer
monomer
carbon atoms
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009187136A
Other languages
Japanese (ja)
Other versions
JP2011039315A (en
Inventor
畠山  潤
誠一郎 橘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2009187136A priority Critical patent/JP5231357B2/en
Publication of JP2011039315A publication Critical patent/JP2011039315A/en
Application granted granted Critical
Publication of JP5231357B2 publication Critical patent/JP5231357B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

本発明は、レジスト材料、特に電子ビーム(EB)露光および真空紫外光(EUV)露光用化学増幅ポジ型レジスト材料、及びこれを用いたパターン形成方法に関する。   The present invention relates to a resist material, particularly a chemically amplified positive resist material for electron beam (EB) exposure and vacuum ultraviolet light (EUV) exposure, and a pattern forming method using the same.

LSIの高集積化と高速度化に伴い、パターンルールの微細化が急速に進んでいる。特にフラッシュメモリー市場の拡大と記憶容量の増大化が微細化を牽引している。微細化の細線はArFリソグラフィーによる65nmノードのデバイスの量産が行われており、次世代のArF液浸リソグラフィーによる45nmノードの量産準備が進行中である。次次世代の32nmノードとしては、水よりも高屈折率の液体と高屈折率レンズ、高屈折率レジストを組み合わせた超高NAレンズによる液浸リソグラフィー、波長13.5nmの真空紫外光(EUV)リソグラフィー、ArFリソグラフィーの2重露光(ダブルパターニングリソグラフィー)などが候補であり、検討が進められている。   With the high integration and high speed of LSI, pattern rule miniaturization is progressing rapidly. In particular, the expansion of the flash memory market and the increase in storage capacity are leading to miniaturization. The fine line for miniaturization is mass production of 65 nm node devices by ArF lithography, and preparation for mass production of 45 nm nodes by next generation ArF immersion lithography is in progress. Next generation 32nm node includes immersion lithography with ultra high NA lens combining liquid with higher refractive index than water, high refractive index lens and high refractive index resist, vacuum ultraviolet light (EUV) with wavelength of 13.5nm Lithography, double exposure of ArF lithography (double patterning lithography), and the like are candidates and are being studied.

ところで、近年では加工寸法が最小線幅として50nmをきろうとしているが、加工寸法がそのように小さくなった場合には、現像液の表面張力に抗してパターンを維持する構造強度、基板への接着強度等の要因から、加工を行う基板の表面材質によってはレジスト膜厚は100nm以下にする必要がある場合があるが、従来高解像性化学増幅型レジスト膜を形成するために使用されてきたレジスト組成物、例えば、アセタール系保護基を有するベース樹脂を用いた場合、化学増幅レジスト膜の膜厚が150nmにおいてはラインエッジラフネスの悪化が大きな問題にならなかったにも関わらず、膜厚が100nm以下になるとラインエッジラフネスが大幅に悪化してしまう問題が発生した。   By the way, in recent years, the processing dimension is about to reach 50 nm as the minimum line width. However, when the processing dimension becomes so small, the structural strength to maintain the pattern against the surface tension of the developer, and the substrate The resist film thickness may need to be 100 nm or less depending on the surface material of the substrate to be processed due to factors such as adhesive strength, but it has been used to form a high resolution chemically amplified resist film. When a resist composition such as a base resin having an acetal-based protective group is used, the deterioration of the line edge roughness was not a major problem when the thickness of the chemically amplified resist film was 150 nm. When the thickness was 100 nm or less, there was a problem that the line edge roughness was greatly deteriorated.

EBやX線などの非常に短波長な高エネルギー線においてはレジストに用いられている炭化水素のような軽元素は吸収がほとんどなく、ポリヒドロキシスチレンベースのレジスト材料が検討されている。
EB用レジストは、実用的にはマスク描画用途に用いられてきた。近年、マスク製作技術が問題視されるようになってきた。露光に用いられる光がg線の時代から縮小投影露光装置が用いられており、その縮小倍率は1/5であったが、チップサイズの拡大と、投影レンズの大口径化と共に1/4倍率が用いられるようになってきたため、マスクの寸法ズレがウェハー上のパターンの寸法変化に与える影響が問題になっている。パターンの微細化と共に、マスクの寸法ズレの値よりもウェハー上の寸法ズレの方が大きくなってきていることが指摘されている。マスク寸法変化を分母、ウェハー上の寸法変化を分子として計算されたMask Error Enhancement Factor(MEEF)が求められている。45nm級のパターンでは、MEEFが4を超えることも珍しくない。縮小倍率が1/4でMEEFが4であれば、マスク制作に於いて実質等倍マスクと同等の精度が必要であることが言える。
マスク製作用露光装置は線幅の精度を上げるため、レーザービームによる露光装置から電子ビーム(EB)による露光装置が用いられてきた。更にEBの電子銃における加速電圧を上げることによってよりいっそうの微細化が可能になることから、10keVから30keV、最近は50keVが主流であり、100keVの検討も進められている。
Light elements such as hydrocarbons used in resists for high energy rays with very short wavelengths such as EB and X-rays hardly absorb, and polyhydroxystyrene-based resist materials have been studied.
The EB resist has been practically used for mask drawing. In recent years, mask manufacturing techniques have become a problem. A reduction projection exposure apparatus has been used since the light used for exposure was g-line, and its reduction magnification was 1/5. However, the magnification is 1/4 with the enlargement of the chip size and the enlargement of the projection lens. Therefore, the influence of the dimensional deviation of the mask on the dimensional change of the pattern on the wafer has become a problem. It has been pointed out that with the miniaturization of the pattern, the dimensional deviation on the wafer has become larger than the value of the dimensional deviation of the mask. There is a need for a mask error enhancement factor (MEEF) calculated using a mask dimensional change as a denominator and a dimensional change on a wafer as a numerator. It is not uncommon for MEEF to exceed 4 for 45 nm-class patterns. If the reduction ratio is 1/4 and the MEEF is 4, it can be said that the mask production requires the same accuracy as that of the substantially equal-size mask.
In order to increase the accuracy of the line width in the mask manufacturing exposure apparatus, an exposure apparatus using an electron beam (EB) has been used from an exposure apparatus using a laser beam. Furthermore, since further miniaturization is possible by increasing the acceleration voltage in the electron gun of EB, 10 keV to 30 keV, and recently 50 keV is the mainstream, and studies of 100 keV are also underway.

ここで、加速電圧の上昇と共に、レジストの低感度化が問題になってきた。加速電圧が向上すると、レジスト膜内での前方散乱の影響が小さくなるため、電子描画エネルギーのコントラストが向上して解像度や寸法制御性が向上するが、レジスト膜内を素抜けの状態で電子が通過するため、レジストの感度が低下する。マスク露光機は直描の一筆書きで露光するため、レジストの感度低下は生産性の低下につながり好ましいことではない。高感度化の要求から、化学増幅型レジストが検討されている。   Here, as the acceleration voltage increases, lowering the sensitivity of the resist has become a problem. When the acceleration voltage is improved, the influence of forward scattering in the resist film is reduced, so that the contrast of the electron drawing energy is improved and the resolution and dimensional controllability are improved. Since it passes, the sensitivity of the resist decreases. Since the mask exposure machine exposes by direct drawing with a single stroke, lowering the sensitivity of the resist leads to lower productivity, which is not preferable. Chemically amplified resists are being studied because of the demand for higher sensitivity.

微細化の進行と共に、酸の拡散による像のぼけが問題になっている(非特許文献1)。寸法サイズ45nm以降の微細パターンでの解像性を確保するためには、従来提案されている溶解コントラストの向上だけでなく、酸拡散の制御が重要であることが提案されている(非特許文献2)。しかしながら、化学増幅型レジストは、酸の拡散によって感度とコントラストを上げているため、ポストエクスポジュアーベーク(PEB)温度や時間を短くして酸拡散を極限まで抑えようとすると感度とコントラストが著しく低下する。   As miniaturization progresses, image blur due to acid diffusion has become a problem (Non-Patent Document 1). In order to ensure the resolution in a fine pattern with a size size of 45 nm or more, it is proposed that not only the conventionally proposed improvement in dissolution contrast but also the control of acid diffusion is important (Non-Patent Document). 2). However, chemically amplified resists have increased sensitivity and contrast due to acid diffusion, so if you try to minimize acid diffusion by shortening the post-exposure baking (PEB) temperature and time, the sensitivity and contrast are remarkably high. descend.

バルキーな酸が発生する酸発生剤を添加して酸拡散を抑えることは有効である。そこで、ポリマーに酸発生剤を重合性オレフィンを有するオニウム塩の酸発生剤を共重合することが提案されている。特許文献1、特許文献2、特許文献3等には特定のスルホン酸が発生する重合性オレフィンを有するスルホニウム塩、ヨードニウム塩が提案されている。特許文献4、特許文献5、特許文献6等には、スルホン酸が主鎖に直結したスルホニウム塩が提案されている。   It is effective to suppress acid diffusion by adding an acid generator that generates a bulky acid. Therefore, it has been proposed to copolymerize an acid generator of an onium salt having a polymerizable olefin with an acid generator. Patent Document 1, Patent Document 2, Patent Document 3 and the like propose a sulfonium salt and an iodonium salt having a polymerizable olefin that generates a specific sulfonic acid. Patent Document 4, Patent Document 5, Patent Document 6 and the like propose a sulfonium salt in which a sulfonic acid is directly bonded to the main chain.

また、感度を調整するために、ポリマー型のアミン化合物をクエンチャーとして添加する化学増幅型レジストが提案されている。例えば特許文献7にはポリビニルピリジン、特許文献8には、ジアルキルエチルポリメタクリレートやジアルキルアミノプロピルポリスチレンを添加したポジレジスト、特許文献9には、アミノ基を有するメタクリレートを共重合するArF液浸レジストが提案されている。   Further, in order to adjust sensitivity, a chemically amplified resist in which a polymer type amine compound is added as a quencher has been proposed. For example, Patent Document 7 includes polyvinyl pyridine, Patent Document 8 includes a positive resist to which dialkylethyl polymethacrylate or dialkylaminopropyl polystyrene is added, and Patent Document 9 includes an ArF immersion resist for copolymerizing a methacrylate having an amino group. Proposed.

非化学増幅型レジストは、酸拡散によるコントラストの低下がないため低感度であるが高い解像力を得ることが出来る。非化学増幅レジストの代表であるポリメチルメタクリレート(PMMA)を用いたポジ型レジストおよびハイドロゲンシルセスキオキサン(HSQ)を用いたネガ型レジストは、EB描画装置の限界解像度を確認するために用いられる。
非化学増幅型レジストと化学増幅型レジストのハイブリッドによって感度と解像性能のバランス取りを行った例が提案されている。
A non-chemically amplified resist is low in sensitivity because of no decrease in contrast due to acid diffusion, but high resolution can be obtained. A positive resist using polymethyl methacrylate (PMMA) and a negative resist using hydrogen silsesquioxane (HSQ), which are representative of non-chemically amplified resists, are used to confirm the limit resolution of an EB lithography system. .
An example has been proposed in which sensitivity and resolution performance are balanced by a hybrid of a non-chemically amplified resist and a chemically amplified resist.

無数の低加速電子ビームを用いたリソグラフィー(MAPPER)が提案されている(非特許文献3)。ここでの加速電圧は5keVであり、通常の化学増幅レジストを用いた場合は高感度すぎて露光量制御が困難になる問題が生じる。一方、非化学増幅型レジストは、化学増幅型レジストよりも2桁感度が低く、この場合低感度すぎてスループットを稼ぐことが出来ない。通常の化学増幅型レジストよりも1桁低感度あるいは非化学増幅レジストよりも1桁高感度なレジストが要求されている。化学増幅レジストはクエンチャーの添加量を増やすことによって低感度化することが出来るが、1桁低感化するためには大量のクエンチャーを添加することになり、アミンクエンチャーの拡散が増大することによって解像度が劣化する。酸拡散だけでなく、アミンの拡散によってプロキシミティーバイアスが変化することが報告されている(非特許文献4)。   Lithography (MAPPER) using countless low acceleration electron beams has been proposed (Non-patent Document 3). The acceleration voltage here is 5 keV, and when a normal chemically amplified resist is used, there is a problem that it is difficult to control the exposure amount because it is too sensitive. On the other hand, the non-chemically amplified resist is two orders of magnitude less sensitive than the chemically amplified resist. In this case, the sensitivity is too low to increase the throughput. There is a demand for a resist that is an order of magnitude less sensitive than a normal chemically amplified resist or an order of magnitude more sensitive than a non-chemically amplified resist. Chemically amplified resist can be reduced in sensitivity by increasing the amount of quencher added, but in order to reduce the sensitivity by an order of magnitude, a large amount of quencher will be added, and diffusion of amine quencher will increase. The resolution deteriorates due to the above. It has been reported that proximity bias changes not only by acid diffusion but also by amine diffusion (Non-Patent Document 4).

このように、従来のレジスト材料では、解像度、感度、エッジラフネスすべての問題を解決することは難しいものであり、そのため、これらすべての問題を解決できるレジスト材料の早期開発が望まれていた。   Thus, with conventional resist materials, it is difficult to solve all the problems of resolution, sensitivity, and edge roughness. Therefore, early development of resist materials that can solve all these problems has been desired.

特開平4−230645号公報JP-A-4-230645 特開2005−84365号公報JP 2005-84365 A 特開2006−045311号公報JP 2006-045311 A 特許3613491号Patent 3613491 特開2006−178317号公報JP 2006-178317 A 特開2008−133448号公報JP 2008-133448 A 特開平7−128859号公報Japanese Patent Laid-Open No. 7-128859 特開平9−292708号公報Japanese Patent Laid-Open No. 9-292708 特開2008−133312号公報JP 2008-133312 A

SPIE Vol.5039 p1(2003)SPIE Vol. 5039 p1 (2003) SPIE Vol.6520 p65203L−1 (2007)SPIE Vol. 6520 p65203L-1 (2007) SPIE Vol.6521 p69211O−1 (2008)SPIE Vol. 6521 p69211O-1 (2008) SPIE Vol.4346 p319 (2001)SPIE Vol. 4346 p319 (2001)

本発明は上記事情に鑑みなされたもので、高解像度でありながら高感度であり、なおかつ露光後のパターン形状が良好でラインエッジラフネスが小さいレジスト材料、特に化学増幅ポジ型レジスト材料、及びこれを用いたパターン形成方法を提供することを目的とする。   The present invention has been made in view of the above circumstances, and is a resist material, particularly a chemically amplified positive resist material, which has high resolution and high sensitivity, and has a good pattern shape after exposure and small line edge roughness, and It is an object of the present invention to provide a pattern forming method used.

上記課題を解決するため、本発明は、少なくとも、下記一般式(1)で示される、酸不安定基で置換されたフェノール性水酸基を有する繰り返し単位a、スルホニウム塩を有する繰り返し単位b1及びb2のいずれか1つ以上、アミノ基を有する繰り返し単位cを有する高分子化合物を含むことを特徴とするレジスト材料を提供する。

Figure 0005231357
(式中、R、R、R、R12はそれぞれ独立に、水素原子、メチル基、フッ素原子、又は、トリフルオロメチル基を表す。Rは酸不安定基を表す。Rは炭素数1〜10のアルキレン基又は炭素数6〜10のアリーレン基であり、フッ素原子、トリフルオロメチル基、エステル基、エーテル基、ラクトン環を有していても良い。R、R、R、R、R10、R11は同一、又は異種の炭素数1〜12の直鎖状、分岐状もしくは環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表し、炭素数1〜10のアルキル基、アルコキシ基、アルコキシカルボニル基、カーボネート基、およびハロゲン原子、シアノ基、ヒドロキシル基、カルボキシル基を置換基として有していても良い。Yは単結合、メチレン基、フッ素原子もしくはトリフルオロメチル基で置換されたアリーレン基、−C(=O)−O−R17−である。R17はフッ素原子もしくはトリフルオロメチル基で置換されたアリーレン基である。R13は単結合、又は炭素数1〜10の直鎖状、分岐状もしくは環状のアルキレン基、R14、R15はそれぞれ独立に、水素原子、炭素数1〜20直鎖状、分岐状もしくは環状のアルキル基、t−ブトキシカルボニル基、又はt−アロキシカルボニル基で、エーテル結合、チオエーテル結合、ヒドロキシル基、ホルミル基、アセトキシ基、シアノ基、芳香族基を有していてもよく、R13とR14、R13とR15、R14とR15がそれぞれ結合して環を形成していても良い。R16は水素原子又はR15と結合して環を形成していても良い。Zは単結合、メチレン基、アリーレン基、−O−、−C(=O)−O−又は−C(=O)−O−R18−C(=O)−O−、である。R18は単結合、又は炭素数1〜10の直鎖状、分岐状もしくは環状のアルキレン基である。0<a<1.0、0≦b1≦0.3、0≦b2≦0.3、0<b1+b2≦0.3、0<c≦0.5の範囲である。) In order to solve the above problems, the present invention includes at least a repeating unit a having a phenolic hydroxyl group substituted with an acid labile group represented by the following general formula (1), and repeating units b1 and b2 having a sulfonium salt. There is provided a resist material comprising any one or more of a polymer compound having a repeating unit c having an amino group.
Figure 0005231357
(In the formula, R 1 , R 3 , R 8 and R 12 each independently represents a hydrogen atom, a methyl group, a fluorine atom or a trifluoromethyl group. R 2 represents an acid labile group. R 4 Is an alkylene group having 1 to 10 carbon atoms or an arylene group having 6 to 10 carbon atoms, and may have a fluorine atom, a trifluoromethyl group, an ester group, an ether group, or a lactone ring R 5 , R 6 , R 7 , R 9 , R 10 , R 11 are the same or different, linear, branched or cyclic alkyl groups having 1 to 12 carbon atoms, including a carbonyl group, an ester group or an ether group. Or an aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms or a thiophenyl group, an alkyl group having 1 to 10 carbon atoms, an alkoxy group, an alkoxycarbonyl group, a carbonate group, and It may have a rogen atom, a cyano group, a hydroxyl group or a carboxyl group as a substituent, Y is a single bond, an arylene group substituted with a methylene group, a fluorine atom or a trifluoromethyl group, -C (= O) -O-R 17 - is .R 17 is fluorine atom or a substituted arylene group a trifluoromethyl group .R 13 is a single bond or a straight, branched or cyclic alkylene group, R 14, R 15 are each independently a hydrogen atom, C 1 -C 20 straight, branched or cyclic alkyl group, t-butoxycarbonyl group, or t-a Mi butyloxycarbonyl group, an ether May have a bond, a thioether bond, a hydroxyl group, a formyl group, an acetoxy group, a cyano group, or an aromatic group; R 13 and R 14 , R 13 and R 1 5 , R 14 and R 15 may be bonded to each other to form a ring, R 16 may be bonded to a hydrogen atom or R 15 to form a ring, Z is a single bond, a methylene group, An arylene group, —O—, —C (═O) —O— or —C (═O) —O—R 18 —C (═O) —O—, wherein R 18 is a single bond or a carbon number; 1 to 10 linear, branched, or cyclic alkylene groups, 0 <a <1.0, 0 ≦ b1 ≦ 0.3, 0 ≦ b2 ≦ 0.3, 0 <b1 + b2 ≦ 0.3, 0 <c ≦ 0.5.)

このような本発明のレジスト材料は、該レジスト材料を組成する高分子化合物として、酸不安定基を有する繰り返し単位、ポリマー型の酸発生剤、及びポリマー型のアミン化合物を共重合したものを含んでいるので、高解像度かつ高感度であり、露光後のパターン形状が良好でラインエッジラフネスが小さいものとなる。従って、これらの特性を有することから、実用性が極めて高く、超LSI用レジスト材料、あるいはマスクパターン形成材料として非常に有効である。   Such a resist material of the present invention includes, as a polymer compound constituting the resist material, a copolymer obtained by copolymerizing a repeating unit having an acid labile group, a polymer type acid generator, and a polymer type amine compound. Therefore, the high resolution and high sensitivity are obtained, the pattern shape after exposure is good, and the line edge roughness is small. Therefore, since it has these characteristics, it is very practical and is very effective as a resist material for VLSI or a mask pattern forming material.

この場合、前記レジスト材料が、化学増幅ポジ型レジスト材料であることが好ましい。
このように、前記高分子化合物を含むレジスト材料は、繰り返し単位b1、b2としてポリマー型の酸発生剤を有し、これが露光時に酸を発生させることにより繰り返し単位aの酸不安定基を脱離させて、レジスト露光部を現像液に溶解させるように変換することにより、極めて高精度なパターンが得られる化学増幅ポジ型レジスト材料とすることができる。
In this case, the resist material is preferably a chemically amplified positive resist material.
As described above, the resist material containing the polymer compound has a polymer-type acid generator as the repeating units b1 and b2, and this generates an acid upon exposure to eliminate the acid labile group of the repeating unit a. Then, by converting the resist exposed portion so as to be dissolved in the developer, a chemically amplified positive resist material capable of obtaining a very high-precision pattern can be obtained.

この場合、前記レジスト材料が、有機溶剤、溶解阻止剤、酸発生剤、塩基性化合物、及び界面活性剤のいずれか1つ以上を含有するものとすることができる。   In this case, the resist material may contain any one or more of an organic solvent, a dissolution inhibitor, an acid generator, a basic compound, and a surfactant.

このように、有機溶剤を配合することによって、例えば、レジスト材料の基板等への塗布性を向上させることができる。また、溶解阻止剤を配合することによって、露光部と未露光部との溶解速度の差を一層大きくすることができ、解像度を一層向上させることができる。また、酸発生剤を配合することによって、より高感度のものとすることができる。塩基性化合物を配合することによって、レジスト膜中での酸の拡散速度を抑制し、解像度を一層向上させることができる。さらに、界面活性剤を配合することによって、レジスト材料の塗布性を一層向上あるいは制御することができる。   Thus, by mix | blending an organic solvent, the applicability | paintability to the board | substrate etc. of a resist material can be improved, for example. Moreover, by mix | blending a dissolution inhibitor, the difference of the dissolution rate of an exposed part and an unexposed part can be enlarged further, and the resolution can be improved further. Further, by adding an acid generator, it can be made more sensitive. By blending the basic compound, the acid diffusion rate in the resist film can be suppressed and the resolution can be further improved. Furthermore, the application | coating property of a resist material can further be improved or controlled by mix | blending surfactant.

このような本発明のレジスト材料は、少なくとも、前記レジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを行うことによって、半導体基板やマスク基板等にパターンを形成する方法として用いることができる。   Such a resist material of the present invention includes at least a step of applying the resist material on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer. It can be used as a method for forming a pattern on a semiconductor substrate, a mask substrate or the like.

もちろん、露光後加熱処理を加えた後に現像してもよいし、エッチング工程、レジスト除去工程、洗浄工程等その他の各種の工程が行われてもよいことは言うまでもない。   Needless to say, development may be performed after the post-exposure heat treatment, and various other processes such as an etching process, a resist removal process, and a cleaning process may be performed.

この場合、前記高エネルギー線で露光する工程において、波長3〜15nmの真空紫外線を光源として用いることができる。
このように、本発明のレジスト材料は、波長3〜15nmの真空紫外線を光源とする、微細なパターンを形成するのに特に好適に用いることができる。
In this case, vacuum ultraviolet rays having a wavelength of 3 to 15 nm can be used as a light source in the step of exposing with the high energy rays.
As described above, the resist material of the present invention can be particularly suitably used for forming a fine pattern using a vacuum ultraviolet ray having a wavelength of 3 to 15 nm as a light source.

また、前記高エネルギー線で露光する工程において、加速電圧50keV以下の加速電圧電子ビーム、特に加速電圧10keV以下の低加速電圧電子ビームを光源として用いることができる。
このように、本発明のレジスト材料は、加速電圧50keV以下の加速電圧電子ビームを光源とする、微細なパターンを形成するのに特に好適に用いることができ、特に加速電圧10keV以下の低加速電圧電子ビームを光源として用いれば、露光の際に露光領域の周囲に損傷を与える恐れをほとんどなくすことができる。
In the step of exposing with the high energy beam, an acceleration voltage electron beam having an acceleration voltage of 50 keV or less, particularly a low acceleration voltage electron beam having an acceleration voltage of 10 keV or less can be used as a light source.
As described above, the resist material of the present invention can be particularly suitably used for forming a fine pattern using an acceleration voltage electron beam having an acceleration voltage of 50 keV or less as a light source, and in particular, a low acceleration voltage of 10 keV or less. If an electron beam is used as a light source, there is almost no possibility of damaging the periphery of the exposure area during exposure.

以上説明したように、本発明のレジスト材料は、露光前後のアルカリ溶解速度コントラストが大幅に高く、高感度で高解像性を有し、露光後のパターン形状が良好で、その上特に酸拡散速度を抑制し、ラインエッジラフネスが小さい特性を示す。従って、特に超LSI製造用あるいはフォトマスクの微細パターン形成材料、EB、EUV露光用のパターン形成材料として好適なレジスト材料、特には化学増幅ポジ型レジスト材料とすることができる。   As described above, the resist material of the present invention has a significantly high alkali dissolution rate contrast before and after exposure, high sensitivity and high resolution, good pattern shape after exposure, and particularly acid diffusion. The speed is suppressed and the line edge roughness is small. Accordingly, a resist material suitable as a fine pattern forming material for VLSI manufacturing or a photomask, a pattern forming material for EB and EUV exposure, particularly a chemically amplified positive resist material can be obtained.

以下、本発明につき更に詳しく説明する。
上述のように、LSIの高集積化と高速度化に伴い、パターンルールの微細化が進むなか、高解像度でありながら高感度であり、なおかつ露光後のパターン形状が良好でラインエッジラフネスが小さいレジスト材料が求められていた。
Hereinafter, the present invention will be described in more detail.
As described above, with the high integration and high speed of LSI, the pattern rule is becoming finer, so that the resolution is high but the sensitivity is high, the pattern shape after exposure is good, and the line edge roughness is small. There was a need for resist materials.

本発明者らは、近年要望される高解像度、高感度でなおかつラインエッジラフネスの小さいレジスト材料を得るべく鋭意検討を重ねた結果、酸不安定基を有する繰り返し単位と、重合性オレフィンを有するスルホニウム塩の繰り返し単位と、アミノ基を有する繰り返し単位を有するポリマーをレジスト材料、特には化学増幅ポジ型レジスト材料のベース樹脂として用いれば極めて有効であることを知見した。   As a result of intensive investigations to obtain a resist material having high resolution, high sensitivity, and low line edge roughness recently requested, the present inventors have found that a repeating unit having an acid labile group and a sulfonium having a polymerizable olefin. It has been found that it is extremely effective to use a polymer having a salt repeating unit and a repeating unit having an amino group as a base material of a resist material, particularly a chemically amplified positive resist material.

より具体的には、本発明者らは、酸不安定基で置換されたフェノール性水酸基を有するモノマー、重合性オレフィンを有するスルホニウム塩モノマー、アミノ基を有するモノマーの共重合により得られるポリマーをレジスト材料、特に化学増幅ポジ型レジスト材料のベース樹脂として用いることにより、露光前後のアルカリ溶解速度コントラストが高く、酸拡散とアミン拡散が抑えられて高解像度かつ高感度であり、露光後のパターン形状が良好でラインエッジラフネスが小さい特性を示し、特に超LSI製造用あるいはフォトマスクの微細パターン形成材料として好適なレジスト材料、特には化学増幅ポジ型レジスト材料が得られることを知見し、本発明を完成させたものである。   More specifically, the present inventors have resisted a polymer obtained by copolymerization of a monomer having a phenolic hydroxyl group substituted with an acid labile group, a sulfonium salt monomer having a polymerizable olefin, and a monomer having an amino group. By using it as a base resin for materials, especially chemically amplified positive resist materials, the alkali dissolution rate contrast before and after exposure is high, acid diffusion and amine diffusion are suppressed, high resolution and high sensitivity, and the pattern shape after exposure is high. Completion of the present invention based on the knowledge that a resist material that exhibits good characteristics with low line edge roughness and that is suitable for ultra-LSI manufacturing or a photomask fine pattern forming material, especially a chemically amplified positive resist material, can be obtained. It has been made.

即ち、本発明のレジスト材料は、少なくとも、下記一般式(1)で示される、酸不安定基で置換されたフェノール性水酸基を有する繰り返し単位a、スルホニウム塩を有する繰り返し単位b1及びb2のいずれか1つ以上、アミノ基を有する繰り返し単位cを有する高分子化合物を含むことを特徴とする。

Figure 0005231357
(式中、R、R、R、R12はそれぞれ独立に、水素原子、メチル基、フッ素原子、又はトリフルオロメチル基を表す。Rは酸不安定基を表す。Rは炭素数1〜10のアルキレン基又は炭素数6〜10のアリーレン基であり、フッ素原子、トリフルオロメチル基、エステル基、エーテル基、ラクトン環を有していても良い。R、R、R、R、R10、R11は同一、又は異種の炭素数1〜12の直鎖状、分岐状もしくは環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表し、炭素数1〜10のアルキル基、アルコキシ基、アルコキシカルボニル基、カーボネート基、およびハロゲン原子、シアノ基、ヒドロキシル基、カルボキシル基を置換基として有していても良い。Yは単結合、メチレン基、フッ素原子もしくはトリフルオロメチル基で置換されたアリーレン基、−C(=O)−O−R17−である。R17はフッ素原子もしくはトリフルオロメチル基で置換されたアリーレン基である。R13は単結合、又は炭素数1〜10の直鎖状、分岐状もしくは環状のアルキレン基、R14、R15はそれぞれ独立に、水素原子、炭素数1〜20直鎖状、分岐状もしくは環状のアルキル基、t−ブトキシカルボニル基、又はt−アロキシカルボニル基で、エーテル結合、チオエーテル結合、ヒドロキシル基、ホルミル基、アセトキシ基、シアノ基、芳香族基を有していてもよく、R13とR14、R13とR15、R14とR15がそれぞれ結合して環を形成していても良い。R16は水素原子又はR15と結合して環を形成していても良い。Zは単結合、メチレン基、アリーレン基、−O−、−C(=O)−O−又は−C(=O)−O−R18−C(=O)−O−、である。R18は単結合、又は炭素数1〜10の直鎖状、分岐状もしくは環状のアルキレン基である。0<a<1.0、0≦b1≦0.3、0≦b2≦0.3、0<b1+b2≦0.3、0<c≦0.5の範囲である。) That is, the resist material of the present invention is at least one of the repeating unit a having a phenolic hydroxyl group substituted with an acid labile group and the repeating units b1 and b2 having a sulfonium salt represented by the following general formula (1). It includes a polymer compound having at least one repeating unit c having an amino group.
Figure 0005231357
(In the formula, R 1 , R 3 , R 8 and R 12 each independently represents a hydrogen atom, a methyl group, a fluorine atom or a trifluoromethyl group. R 2 represents an acid labile group. R 4 represents an alkylene group or an arylene group having 6 to 10 carbon atoms having 1 to 10 carbon atoms, a fluorine atom, a trifluoromethyl group, an ester group, an ether group, which may have a lactone ring .R 5, R 6, R 7 , R 9 , R 10 and R 11 are the same or different, linear, branched or cyclic alkyl groups having 1 to 12 carbon atoms, and may contain a carbonyl group, an ester group or an ether group. Or an aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, or a thiophenyl group, an alkyl group having 1 to 10 carbon atoms, an alkoxy group, an alkoxycarbonyl group, a carbonate group, and May have a gen atom, a cyano group, a hydroxyl group, or a carboxyl group as a substituent, Y is a single bond, an methylene group, an arylene group substituted with a fluorine atom or a trifluoromethyl group, -C (= O) -O-R 17 - is .R 17 is fluorine atom or a substituted arylene group a trifluoromethyl group .R 13 is a single bond or a straight, branched or cyclic alkylene group, R 14, R 15 are each independently a hydrogen atom, C 1 -C 20 straight, branched or cyclic alkyl group, t-butoxycarbonyl group, or t-a Mi butyloxycarbonyl group, an ether May have a bond, a thioether bond, a hydroxyl group, a formyl group, an acetoxy group, a cyano group or an aromatic group, and R 13 and R 14 , R 13 and R 15 R 14 and R 15 may be bonded to form a ring, R 16 may be bonded to a hydrogen atom or R 15 to form a ring, and Z is a single bond, methylene group, arylene. A group, —O—, —C (═O) —O— or —C (═O) —O—R 18 —C (═O) —O—, wherein R 18 is a single bond or 1 carbon atom. A linear, branched or cyclic alkylene group of from 0 to 10. 0 <a <1.0, 0 ≦ b1 ≦ 0.3, 0 ≦ b2 ≦ 0.3, 0 <b1 + b2 ≦ 0.3, 0 <C ≦ 0.5.)

このような本発明のレジスト材料は、繰り返し単位aを有することで、特に短波長の高エネルギー線による露光の際にも、高解像度でラインエッジラフネスの小さい、良好な形状をもつ微細パターンを形成することができ、繰り返し単位b1、b2に示すポリマー型の酸発生剤を有することで、これが露光時に酸を発生させることにより繰り返し単位aの酸脱離基を脱離させてレジスト露光部を現像液に溶解させるように変換することにより、極めて高精度なパターンを得ることができ、繰り返し単位cに示すポリマー型のアミン化合物を有することで、光のコントラスト及び感度の低下を防止でき、その結果、解像限界でも形状の良いパターンを得ることができるものである。   Such a resist material of the present invention has a repeating unit a, thereby forming a fine pattern having a good shape with a high resolution and a small line edge roughness even when exposed to a high energy ray having a short wavelength. Since the polymer-type acid generator shown in the repeating units b1 and b2 has an acid generator during the exposure, the acid leaving group of the repeating unit a is eliminated to develop the resist exposed portion. By converting so as to be dissolved in a liquid, it is possible to obtain a very high-precision pattern, and by having a polymer-type amine compound shown in the repeating unit c, it is possible to prevent a decrease in light contrast and sensitivity, and as a result. A pattern having a good shape can be obtained even at the resolution limit.

従って、本発明のレジスト材料は、特に、レジスト膜の溶解コントラストが高く、高解像性を有し、露光余裕度があり、プロセス適応性に優れ、高感度で、露光後のパターン形状が良好であり、ラインエッジラフネスが小さい。従って、これらの優れた特性を有することから実用性が極めて高く、超LSI用レジスト材料として非常に有効である。
また、以上のような本発明のレジスト材料、特には化学増幅ポジ型レジスト材料の用途としては、例えば、半導体回路形成におけるリソグラフィーだけでなく、マスク回路パターンの形成、あるいはマイクロマシーン、薄膜磁気ヘッド回路形成等にも応用することができる。
Therefore, the resist material of the present invention has particularly high resist film dissolution contrast, high resolution, exposure margin, excellent process adaptability, high sensitivity, and good pattern shape after exposure. The line edge roughness is small. Therefore, since it has these excellent characteristics, it is extremely practical and is very effective as a resist material for VLSI.
The above-described resist materials of the present invention, particularly chemically amplified positive resist materials, can be used not only for lithography in semiconductor circuit formation, but also for mask circuit pattern formation, micromachines, and thin film magnetic head circuits. It can be applied to formation and the like.

本発明に係る高分子化合物に含まれる繰り返し単位のうち、上記一般式(1)中の繰り返し単位aで表される酸不安定基を有する繰り返し単位は、フェノール性水酸基、好ましくはヒドロキシスチレンの水酸基の水素原子を置換したものであり具体的には下記に例示することができる。

Figure 0005231357
(式中、R、Rは前述と同様である。) Among the repeating units contained in the polymer compound according to the present invention, the repeating unit having an acid labile group represented by the repeating unit a in the general formula (1) is a phenolic hydroxyl group, preferably a hydroxyl group of hydroxystyrene. These are substituted hydrogen atoms, and can be specifically exemplified below.
Figure 0005231357
(Wherein R 1 and R 2 are the same as described above.)

で示される酸不安定基は種々選定されるが、同一でも異なっていてもよく、特に下記式(A−1)〜(A−3)で置換された基で示されるものが挙げられる。

Figure 0005231357
The acid labile group represented by R 2 is variously selected and may be the same or different, and in particular, those represented by the groups substituted by the following formulas (A-1) to (A-3) can be mentioned. .
Figure 0005231357

上記式(A−1)において、R30は炭素数4〜20、好ましくは4〜15の3級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基又は上記一般式(A−3)で示される基を示し、3級アルキル基として具体的には、tert−ブチル基、tert−アミル基、1,1−ジエチルプロピル基、1−エチルシクロペンチル基、1−ブチルシクロペンチル基、1−エチルシクロヘキシル基、1−ブチルシクロヘキシル基、1−エチル−2−シクロペンテニル基、1−エチル−2−シクロヘキセニル基、2−メチル−2−アダマンチル基等が挙げられ、トリアルキルシリル基として具体的には、トリメチルシリル基、トリエチルシリル基、ジメチル−tert−ブチルシリル基等が挙げられ、オキソアルキル基として具体的には、3−オキソシクロヘキシル基、4−メチル−2−オキソオキサン−4−イル基、5−メチル−2−オキソオキソラン−5−イル基等が挙げられる。a1は0〜6の整数である。 In the above formula (A-1), R 30 is a tertiary alkyl group having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, each alkyl group is a trialkylsilyl group having 1 to 6 carbon atoms, and 4 to 20 carbon atoms. Or a group represented by the above general formula (A-3), specifically, as the tertiary alkyl group, a tert-butyl group, a tert-amyl group, a 1,1-diethylpropyl group, 1- Ethylcyclopentyl group, 1-butylcyclopentyl group, 1-ethylcyclohexyl group, 1-butylcyclohexyl group, 1-ethyl-2-cyclopentenyl group, 1-ethyl-2-cyclohexenyl group, 2-methyl-2-adamantyl group Specific examples of the trialkylsilyl group include a trimethylsilyl group, a triethylsilyl group, a dimethyl-tert-butylsilyl group, and the like. It is, specifically oxoalkyl group, 3-oxo-cyclohexyl group, 4-methyl-2-oxooxan-4-yl group, and 5-methyl-2-oxooxolan-5-yl group. a1 is an integer of 0-6.

上記式(A−1)の酸不安定基としては、具体的にはtert−ブトキシカルボニル基、tert−ブトキシカルボニルメチル基、tert−アミロキシカルボニル基、tert−アミロキシカルボニルメチル基、1,1−ジエチルプロピルオキシカルボニル基、1,1−ジエチルプロピルオキシカルボニルメチル基、1−エチルシクロペンチルオキシカルボニル基、1−エチルシクロペンチルオキシカルボニルメチル基、1−エチル−2−シクロペンテニルオキシカルボニル基、1−エチル−2−シクロペンテニルオキシカルボニルメチル基、1−エトキシエトキシカルボニルメチル基、2−テトラヒドロピラニルオキシカルボニルメチル基、2−テトラヒドロフラニルオキシカルボニルメチル基等が例示できる。   Specific examples of the acid labile group of the above formula (A-1) include tert-butoxycarbonyl group, tert-butoxycarbonylmethyl group, tert-amyloxycarbonyl group, tert-amyloxycarbonylmethyl group, 1,1 -Diethylpropyloxycarbonyl group, 1,1-diethylpropyloxycarbonylmethyl group, 1-ethylcyclopentyloxycarbonyl group, 1-ethylcyclopentyloxycarbonylmethyl group, 1-ethyl-2-cyclopentenyloxycarbonyl group, 1-ethyl Examples include 2-cyclopentenyloxycarbonylmethyl group, 1-ethoxyethoxycarbonylmethyl group, 2-tetrahydropyranyloxycarbonylmethyl group, 2-tetrahydrofuranyloxycarbonylmethyl group and the like.

更に、下記式(A−1)−1〜(A−1)−10で示される置換基を挙げることもできる。

Figure 0005231357
Furthermore, the substituent shown by following formula (A-1) -1-(A-1) -10 can also be mentioned.
Figure 0005231357

ここで、R37は互いに同一又は異種の炭素数1〜10の直鎖状、分岐状もしくは環状のアルキル基、又は炭素数6〜20のアリール基、R38は水素原子、又は炭素数1〜10の直鎖状、分岐状もしくは環状のアルキル基である。
また、R39は互いに同一又は異種の炭素数2〜10の直鎖状、分岐状もしくは環状のアルキル基、又は炭素数6〜20のアリール基である。
Here, R 37 is the same or different from each other, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group having 6 to 20 carbon atoms, R 38 is a hydrogen atom, or 1 to 1 carbon atoms. 10 linear, branched or cyclic alkyl groups.
R 39 is a linear, branched or cyclic alkyl group having 2 to 10 carbon atoms which is the same or different from each other, or an aryl group having 6 to 20 carbon atoms.

上記式(A−2)において、R31、R32は水素原子又は炭素数1〜18、好ましくは1〜10の直鎖状、分岐状もしくは環状のアルキル基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、シクロペンチル基、シクロヘキシル基、2−エチルヘキシル基、n−オクチル基等を例示できる。R33は炭素数1〜18、好ましくは1〜10の酸素原子等のヘテロ原子を有してもよい1価の炭化水素基を示し、直鎖状、分岐状もしくは環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、オキソ基、アミノ基、アルキルアミノ基等に置換されたものを挙げることができ、具体的には下記の置換アルキル基等が例示できる。 In the above formula (A-2), R 31 and R 32 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 18, preferably 1 to 10 carbon atoms, specifically a methyl group. , Ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, cyclopentyl group, cyclohexyl group, 2-ethylhexyl group, n-octyl group and the like. R 33 represents a monovalent hydrocarbon group which may have a hetero atom such as an oxygen atom having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, a linear, branched or cyclic alkyl group, Examples include those in which a part of hydrogen atoms are substituted with a hydroxyl group, an alkoxy group, an oxo group, an amino group, an alkylamino group, and the like, and specific examples include the following substituted alkyl groups.

Figure 0005231357
Figure 0005231357

31とR32、R31とR33、R32とR33とは結合してこれらが結合する炭素原子と共に環を形成してもよく、環を形成する場合にはR31、R32、R33はそれぞれ炭素数1〜18、好ましくは1〜10の直鎖状又は分岐状のアルキレン基を示し、好ましくは環の炭素数は3〜10、特に4〜10である。 R 31 and R 32, R 31 and R 33, R 32 and the R 33 may bond together to form a ring with the carbon atoms to which they are attached, R 31 in the case of forming a ring, R 32, R 33 represents a linear or branched alkylene group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, and preferably the ring has 3 to 10 carbon atoms, particularly 4 to 10 carbon atoms.

上記式(A−2)で示される酸不安定基のうち、直鎖状又は分岐状のものとしては、下記式(A−2)−1〜(A−2)−72のものを例示することができる。   Of the acid labile groups represented by the above formula (A-2), examples of the linear or branched groups include those of the following formulas (A-2) -1 to (A-2) -72. be able to.

Figure 0005231357
Figure 0005231357

Figure 0005231357
Figure 0005231357

Figure 0005231357
Figure 0005231357

Figure 0005231357
Figure 0005231357

上記式(A−2)で示される酸不安定基のうち、環状のものとしては、テトラヒドロフラン−2−イル基、2−メチルテトラヒドロフラン−2−イル基、テトラヒドロピラン−2−イル基、2−メチルテトラヒドロピラン−2−イル基等が挙げられる。   Among the acid labile groups represented by the above formula (A-2), the cyclic ones include tetrahydrofuran-2-yl group, 2-methyltetrahydrofuran-2-yl group, tetrahydropyran-2-yl group, 2- Examples thereof include a methyltetrahydropyran-2-yl group.

また、下記一般式(A−2a)あるいは(A−2b)で表される酸不安定基によってベース樹脂が分子間あるいは分子内架橋されていてもよい。   Further, the base resin may be intermolecularly or intramolecularly crosslinked by an acid labile group represented by the following general formula (A-2a) or (A-2b).

Figure 0005231357
Figure 0005231357

式中、R40、R41は水素原子又は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基を示す。又は、R40とR41は結合してこれらが結合する炭素原子と共に環を形成してもよく、環を形成する場合にはR40、R41は炭素数1〜8の直鎖状又は分岐状のアルキレン基を示す。R42は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、b3、d1は0又は1〜10、好ましくは0又は1〜5の整数、c1は1〜7の整数である。Aは、(c1+1)価の炭素数1〜50の脂肪族もしくは脂環式飽和炭化水素基、芳香族炭化水素基又はヘテロ環基を示し、これらの基はヘテロ原子を介在してもよく、又はその炭素原子に結合する水素原子の一部が水酸基、カルボキシル基、カルボニル基又はフッ素原子によって置換されていてもよい。Bは−CO−O−、−NHCO−O−又は−NHCONH−を示す。 In the formula, R 40 and R 41 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms. Alternatively, R 40 and R 41 may be bonded to form a ring together with the carbon atom to which they are bonded. When forming a ring, R 40 and R 41 are linear or branched having 1 to 8 carbon atoms. -Like alkylene group. R 42 is a straight-chain having 1 to 10 carbon atoms, branched or cyclic alkylene group, b3, d1 is 0 or 1 to 10, preferably 0 or an integer of 1 to 5, c1 is an integer of 1-7 . A represents a (c1 + 1) -valent aliphatic or alicyclic saturated hydrocarbon group having 1 to 50 carbon atoms, an aromatic hydrocarbon group or a heterocyclic group, and these groups may intervene a hetero atom, Alternatively, a part of hydrogen atoms bonded to the carbon atom may be substituted with a hydroxyl group, a carboxyl group, a carbonyl group, or a fluorine atom. B represents —CO—O—, —NHCO—O— or —NHCONH—.

この場合、好ましくは、Aは2〜4価の炭素数1〜20の直鎖状、分岐状又は環状のアルキレン基、アルキルトリイル基、アルキルテトライル基、炭素数6〜30のアリーレン基であり、これらの基はヘテロ原子を介在していてもよく、またその炭素原子に結合する水素原子の一部が水酸基、カルボキシル基、アシル基又はハロゲン原子によって置換されていてもよい。また、c1は好ましくは1〜3の整数である。   In this case, preferably, A is a divalent to tetravalent C1-20 linear, branched or cyclic alkylene group, an alkyltriyl group, an alkyltetrayl group, or an arylene group having 6 to 30 carbon atoms. In these groups, a hetero atom may be interposed, and a part of hydrogen atoms bonded to the carbon atom may be substituted with a hydroxyl group, a carboxyl group, an acyl group, or a halogen atom. C1 is preferably an integer of 1 to 3.

上記一般式(A−2a)、(A−2b)で示される架橋型アセタール基は、具体的には下記式(A−2)−100〜(A−2)−107のものが挙げられる。   Specific examples of the crosslinked acetal groups represented by the general formulas (A-2a) and (A-2b) include those represented by the following formulas (A-2) -100 to (A-2) -107.

Figure 0005231357
Figure 0005231357

次に、上記式(A−3)においてR34、R35、R36はそれぞれ独立に、水素原子、炭素数1〜20の直鎖状、分岐状もしくは環状のアルキル基、アリール基、アルケニル基等の1価炭化水素基であり、酸素、硫黄、窒素、フッ素などのヘテロ原子を含んでもよく、R34とR35、R34とR36、R35とR36とは互いに結合してこれらが結合する炭素原子と共に、炭素数3〜20の環を形成してもよい。 Next, in the above formula (A-3), R 34 , R 35 and R 36 are each independently a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, an aryl group, an alkenyl group. A monovalent hydrocarbon group such as oxygen, sulfur, nitrogen, fluorine, etc., and R 34 and R 35 , R 34 and R 36 , and R 35 and R 36 are bonded to each other. A ring having 3 to 20 carbon atoms may be formed together with the carbon atom to which is bonded.

上記式(A−3)に示される3級アルキル基としては、tert−ブチル基、トリエチルカルビル基、1−エチルノルボニル基、1−メチルシクロヘキシル基、1−エチルシクロペンチル基、2−(2−メチル)アダマンチル基、2−(2−エチル)アダマンチル基、tert−アミル基等を挙げることができる。   As the tertiary alkyl group represented by the above formula (A-3), a tert-butyl group, a triethylcarbyl group, a 1-ethylnorbornyl group, a 1-methylcyclohexyl group, a 1-ethylcyclopentyl group, 2- (2 -Methyl) adamantyl group, 2- (2-ethyl) adamantyl group, tert-amyl group and the like.

また、3級アルキル基としては、下記に示す式(A−3)−1〜(A−3)−18を具体的に挙げることもできる。

Figure 0005231357
Specific examples of the tertiary alkyl group include the following formulas (A-3) -1 to (A-3) -18.
Figure 0005231357

上記式(A−3)−1〜(A−3)−18中、R43は同一又は異種の炭素数1〜8の直鎖状、分岐状又は環状のアルキル基、又は炭素数6〜20のフェニル基、ナフチル基等のアリール基を示す。R44、R46は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基を示す。R45は炭素数6〜20のフェニル基等のアリール基を示す。 In the above formulas (A-3) -1 to (A-3) -18, R 43 is the same or different, linear, branched or cyclic alkyl group having 1 to 8 carbon atoms, or 6 to 20 carbon atoms. An aryl group such as a phenyl group or a naphthyl group. R 44 and R 46 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. R 45 represents an aryl group such as a phenyl group having 6 to 20 carbon atoms.

更に、下記式(A−3)−19、(A−3)−20に示すように、2価以上のアルキレン基、アリーレン基であるR47を含んで、ポリマーの分子内あるいは分子間が架橋されていてもよい。

Figure 0005231357
Furthermore, as shown in the following formulas (A-3) -19 and (A-3) -20, a divalent or higher valent alkylene group and an arylene group R 47 are included, and the polymer within or between the molecules is crosslinked. May be.
Figure 0005231357

上記式(A−3)−19、(A−3)−20中、R43は前述と同様、R47は炭素数1〜20の直鎖状、分岐状もしくは環状のアルキレン基、又はフェニレン基等のアリーレン基を示し、酸素原子や硫黄原子、窒素原子などのヘテロ原子を含んでいてもよい。e1は1〜3の整数である。 In the above formulas (A-3) -19 and (A-3) -20, R 43 is the same as described above, and R 47 is a linear, branched or cyclic alkylene group having 1 to 20 carbon atoms, or a phenylene group. An arylene group such as an oxygen atom, a sulfur atom, or a nitrogen atom. e1 is an integer of 1 to 3.

上記式(A−1)、(A−2)、(A−3)中のR30、R33、R36は、フェニル基、p−メチルフェニル基、p−エチルフェニル基、p−メトキシフェニル基等のアルコキシ置換フェニル基等の非置換又は置換アリール基、ベンジル基、フェネチル基等のアラルキル基等や、これらの基に酸素原子を有する、あるいは炭素原子に結合する水素原子が水酸基に置換されたり、2個の水素原子が酸素原子で置換されてカルボニル基を形成する下記式で示されるようなアルキル基、あるいはオキソアルキル基を挙げることができる。 R 30 , R 33 and R 36 in the above formulas (A-1), (A-2) and (A-3) are a phenyl group, a p-methylphenyl group, a p-ethylphenyl group and a p-methoxyphenyl. An unsubstituted or substituted aryl group such as an alkoxy-substituted phenyl group, an aralkyl group such as a benzyl group or a phenethyl group, or the like. Or an alkyl group represented by the following formula in which two hydrogen atoms are substituted with an oxygen atom to form a carbonyl group, or an oxoalkyl group.

Figure 0005231357
Figure 0005231357

上記一般式(1)中のスルホニウム塩を有する繰り返し単位b1を得るためのモノマーは、具体的には下記に例示することができる。

Figure 0005231357
The monomer for obtaining the repeating unit b1 which has a sulfonium salt in the said General formula (1) can be specifically illustrated below.
Figure 0005231357

Figure 0005231357
Figure 0005231357

Figure 0005231357
Figure 0005231357

上記一般式(1)中のスルホニウム塩を有する繰り返し単位b2を得るためのモノマーは、具体的には下記に例示することができる。

Figure 0005231357
Specific examples of the monomer for obtaining the repeating unit b2 having the sulfonium salt in the general formula (1) can be given below.
Figure 0005231357

上記一般式(1)中の繰り返し単位cで表されるアミノ基を有する繰り返し単位を得るためのモノマーは、具体的には下記に例示することができる。
ここで、R12は前述と同様である。

Figure 0005231357
The monomer for obtaining the repeating unit which has an amino group represented by the repeating unit c in the said General formula (1) can be specifically illustrated below.
Here, R 12 is the same as described above.
Figure 0005231357

Figure 0005231357
Figure 0005231357

Figure 0005231357
Figure 0005231357

Figure 0005231357
Figure 0005231357

Figure 0005231357
Figure 0005231357

本発明は、酸不安定基で置換されたフェノール性水酸基を有する繰り返し単位a、スルホニウム塩を有する繰り返し単位b1及びb2のいずれか1つ以上、アミノ基を有する繰り返し単位cを共重合することを特徴とするが、さらに、密着性基としてフェノール性水酸基を有する繰り返し単位dを共重合することができる。   The present invention comprises copolymerizing a repeating unit a having a phenolic hydroxyl group substituted with an acid labile group, one or more of repeating units b1 and b2 having a sulfonium salt, and a repeating unit c having an amino group. Further, it is possible to copolymerize a repeating unit d having a phenolic hydroxyl group as an adhesive group.

フェノール性水酸基を有する繰り返し単位dを得るためのモノマーは、具体的には下記に示すことができる。

Figure 0005231357
The monomer for obtaining the repeating unit d which has a phenolic hydroxyl group can be specifically shown below.
Figure 0005231357

更には、他の密着性基としてヒドロキシル基、ラクトン環、エーテル基、エステル基、カルボニル基、シアノ基を有する密着性基の繰り返し単位eを共重合する事ができ、eを得るためのモノマーとしては具体的には下記に例示することが出来る。   Furthermore, as another adhesive group, a repeating unit e of an adhesive group having a hydroxyl group, a lactone ring, an ether group, an ester group, a carbonyl group, or a cyano group can be copolymerized, and as a monomer for obtaining e Specifically, can be exemplified below.

Figure 0005231357
Figure 0005231357

Figure 0005231357
Figure 0005231357

Figure 0005231357
Figure 0005231357

Figure 0005231357
Figure 0005231357

Figure 0005231357
Figure 0005231357

Figure 0005231357
Figure 0005231357

ヒドロキシル基を有するモノマーの場合、重合時にヒドロキシル基をエトキシエトキシなどの酸によって脱保護しやすいアセタールで置換しておいて重合後に弱酸と水によって脱保護を行っても良いし、アセチル基、ホルミル基、ピバロイル基等で置換しておいて重合後にアルカリ加水分解を行っても良い。   In the case of a monomer having a hydroxyl group, the hydroxyl group may be replaced with an acetal that can be easily deprotected with an acid such as ethoxyethoxy during the polymerization, and then deprotected with a weak acid and water after the polymerization, or an acetyl group or a formyl group. Alternatively, it may be substituted with a pivaloyl group or the like and subjected to alkali hydrolysis after polymerization.

インデン、ベンゾフラン、ベンゾチオフェン、アセナフチレン、クロモン、クマリン、ノルボルナジエンおよびこれらの誘導体fを共重合することもでき、具体的には下記に例示することができる。

Figure 0005231357
Indene, benzofuran, benzothiophene, acenaphthylene, chromone, coumarin, norbornadiene and their derivatives f can also be copolymerized, specifically exemplified below.
Figure 0005231357

上記繰り返し単位以外に共重合出来る繰り返し単位gとしては、スチレン、ビニルナフタレン、ビニルアントラセン、ビニルピレン、メチレンインダンなどが挙げられる。   Examples of the repeating unit g that can be copolymerized in addition to the above repeating units include styrene, vinyl naphthalene, vinyl anthracene, vinyl pyrene, and methylene indane.

a、b1、b2、c、d、e、f、gの共重合比率は、0<a<1.0、0≦b1≦0.3、0≦b2≦0.3、0<b1+b2≦0.3、0<c≦0.5、0≦d≦0.9、0≦e≦0.9、0≦f≦0.5、0≦g≦0.5であり、好ましくは0.1≦a≦0.8、0≦b1≦0.25、0≦b2≦0.25、0.01≦b1+b2≦0.25、0<c≦0.4、0≦d≦0.8、0≦e≦0.8、0≦f≦0.4、0≦g≦0.4、さらに好ましくは0.15≦a≦0.7、0≦b1≦0.2、0≦b2≦0.2、0.02≦b1+b2≦0.25、0<c≦0.3、0≦d≦0.75、0≦e≦0.75、0≦f≦0.3、0≦g≦0.3である。   The copolymerization ratios of a, b1, b2, c, d, e, f, and g are as follows: 0 <a <1.0, 0 ≦ b1 ≦ 0.3, 0 ≦ b2 ≦ 0.3, 0 <b1 + b2 ≦ 0 .3, 0 <c ≦ 0.5, 0 ≦ d ≦ 0.9, 0 ≦ e ≦ 0.9, 0 ≦ f ≦ 0.5, 0 ≦ g ≦ 0.5, preferably 0.1 ≦ a ≦ 0.8, 0 ≦ b1 ≦ 0.25, 0 ≦ b2 ≦ 0.25, 0.01 ≦ b1 + b2 ≦ 0.25, 0 <c ≦ 0.4, 0 ≦ d ≦ 0.8, 0 ≦ e ≦ 0.8, 0 ≦ f ≦ 0.4, 0 ≦ g ≦ 0.4, more preferably 0.15 ≦ a ≦ 0.7, 0 ≦ b1 ≦ 0.2, 0 ≦ b2 ≦ 0. 2, 0.02 ≦ b1 + b2 ≦ 0.25, 0 <c ≦ 0.3, 0 ≦ d ≦ 0.75, 0 ≦ e ≦ 0.75, 0 ≦ f ≦ 0.3, 0 ≦ g ≦ 0. 3.

これら高分子化合物を合成するには、1つの方法としては、例えば繰り返し単位a〜c、およびd〜gで示されるモノマーを、有機溶剤中、ラジカル重合開始剤を加え加熱重合を行い、共重合体の高分子化合物を得ることができる。   In order to synthesize these polymer compounds, as one method, for example, monomers represented by the repeating units a to c and d to g are subjected to heat polymerization in an organic solvent by adding a radical polymerization initiator, A combined polymer compound can be obtained.

重合時に使用する有機溶剤としてはトルエン、ベンゼン、テトラヒドロフラン、ジエチルエーテル、ジオキサン等が例示できる。重合開始剤としては、2,2’−アゾビスイソブチロニトリル(AIBN)、2,2’−アゾビス(2,4−ジメチルバレロニトリル)、ジメチル2,2−アゾビス(2−メチルプロピオネート)、ベンゾイルパーオキシド、ラウロイルパーオキシド等が例示でき、好ましくは50〜80℃に加熱して重合できる。反応時間としては2〜100時間、好ましくは5〜20時間である。   Examples of the organic solvent used at the time of polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, dioxane and the like. As polymerization initiators, 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis (2,4-dimethylvaleronitrile), dimethyl 2,2-azobis (2-methylpropionate) ), Benzoyl peroxide, lauroyl peroxide and the like, and preferably polymerized by heating to 50 to 80 ° C. The reaction time is 2 to 100 hours, preferably 5 to 20 hours.

ヒドロキシスチレン、ヒドロキシビニルナフタレンを共重合する場合は、ヒドロキシスチレン、ヒドロキシビニルナフタレンの代わりにアセトキシスチレン、アセトキシビニルナフタレンを用い、重合後上記アルカリ加水分解によってアセトキシ基を脱保護してポリヒドロキシスチレン、ヒドロキシポリビニルナフタレンにする方法もある。   When copolymerizing hydroxystyrene and hydroxyvinylnaphthalene, acetoxystyrene and acetoxyvinylnaphthalene are used in place of hydroxystyrene and hydroxyvinylnaphthalene, and after polymerization, the acetoxy group is deprotected by the above alkaline hydrolysis to produce polyhydroxystyrene and hydroxyhydroxyl. There is also a method of making polyvinyl naphthalene.

アルカリ加水分解時の塩基としては、アンモニア水、トリエチルアミン等が使用できる。また反応温度としては−20〜100℃、好ましくは0〜60℃であり、反応時間としては0.2〜100時間、好ましくは0.5〜20時間である。   Ammonia water, triethylamine, etc. can be used as the base during the alkali hydrolysis. The reaction temperature is −20 to 100 ° C., preferably 0 to 60 ° C., and the reaction time is 0.2 to 100 hours, preferably 0.5 to 20 hours.

本発明のレジスト材料に用いられる高分子化合物は、それぞれ重量平均分子量が1,000〜500,000、好ましくは2,000〜30,000であるのが望ましい。重量平均分子量が1,000以上であれば、レジスト材料が耐熱性に優れるものとなり、500,000以下であれば、アルカリ溶解性が低下することもなく、パターン形成後に裾引き現象が生じることもない。   The polymer compound used in the resist material of the present invention has a weight average molecular weight of 1,000 to 500,000, preferably 2,000 to 30,000, respectively. If the weight average molecular weight is 1,000 or more, the resist material has excellent heat resistance. If the weight average molecular weight is 500,000 or less, the alkali solubility does not decrease, and a trailing phenomenon may occur after pattern formation. Absent.

更に、本発明のレジスト材料に用いられる高分子化合物においては、多成分共重合体の分子量分布(Mw/Mn)が広い場合は低分子量や高分子量のポリマーが存在するために、露光後、パターン上に異物が見られたり、パターンの形状が悪化したりする。それ故、パターンルールが微細化するに従ってこのような分子量、分子量分布の影響が大きくなり易いことから、微細なパターン寸法に好適に用いられるレジスト材料を得るには、使用する多成分共重合体の分子量分布は1.0〜2.0、特に1.0〜1.5と狭分散であることが好ましい。   Further, in the polymer compound used in the resist material of the present invention, when the molecular weight distribution (Mw / Mn) of the multi-component copolymer is wide, there is a low molecular weight or high molecular weight polymer. Foreign matter is seen on the top or the shape of the pattern is deteriorated. Therefore, since the influence of such molecular weight and molecular weight distribution tends to increase as the pattern rule becomes finer, in order to obtain a resist material suitably used for fine pattern dimensions, the multi-component copolymer to be used is obtained. The molecular weight distribution is preferably from 1.0 to 2.0, particularly preferably from 1.0 to 1.5 and narrow dispersion.

本発明のレジスト材料に用いられる高分子化合物は、フェノール性水酸基の水素原子を酸不安定基で置換した繰り返し単位a、スルホニウム塩を有する繰り返し単位b(b1及びb2のいずれか1つ以上)、アミノ基を有する繰り返し単位cを有することを特徴とするが、組成比率や分子量分布や分子量が異なる2つ以上のポリマーをブレンドすることも可能である。また、感度調整のために、前記繰り返し単位a、前記繰り返し単位b、前記繰り返し単位cを有する高分子化合物に、さらに、例えば、フェノール性水酸基の水素原子を酸不安定基で置換した繰り返し単位、主鎖に結合するフルオロスルホン酸のスルホニウム塩を有する繰り返し単位を有する高分子化合物をブレンドすることもできる。   The polymer compound used in the resist material of the present invention includes a repeating unit a in which a hydrogen atom of a phenolic hydroxyl group is substituted with an acid labile group, a repeating unit b having a sulfonium salt (any one or more of b1 and b2), Although it has the repeating unit c which has an amino group, it is also possible to blend two or more polymers from which a composition ratio, molecular weight distribution, and molecular weight differ. In order to adjust the sensitivity, the polymer compound having the repeating unit a, the repeating unit b, and the repeating unit c is further replaced with, for example, a repeating unit in which a hydrogen atom of a phenolic hydroxyl group is substituted with an acid labile group, A polymer compound having a repeating unit having a sulfonium salt of fluorosulfonic acid bonded to the main chain can also be blended.

本発明に係る高分子化合物は、特にポジ型レジスト材料のベース樹脂として好適で、このような高分子化合物をベース樹脂とし、これに有機溶剤、酸発生剤、溶解阻止剤、塩基性化合物、界面活性剤等を目的に応じ適宜組み合わせて配合してポジ型レジスト材料を構成することによって、露光部では前記高分子化合物が触媒反応により現像液に対する溶解速度が加速されるので、極めて高感度のポジ型レジスト材料とすることができ、レジスト膜の溶解コントラスト及び解像性が高く、露光余裕度があり、プロセス適応性に優れ、露光後のパターン形状が良好でありながら、より優れたエッチング耐性を示し、特に酸拡散を抑制できることから粗密寸法差が小さく、これらのことから実用性が高く、特に超LSI用レジスト材料として非常に有効なものとすることができる。特に、酸発生剤を含有させ、酸触媒反応を利用した化学増幅ポジ型レジスト材料とすると、より高感度のものとすることができると共に、諸特性が一層優れたものとなり極めて有用なものとなる。   The polymer compound according to the present invention is particularly suitable as a base resin for a positive resist material. Such a polymer compound is used as a base resin, and an organic solvent, an acid generator, a dissolution inhibitor, a basic compound, an interface By combining the activator and the like appropriately in accordance with the purpose to form a positive resist material, the dissolution rate of the polymer compound in the developing solution is accelerated by a catalytic reaction in the exposed area, so that a highly sensitive positive resist is formed. Type resist material, resist film with high dissolution contrast and resolution, exposure margin, excellent process adaptability, good pattern shape after exposure, and better etching resistance In particular, the acid-diffusion can be suppressed, so the density difference is small. It can be a valid one. In particular, when a chemically amplified positive resist material containing an acid generator and utilizing an acid catalyzed reaction is used, the sensitivity can be increased, and various characteristics are further improved and extremely useful. .

また、ポジ型レジスト材料に溶解阻止剤を配合することによって、露光部と未露光部との溶解速度の差を一層大きくすることができ解像度を一層向上させることができる。   Further, by adding a dissolution inhibitor to the positive resist material, the difference in dissolution rate between the exposed portion and the unexposed portion can be further increased, and the resolution can be further improved.

更に、塩基性化合物を添加することによって、例えばレジスト膜中での酸の拡散速度を抑制し解像度を一層向上させることができるし、界面活性剤を添加することによってレジスト材料の塗布性を一層向上あるいは制御することができる。   Furthermore, by adding a basic compound, for example, the acid diffusion rate in the resist film can be suppressed to further improve the resolution, and by adding a surfactant, the coatability of the resist material can be further improved. Alternatively, it can be controlled.

本発明のレジスト材料には、上述のように、本発明のパターン形成方法に用いる化学増幅ポジ型レジスト材料を機能させるために酸発生剤を含んでもよく、例えば、活性光線又は放射線に感応して酸を発生する化合物(光酸発生剤)を含有してもよい。光酸発生剤の成分としては、高エネルギー線照射により酸を発生する化合物であればいずれでも構わない。好適な光酸発生剤としてはスルホニウム塩、ヨードニウム塩、スルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート型酸発生剤等がある。
酸発生剤の具体例としては、例えば特開2008−111103号公報の段落[0122]〜[0142]に記載されている。これらは単独であるいは2種以上混合して用いることができる。
As described above, the resist material of the present invention may contain an acid generator for causing the chemically amplified positive resist material used in the pattern forming method of the present invention to function. For example, the resist material is sensitive to actinic rays or radiation. You may contain the compound (photo acid generator) which generate | occur | produces an acid. The component of the photoacid generator may be any compound that generates an acid upon irradiation with high energy rays. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate type acid generators, and the like.
Specific examples of the acid generator are described, for example, in paragraphs [0122] to [0142] of JP-A-2008-111103. These can be used alone or in admixture of two or more.

本発明のレジスト材料に配合することができる有機溶媒の具体例としては、例えば特開2008−111103号公報の段落[0144]〜[0145]、塩基性化合物としては段落[0146]〜[0164]、界面活性剤は段落[0165]〜[0166]、溶解制御剤としては特開2008−122932号公報の段落[0155]〜[0178]、に記載されている。特開2008−239918号公報記載のポリマー型のクエンチャーを添加することもできる。さらに、必要に応じて任意成分としてアセチレンアルコール類を添加することもでき、アセチレンアルコール類の具体例としては、特開2008−122932号公報の段落[0179]〜[0182]に記載されている。
これらのものは、コート後のレジスト表面に配向することによってパターン後のレジストの矩形性を高める。ポリマー型クエンチャーは、液浸露光用の保護膜を適用したときのパターンの膜減りやパターントップのラウンディングを防止する効果もある。
Specific examples of the organic solvent that can be blended in the resist material of the present invention include, for example, paragraphs [0144] to [0145] of JP-A-2008-111103, and paragraphs [0146] to [0164] as basic compounds. The surfactants are described in paragraphs [0165] to [0166], and the dissolution control agent is described in paragraphs [0155] to [0178] of JP-A-2008-122932. A polymer-type quencher described in JP-A-2008-239918 can also be added. Furthermore, acetylene alcohols can be added as an optional component as necessary, and specific examples of acetylene alcohols are described in paragraphs [0179] to [0182] of JP-A-2008-122932.
These enhance the rectangularity of the resist after patterning by being oriented on the resist surface after coating. The polymer quencher also has an effect of preventing pattern film loss and pattern top rounding when a protective film for immersion exposure is applied.

また、本発明は、前記レジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法を提供する。   The present invention also includes a pattern forming method comprising: a step of applying the resist material onto a substrate; a step of exposing to high energy rays after heat treatment; and a step of developing using a developer. I will provide a.

この場合、前記高エネルギー線で露光する工程において、波長3〜15nmの真空紫外線や加速電圧50keV以下の加速電圧電子ビーム、特には10keV以下の低加速電圧電子ビームを光源として用いることができる。   In this case, in the step of exposing with the high energy beam, vacuum ultraviolet rays having a wavelength of 3 to 15 nm, an acceleration voltage electron beam having an acceleration voltage of 50 keV or less, particularly a low acceleration voltage electron beam of 10 keV or less can be used as a light source.

本発明のレジスト材料、例えば有機溶剤と、上記一般式(1)で示される高分子化合物と、酸発生剤、塩基性化合物を含む化学増幅ポジ型レジスト材料を種々の集積回路製造に用いる場合は、特に限定されないが公知のリソグラフィー技術を適用することができる。   When the resist material of the present invention, for example, a chemically amplified positive resist material containing an organic solvent, the polymer compound represented by the above general formula (1), an acid generator, and a basic compound is used for manufacturing various integrated circuits Although not particularly limited, a known lithography technique can be applied.

例えば、本発明のレジスト材料を、集積回路製造用の基板あるいは該基板上の被加工層(Si,SiO2,SiN,SiON,TiN,WSi,BPSG,SOG,有機反射防止膜等)や、マスク回路製造用の基板あるいは該基板上の被加工層(Cr、CrO、CrON、MoSi、SiO等)上にスピンコート、ロールコート、フローコート、ディップコート、スプレーコート、ドクターコート等の適当な塗布方法により塗布膜厚が0.1〜2.0μmとなるように塗布する。これをホットプレート上で60〜150℃、10秒〜30分間、好ましくは80〜120℃、30秒〜20分間プリベークする。 For example, the resist material of the present invention is applied to a substrate for manufacturing an integrated circuit or a layer to be processed on the substrate (Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, organic antireflection film, etc.), mask Appropriate coating such as spin coating, roll coating, flow coating, dip coating, spray coating, doctor coating, etc. on a circuit manufacturing substrate or a layer to be processed on the substrate (Cr, CrO, CrON, MoSi, SiO 2, etc.) It is applied so that the coating film thickness is 0.1 to 2.0 μm by a method. This is pre-baked on a hot plate at 60 to 150 ° C. for 10 seconds to 30 minutes, preferably 80 to 120 ° C. for 30 seconds to 20 minutes.

次いで、紫外線、遠紫外線、電子線、X線、エキシマレーザー、γ線、シンクロトロン放射線、真空紫外線(軟X線)等の高エネルギー線から選ばれる光源で目的とするパターンを所定のマスクを通じてもしくは直接露光を行う。露光量は1〜200mJ/cm2程度、好ましくは10〜100mJ/cm2、又は0.1〜100μC、好ましくは0.5〜50μC程度となるように露光することが好ましい。次に、ホットプレート上で60〜150℃、10秒〜30分間、好ましくは80〜120℃、30秒〜20分間ポストエクスポージャベーク(PEB)する。 Next, a target pattern is passed through a predetermined mask with a light source selected from high energy rays such as ultraviolet rays, far ultraviolet rays, electron beams, X-rays, excimer lasers, γ rays, synchrotron radiation, and vacuum ultraviolet rays (soft X-rays). Direct exposure is performed. It is preferable to expose so that the exposure amount is about 1 to 200 mJ / cm 2 , preferably 10 to 100 mJ / cm 2 , or 0.1 to 100 μC, and preferably about 0.5 to 50 μC. Next, post-exposure baking (PEB) is performed on a hot plate at 60 to 150 ° C. for 10 seconds to 30 minutes, preferably 80 to 120 ° C. for 30 seconds to 20 minutes.

更に、0.1〜5質量%、好ましくは2〜3質量%のテトラメチルアンモニウムヒドロキシド(TMAH)等のアルカリ水溶液の現像液を用い、3秒〜3分間、好ましくは5秒〜2分間、浸漬(dip)法、パドル(puddle)法、スプレー(spray)法等の常法により現像することにより、光を照射した部分は現像液に溶解し、露光されなかった部分は溶解せず、基板上に目的のポジ型のパターンが形成される。   Further, 0.1 to 5% by mass, preferably 2 to 3% by mass of a developer of an alkaline aqueous solution such as tetramethylammonium hydroxide (TMAH) is used for 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes. By developing by a conventional method such as a dip method, a paddle method, or a spray method, a portion irradiated with light is dissolved in the developer, and a portion not exposed to light is not dissolved. The desired positive pattern is formed on the top.

なお、本発明のレジスト材料は、高エネルギー線の中でも電子線、真空紫外線(軟X線)、X線、γ線、シンクロトロン放射線による微細パターニングに最適である。
特に、波長3〜15nmの真空紫外線や加速電圧50keV以下の加速電圧電子ビーム、特には加速電圧10keV以下の低加速電圧電子ビームを光源として用いれば、より微細なパターンを形成することができる。
The resist material of the present invention is most suitable for fine patterning using electron beams, vacuum ultraviolet rays (soft X-rays), X-rays, γ rays, and synchrotron radiation among high energy rays.
In particular, if a vacuum ultraviolet ray having a wavelength of 3 to 15 nm or an acceleration voltage electron beam having an acceleration voltage of 50 keV or less, particularly a low acceleration voltage electron beam having an acceleration voltage of 10 keV or less is used as a light source, a finer pattern can be formed.

以下、合成例、比較合成例及び実施例、比較例を示して本発明を具体的に説明するが、本発明は下記の実施例に制限されるものではない。   EXAMPLES Hereinafter, although a synthesis example, a comparative synthesis example, an Example, and a comparative example are shown and this invention is demonstrated concretely, this invention is not restrict | limited to the following Example.

[合成例1]
2Lのフラスコに4−t−ブトキシスチレン5.3g、4−アセトキシスチレン7.3g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル3.4g、PAGモノマー3の4.5g、1−ビニルイミダゾール0.4g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
4−t−ブトキシスチレン:4−ヒドロキシスチレン:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3:1−ビニルイミダゾール=0.30:0.43:0.15:0.08:0.04
重量平均分子量(Mw)=8,200
分子量分布(Mw/Mn)=1.96
この高分子化合物をポリマー1とする。

Figure 0005231357
[Synthesis Example 1]
In a 2 L flask, 5.3 g of 4-t-butoxystyrene, 7.3 g of 4-acetoxystyrene, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane- 3.4 g of 9-yl, 4.5 g of PAG monomer 3, 0.4 g of 1-vinylimidazole, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
4-t-butoxystyrene: 4-hydroxystyrene: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3: 1- Vinylimidazole = 0.30: 0.43: 0.15: 0.08: 0.04
Weight average molecular weight (Mw) = 8,200
Molecular weight distribution (Mw / Mn) = 1.96
This polymer compound is designated as Polymer 1.
Figure 0005231357

[合成例2]
2Lのフラスコに4−t−アミロキシスチレン5.7g、4−アセトキシスチレン7.6g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル2.2g、PAGモノマー2の4.6g、メタクリル酸−2−ピペリジノエチル0.8g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
4−t−アミロキシスチレン:4−ヒドロキシスチレン:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー2:メタクリル酸−2−ピペリジノエチル=0.30:0.48:0.10:0.08:0.04
重量平均分子量(Mw)=7,300
分子量分布(Mw/Mn)=1.71
この高分子化合物をポリマー2とする。

Figure 0005231357
[Synthesis Example 2]
In a 2 L flask, 5.7 g of 4-t-amyloxystyrene, 7.6 g of 4-acetoxystyrene, 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonane methacrylate 2.2 g of -9-yl, 4.6 g of PAG monomer 2, 0.8 g of 2-piperidinoethyl methacrylate, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
4-t-amyloxystyrene: 4-hydroxystyrene: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 2: methacryl 2-piperidinoethyl acid = 0.30: 0.48: 0.10: 0.08: 0.04
Weight average molecular weight (Mw) = 7,300
Molecular weight distribution (Mw / Mn) = 1.71
This polymer compound is designated as Polymer 2.
Figure 0005231357

[合成例3]
2Lのフラスコに4−t−アミロキシスチレン5.7g、メタクリル酸4−ヒドロキシフェニル8.1g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル3.3g、PAGモノマー3の4.5g、メタクリル酸−2−ピペリジノエチル0.8g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
4−t−アミロキシスチレン:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3:メタクリル酸−2−ピペリジノエチル=0.30:0.43:0.15:0.08:0.04
重量平均分子量(Mw)=7,400
分子量分布(Mw/Mn)=1.79
この高分子化合物をポリマー3とする。

Figure 0005231357
[Synthesis Example 3]
In a 2 L flask, 5.7 g of 4-t-amyloxystyrene, 8.1 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] 3.3 g of nonan-9-yl, 4.5 g of PAG monomer 3, 0.8 g of 2-piperidinoethyl methacrylate, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
4-t-amyloxystyrene: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3 : 2-piperidinoethyl methacrylate = 0.30: 0.43: 0.15: 0.08: 0.04
Weight average molecular weight (Mw) = 7,400
Molecular weight distribution (Mw / Mn) = 1.79
This polymer compound is designated as Polymer 3.
Figure 0005231357

[合成例4]
2Lのフラスコに4−t−アミロキシスチレン5.7、メタクリル酸5−ヒドロキシインダン−2−イル9.4g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル3.3g、PAGモノマー3の4.5g、N,N−ジメチル−4−ビニルアニリン0.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
4−t−アミロキシスチレン:メタクリル酸5−ヒドロキシインダン−2−イル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3:N,N−ジメチル−4−ビニルアニリン=0.30:0.43:0.15:0.08:0.04
重量平均分子量(Mw)=7,500
分子量分布(Mw/Mn)=1.73
この高分子化合物をポリマー4とする。

Figure 0005231357
[Synthesis Example 4]
In a 2 L flask, 4-t-amyloxystyrene 5.7, methacrylic acid 5-hydroxyindan-2-yl 9.4 g, methacrylic acid 3-oxo-2,7-dioxatricyclo [4.2.1. 0,8 ] nonane-9-yl, 3.3 g of PAG monomer 3, 0.6 g of N, N-dimethyl-4-vinylaniline, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
4-t-amyloxystyrene: 5-hydroxyindan-2-yl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate : PAG monomer 3: N, N-dimethyl-4-vinylaniline = 0.30: 0.43: 0.15: 0.08: 0.04
Weight average molecular weight (Mw) = 7,500
Molecular weight distribution (Mw / Mn) = 1.73
This polymer compound is designated as polymer 4.
Figure 0005231357

[合成例5]
2Lのフラスコに4−t−アミロキシスチレン5.7g、メタクリル酸−6−ヒドロキシナフタレン−2−イル9.8g、メタクリル酸テトラヒドロ−2−オキソフラン−3−イル2.6g、PAGモノマー3の4.5g、4−ビニルアミン0.2g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
4−t−アミロキシスチレン:メタクリル酸−6−ヒドロキシナフタレン−2−イル:メタクリル酸テトラヒドロ−2−オキソフラン−3−イル:PAGモノマー3:4−ビニルアミン=0.30:0.43:0.15:0.08:0.04
重量平均分子量(Mw)=8,200
分子量分布(Mw/Mn)=1.76
この高分子化合物をポリマー5とする。

Figure 0005231357
[Synthesis Example 5]
In a 2 L flask, 5.7 g of 4-t-amyloxystyrene, 9.8 g of 6-hydroxynaphthalen-2-yl methacrylate, 2.6 g of tetrahydro-2-oxofuran-3-yl methacrylate, 4 of PAG monomer 3 0.5 g, 4-vinylamine 0.2 g, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
4-t-amyloxystyrene: 6-hydroxynaphthalen-2-yl methacrylate: tetrahydro-2-oxofuran-3-yl methacrylate: PAG monomer 3: 4-vinylamine = 0.30: 0.43: 0. 15: 0.08: 0.04
Weight average molecular weight (Mw) = 8,200
Molecular weight distribution (Mw / Mn) = 1.76
This polymer compound is designated as polymer 5.
Figure 0005231357

[合成例6]
2Lのフラスコにモノマー1の9.8g、4−アセトキシスチレン9.4g、PAGモノマー3の4.5g、インドール0.5g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー1:4−ヒドロキシスチレン:PAGモノマー3:インドール=0.30:0.58:0.08:0.04
重量平均分子量(Mw)=5,600
分子量分布(Mw/Mn)=1.69
この高分子化合物をポリマー6とする。

Figure 0005231357
[Synthesis Example 6]
To a 2 L flask, 9.8 g of monomer 1, 9.4 g of 4-acetoxystyrene, 4.5 g of PAG monomer 3, 0.5 g of indole, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 1: 4-hydroxystyrene: PAG monomer 3: Indole = 0.30: 0.58: 0.08: 0.04
Weight average molecular weight (Mw) = 5,600
Molecular weight distribution (Mw / Mn) = 1.69
This polymer compound is designated as polymer 6.
Figure 0005231357

[合成例7]
2Lのフラスコにモノマー2の8.8g、4−アセトキシスチレン9.7g、PAGモノマー3の2.9g、6−ヒドロキシインドール0.5g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:4−ヒドロキシスチレン:PAGモノマー3:6−ヒドロキシインドール=0.28:0.60:0.08:0.04
重量平均分子量(Mw)=6,300
分子量分布(Mw/Mn)=1.63
この高分子化合物をポリマー7とする。

Figure 0005231357
[Synthesis Example 7]
To a 2 L flask, 8.8 g of monomer 2, 9.7 g of 4-acetoxystyrene, 2.9 g of PAG monomer 3, 0.5 g of 6-hydroxyindole, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: 4-hydroxystyrene: PAG monomer 3: 6-hydroxyindole = 0.28: 0.60: 0.08: 0.04
Weight average molecular weight (Mw) = 6,300
Molecular weight distribution (Mw / Mn) = 1.63
This polymer compound is designated as polymer 7.
Figure 0005231357

[合成例8]
2Lのフラスコにモノマー3の8.3g、4−アセトキシスチレン9.7g、PAGモノマー3の4.5g、2−ビニルカルバゾール0.8g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー3:4−ヒドロキシスチレン:PAGモノマー3:2−ビニルカルバゾール=0.28:0.60:0.08:0.04
重量平均分子量(Mw)=8,100
分子量分布(Mw/Mn)=1.91
この高分子化合物をポリマー8とする。

Figure 0005231357
[Synthesis Example 8]
To a 2 L flask was added 8.3 g of monomer 3, 9.7 g of 4-acetoxystyrene, 4.5 g of PAG monomer 3, 0.8 g of 2-vinylcarbazole, and 40 g of tetrahydrofuran as a solvent. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 3: 4-hydroxystyrene: PAG monomer 3: 2-vinylcarbazole = 0.28: 0.60: 0.08: 0.04
Weight average molecular weight (Mw) = 8,100
Molecular weight distribution (Mw / Mn) = 1.91
This polymer compound is designated as polymer 8.
Figure 0005231357

[合成例9]
2Lのフラスコにモノマー4の8.7g、4−アセトキシスチレン9.7g、PAGモノマー3の4.5g、9−ビニルカルバゾール0.8g溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー4:4−ヒドロキシスチレン:PAGモノマー3:9−ビニルカルバゾール=0.28:0.60:0.08:0.04
重量平均分子量(Mw)=8,300
分子量分布(Mw/Mn)=1.79
この高分子化合物をポリマー9とする。

Figure 0005231357
[Synthesis Example 9]
To a 2 L flask, 40 g of tetrahydrofuran was added as a solvent for 8.7 g of monomer 4, 9.7 g of 4-acetoxystyrene, 4.5 g of PAG monomer 3, and 0.8 g of 9-vinylcarbazole. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 4: 4-hydroxystyrene: PAG monomer 3: 9-vinylcarbazole = 0.28: 0.60: 0.08: 0.04
Weight average molecular weight (Mw) = 8,300
Molecular weight distribution (Mw / Mn) = 1.79
This polymer compound is designated as polymer 9.
Figure 0005231357

[合成例10]
2Lのフラスコにモノマー5の9.6g、4−アセトキシスチレン9.7g、PAGモノマー3の4.5g、6−シアノインドール0.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー5:4−ヒドロキシスチレン:PAGモノマー3:6−シアノインドール=0.28:0.60:0.08:0.04
重量平均分子量(Mw)=6,700
分子量分布(Mw/Mn)=1.77
この高分子化合物をポリマー10とする。

Figure 0005231357
[Synthesis Example 10]
To a 2 L flask was added 9.6 g of monomer 5, 9.7 g of 4-acetoxystyrene, 4.5 g of PAG monomer 3, 0.6 g of 6-cyanoindole, and 40 g of tetrahydrofuran as a solvent. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 5: 4-hydroxystyrene: PAG monomer 3: 6-cyanoindole = 0.28: 0.60: 0.08: 0.04
Weight average molecular weight (Mw) = 6,700
Molecular weight distribution (Mw / Mn) = 1.77
This polymer compound is designated as polymer 10.
Figure 0005231357

[合成例11]
2Lのフラスコにモノマー6の6.6g、クマリン1.5g、4−アセトキシスチレン10.0g、PAGモノマー2の3.0g、1−ビニル−1,2,4−トリアゾール0.3g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー6:クマリン:4−ヒドロキシスチレン:PAGモノマー2:1−ビニル−1,2,4−トリアゾール=0.20:0.10:0.62:0.05:0.03
重量平均分子量(Mw)=7,100
分子量分布(Mw/Mn)=1.79
この高分子化合物をポリマー11とする。

Figure 0005231357
[Synthesis Example 11]
In a 2 L flask, 6.6 g of monomer 6, 1.5 g of coumarin, 10.0 g of 4-acetoxystyrene, 3.0 g of PAG monomer 2, 0.3 g of 1-vinyl-1,2,4-triazole, tetrahydrofuran as a solvent 40 g of was added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 6: Coumarin: 4-Hydroxystyrene: PAG Monomer 2: 1-Vinyl-1,2,4-triazole = 0.20: 0.10: 0.62: 0.05: 0.03
Weight average molecular weight (Mw) = 7,100
Molecular weight distribution (Mw / Mn) = 1.79
This polymer compound is designated as polymer 11.
Figure 0005231357

[合成例12]
2Lのフラスコにモノマー2の9.5g、4−アセトキシスチレン9.7g、PAGモノマー3の3.4g、クマリン 6H 1.0g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:4−ヒドロキシスチレン:PAGモノマー3:クマリン 6H=0.30:0.60:0.06:0.04
重量平均分子量(Mw)=7,500
分子量分布(Mw/Mn)=1.82
この高分子化合物をポリマー12とする。

Figure 0005231357
[Synthesis Example 12]
To a 2 L flask, 9.5 g of monomer 2, 9.7 g of 4-acetoxystyrene, 3.4 g of PAG monomer 3, 1.0 g of coumarin 6H, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: 4-hydroxystyrene: PAG monomer 3: coumarin 6H = 0.30: 0.60: 0.06: 0.04
Weight average molecular weight (Mw) = 7,500
Molecular weight distribution (Mw / Mn) = 1.82
This polymer compound is designated as polymer 12.
Figure 0005231357

[合成例13]
2Lのフラスコにモノマー2の9.5g、4−アセトキシスチレン9.7g、PAGモノマー3の3.4g、クマリン 466の1.0g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:4−ヒドロキシスチレン:PAGモノマー3:クマリン 466=0.30:0.60:0.06:0.04
重量平均分子量(Mw)=8,200
分子量分布(Mw/Mn)=1.77
この高分子化合物をポリマー13とする。

Figure 0005231357
[Synthesis Example 13]
9.5 g of monomer 2, 9.7 g of 4-acetoxystyrene, 3.4 g of PAG monomer 3, 1.0 g of coumarin 466, and 40 g of tetrahydrofuran as a solvent were added to a 2 L flask. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: 4-hydroxystyrene: PAG monomer 3: Coumarin 466 = 0.30: 0.60: 0.06: 0.04
Weight average molecular weight (Mw) = 8,200
Molecular weight distribution (Mw / Mn) = 1.77
This polymer compound is designated as polymer 13.
Figure 0005231357

[合成例14]
2Lのフラスコにモノマー2の9.5g、4−アセトキシスチレン9.7g、PAGモノマー3の3.4g、インドール0.5g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:4−ヒドロキシスチレン:PAGモノマー3:インドール=0.30:0.60:0.06:0.04
重量平均分子量(Mw)=7,300
分子量分布(Mw/Mn)=1.72
この高分子化合物をポリマー14とする。

Figure 0005231357
[Synthesis Example 14]
9.5 g of monomer 2, 9.7 g of 4-acetoxystyrene, 3.4 g of PAG monomer 3, 0.5 g of indole, and 40 g of tetrahydrofuran as a solvent were added to a 2 L flask. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: 4-hydroxystyrene: PAG monomer 3: Indole = 0.30: 0.60: 0.06: 0.04
Weight average molecular weight (Mw) = 7,300
Molecular weight distribution (Mw / Mn) = 1.72
This polymer compound is designated as polymer 14.
Figure 0005231357

[合成例15]
2Lのフラスコにモノマー2の9.5g、4−アセトキシスチレン9.7g、PAGモノマー3の3.4g、メタクリル酸−2−ジメチルアミノエチル0.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:4−ヒドロキシスチレン:PAGモノマー3:メタクリル酸−2−ジメチルアミノエチル=0.30:0.60:0.06:0.04
重量平均分子量(Mw)=7,300
分子量分布(Mw/Mn)=1.66
この高分子化合物をポリマー15とする。

Figure 0005231357
[Synthesis Example 15]
To a 2 L flask, 9.5 g of monomer 2, 9.7 g of 4-acetoxystyrene, 3.4 g of PAG monomer 3, 0.6 g of 2-dimethylaminoethyl methacrylate, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: 4-hydroxystyrene: PAG monomer 3: 2-dimethylaminoethyl methacrylate = 0.30: 0.60: 0.06: 0.04
Weight average molecular weight (Mw) = 7,300
Molecular weight distribution (Mw / Mn) = 1.66
This polymer compound is designated as polymer 15.
Figure 0005231357

[合成例16]
2Lのフラスコにモノマー2の9.5g、4−アセトキシスチレン9.7g、PAGモノマー3の3.4g、インドール−1−t−ブトキシカルボニル0.9g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:4−ヒドロキシスチレン:PAGモノマー3:インドール−1−t−ブトキシカルボニル=0.30:0.60:0.06:0.04
重量平均分子量(Mw)=8,100
分子量分布(Mw/Mn)=1.70
この高分子化合物をポリマー16とする。

Figure 0005231357
[Synthesis Example 16]
9.5 g of monomer 2, 9.7 g of 4-acetoxystyrene, 3.4 g of PAG monomer 3, 0.9 g of indole-1-t-butoxycarbonyl, and 40 g of tetrahydrofuran as a solvent were added to a 2 L flask. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: 4-hydroxystyrene: PAG monomer 3: Indole-1-t-butoxycarbonyl = 0.30: 0.60: 0.06: 0.04
Weight average molecular weight (Mw) = 8,100
Molecular weight distribution (Mw / Mn) = 1.70
This polymer compound is designated as polymer 16.
Figure 0005231357

[合成例17]
2Lのフラスコにモノマー2の9.5g、メタクリル酸4-ヒドロキシフェニル3.6g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル8.0g、PAGモノマー4の6.7g、メタクリル酸−2−モルフォリノエチル0.7g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:メタクリル酸4-ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー4:メタクリル酸−2−モルフォリノエチル=0.30:0.20:0.36:0.10:0.04
重量平均分子量(Mw)=7,900
分子量分布(Mw/Mn)=1.82
この高分子化合物をポリマー17とする。

Figure 0005231357
[Synthesis Example 17]
In a 2 L flask, 9.5 g of monomer 2, 3.6 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonane-9 methacrylate -8.0 g of yl, 6.7 g of PAG monomer 4, 0.7 g of 2-morpholinoethyl methacrylate, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 4: methacrylate-2 -Morpholinoethyl = 0.30: 0.20: 0.36: 0.10: 0.04
Weight average molecular weight (Mw) = 7,900
Molecular weight distribution (Mw / Mn) = 1.82
This polymer compound is designated as polymer 17.
Figure 0005231357

[合成例18]
2Lのフラスコにモノマー2の9.5g、メタクリル酸4−ヒドロキシフェニル3.6g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル8.0g、PAGモノマー5の5.2g、メタクリル酸−2−モルフォリノエチル0.7g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー5:メタクリル酸−2−モルフォリノエチル=0.30:0.20:0.36:0.10:0.04
重量平均分子量(Mw)=7,600
分子量分布(Mw/Mn)=1.87
この高分子化合物をポリマー18とする。

Figure 0005231357
[Synthesis Example 18]
In a 2 L flask, 9.5 g of monomer 2, 3.6 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane-9 -8.0 g of yl, 5.2 g of PAG monomer 5, 0.7 g of 2-morpholinoethyl methacrylate, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 5: methacrylic acid-2 -Morpholinoethyl = 0.30: 0.20: 0.36: 0.10: 0.04
Weight average molecular weight (Mw) = 7,600
Molecular weight distribution (Mw / Mn) = 1.87
This polymer compound is designated as polymer 18.
Figure 0005231357

[合成例19]
2Lのフラスコにモノマー2の9.5g、メタクリル酸4−ヒドロキシフェニル3.6g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル8.7g、PAGモノマー6の7.4g、メタクリル酸−2−モルフォリノエチル0.7g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:メタクリル酸4−ヒドロキシフェニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー6:メタクリル酸−2−モルフォリノエチル=0.30:0.20:0.36:0.10:0.04
重量平均分子量(Mw)=8,300
分子量分布(Mw/Mn)=1.91
この高分子化合物をポリマー19とする。

Figure 0005231357
[Synthesis Example 19]
In a 2 L flask, 9.5 g of monomer 2, 3.6 g of 4-hydroxyphenyl methacrylate, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane-9 -8.7 g of yl, 7.4 g of PAG monomer 6, 0.7 g of 2-morpholinoethyl methacrylate, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: 4-hydroxyphenyl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 6: methacrylate-2 -Morpholinoethyl = 0.30: 0.20: 0.36: 0.10: 0.04
Weight average molecular weight (Mw) = 8,300
Molecular weight distribution (Mw / Mn) = 1.91
This polymer compound is referred to as polymer 19.
Figure 0005231357

[合成例20]
2Lのフラスコにモノマー2の9.5g、メタクリル酸5−ヒドロキシインダン−2−イル5.5g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー3の5.7g、t−ブトキシカルボニルビニルアミン0.2g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:メタクリル酸5−ヒドロキシインダン−2−イル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3:t−ブトキシカルボニルビニルアミン=0.30:0.25:0.30:0.10:0.05
重量平均分子量(Mw)=7600
分子量分布(Mw/Mn)=1.72
この高分子化合物をポリマー20とする。

Figure 0005231357
[Synthesis Example 20]
In a 2 L flask, 9.5 g of monomer 2, 5.5 g of 5-hydroxyindan-2-yl methacrylate, 3-oxo-2,7-dioxatricyclo methacrylate [4.2.1.0 4,8 6.7 g of nonan-9-yl, 5.7 g of PAG monomer 3, 0.2 g of t-butoxycarbonylvinylamine, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: 5-hydroxyindan-2-yl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 3: t-butoxycarbonylvinylamine = 0.30: 0.25: 0.30: 0.10: 0.05
Weight average molecular weight (Mw) = 7600
Molecular weight distribution (Mw / Mn) = 1.72
This polymer compound is referred to as polymer 20.
Figure 0005231357

[合成例21]
2Lのフラスコにモノマー2の9.5g、アセナフチレン1.1g、4−アセトキシスチレン8.9g、PAGモノマー2の3.0g、5−ヒドロキシインドール0.4g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:アセナフチレン:4−ヒドロキシスチレン:PAGモノマー2:5−ヒドロキシインドール=0.30:0.07:0.55:0.05:0.03
重量平均分子量(Mw)=6,100
分子量分布(Mw/Mn)=1.63
この高分子化合物をポリマー21とする。

Figure 0005231357
[Synthesis Example 21]
9.5 g of monomer 2, 1.1 g of acenaphthylene, 8.9 g of 4-acetoxystyrene, 3.0 g of PAG monomer 2, 0.4 g of 5-hydroxyindole, and 40 g of tetrahydrofuran as a solvent were added to a 2 L flask. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: Acenaphthylene: 4-hydroxystyrene: PAG monomer 2: 5-hydroxyindole = 0.30: 0.07: 0.55: 0.05: 0.03
Weight average molecular weight (Mw) = 6,100
Molecular weight distribution (Mw / Mn) = 1.63
This polymer compound is referred to as polymer 21.
Figure 0005231357

[合成例22]
2Lのフラスコにモノマー2の9.5g、インデン1.0g、4−アセトキシスチレン8.9g、PAGモノマー2の3.0g、5−ニトロインドール0.4g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:インデン:4−ヒドロキシスチレン:PAGモノマー2:5−ニトロインドール=0.30:0.08:0.54:0.05:0.03
重量平均分子量(Mw)=6,400
分子量分布(Mw/Mn)=1.64
この高分子化合物をポリマー22とする。

Figure 0005231357
[Synthesis Example 22]
9.5 g of monomer 2, 1.0 g of indene, 8.9 g of 4-acetoxystyrene, 3.0 g of PAG monomer 2, 0.4 g of 5-nitroindole, and 40 g of tetrahydrofuran as a solvent were added to a 2 L flask. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: Indene: 4-Hydroxystyrene: PAG Monomer 2: 5-Nitroindole = 0.30: 0.08: 0.54: 0.05: 0.03
Weight average molecular weight (Mw) = 6,400
Molecular weight distribution (Mw / Mn) = 1.64
This polymer compound is designated as polymer 22.
Figure 0005231357

[合成例23]
2Lのフラスコにモノマー2の9.5g、ベンゾフラン1.1g、4−アセトキシスチレン8.9g、PAGモノマー3の2.8g、5−アミノメチルインドール0.3g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:ベンゾフラン:4−ヒドロキシスチレン:PAGモノマー3:4−ヒドロキシインドール=0.30:0.08:0.55:0.05:0.02
重量平均分子量(Mw)=6,800
分子量分布(Mw/Mn)=1.61
この高分子化合物をポリマー23とする。

Figure 0005231357
[Synthesis Example 23]
9.5 g of monomer 2, 1.1 g of benzofuran, 8.9 g of 4-acetoxystyrene, 2.8 g of PAG monomer 3, 0.3 g of 5-aminomethylindole, and 40 g of tetrahydrofuran as a solvent were added to a 2 L flask. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: Benzofuran: 4-hydroxystyrene: PAG monomer 3: 4-hydroxyindole = 0.30: 0.08: 0.55: 0.05: 0.02
Weight average molecular weight (Mw) = 6,800
Molecular weight distribution (Mw / Mn) = 1.61
This polymer compound is referred to as polymer 23.
Figure 0005231357

[合成例24]
2Lのフラスコにモノマー2の9.5g、ベンゾチオフェン1.1g、4−アセトキシスチレン8.9g、PAGモノマー3の2.8g、4−ヒドロキシインドール0.4g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:ベンゾフラン:4−ヒドロキシスチレン:PAGモノマー3:4−ヒドロキシインドール=0.30:0.08:0.54:0.05:0.03
重量平均分子量(Mw)=6,800
分子量分布(Mw/Mn)=1.61
この高分子化合物をポリマー24とする。

Figure 0005231357
[Synthesis Example 24]
9.5 g of monomer 2, 1.1 g of benzothiophene, 8.9 g of 4-acetoxystyrene, 2.8 g of PAG monomer 3, 0.4 g of 4-hydroxyindole, and 40 g of tetrahydrofuran as a solvent were added to a 2 L flask. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: Benzofuran: 4-hydroxystyrene: PAG monomer 3: 4-hydroxyindole = 0.30: 0.08: 0.54: 0.05: 0.03
Weight average molecular weight (Mw) = 6,800
Molecular weight distribution (Mw / Mn) = 1.61
This polymer compound is designated as polymer 24.
Figure 0005231357

[合成例25]
2Lのフラスコにモノマー2の9.5g、ベンゾチオフェン1.1g、4−アセトキシスチレン8.9g、PAGモノマー7の1.8g、4−ヒドロキシインドール0.4g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:ベンゾチオフェン:4−ヒドロキシスチレン:PAGモノマー7:4−ヒドロキシインドール=0.30:0.08:0.54:0.05:0.03
重量平均分子量(Mw)=6,800
分子量分布(Mw/Mn)=1.61
この高分子化合物をポリマー25とする。

Figure 0005231357
[Synthesis Example 25]
9.5 g of monomer 2, 1.1 g of benzothiophene, 8.9 g of 4-acetoxystyrene, 1.8 g of PAG monomer 7, 0.4 g of 4-hydroxyindole, and 40 g of tetrahydrofuran as a solvent were added to a 2 L flask. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: Benzothiophene: 4-hydroxystyrene: PAG monomer 7: 4-hydroxyindole = 0.30: 0.08: 0.54: 0.05: 0.03
Weight average molecular weight (Mw) = 6,800
Molecular weight distribution (Mw / Mn) = 1.61
This polymer compound is designated as polymer 25.
Figure 0005231357

[合成例26]
2Lのフラスコにモノマー2の9.5g、ベンゾチオフェン1.1g、4−アセトキシスチレン8.9g、PAGモノマー8の1.9g、4−ヒドロキシインドール0.4g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー2:ベンゾフラン:4−ヒドロキシスチレン:PAGモノマー8:4−ヒドロキシインドール=0.30:0.08:0.54:0.05:0.03
重量平均分子量(Mw)=6,800
分子量分布(Mw/Mn)=1.61
この高分子化合物をポリマー26とする。

Figure 0005231357
[Synthesis Example 26]
9.5 g of monomer 2, 1.1 g of benzothiophene, 8.9 g of 4-acetoxystyrene, 1.9 g of PAG monomer 8, 0.4 g of 4-hydroxyindole, and 40 g of tetrahydrofuran as a solvent were added to a 2 L flask. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 2: Benzofuran: 4-hydroxystyrene: PAG monomer 8: 4-hydroxyindole = 0.30: 0.08: 0.54: 0.05: 0.03
Weight average molecular weight (Mw) = 6,800
Molecular weight distribution (Mw / Mn) = 1.61
This polymer compound is designated as polymer 26.
Figure 0005231357

[合成例27]
2Lのフラスコにモノマー7の9.2g、ベンゾチオフェン1.1g、4−アセトキシスチレン8.9g、PAGモノマー3の2.8g、4−ヒドロキシインドール0.4g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー7:ベンゾフラン:4−ヒドロキシスチレン:PAGモノマー3:4−ヒドロキシインドール=0.30:0.08:0.54:0.05:0.03
重量平均分子量(Mw)=6,600
分子量分布(Mw/Mn)=1.85
この高分子化合物をポリマー27とする。

Figure 0005231357
[Synthesis Example 27]
To a 2 L flask, 9.2 g of monomer 7, 1.1 g of benzothiophene, 8.9 g of 4-acetoxystyrene, 2.8 g of PAG monomer 3, 0.4 g of 4-hydroxyindole, and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 7: Benzofuran: 4-hydroxystyrene: PAG monomer 3: 4-hydroxyindole = 0.30: 0.08: 0.54: 0.05: 0.03
Weight average molecular weight (Mw) = 6,600
Molecular weight distribution (Mw / Mn) = 1.85
This polymer compound is referred to as polymer 27.
Figure 0005231357

[合成例28]
2Lのフラスコにモノマー8の9.7g、ベンゾチオフェン1.1g、4−アセトキシスチレン8.9g、PAGモノマー3の2.8g、4−ヒドロキシインドール0.4g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体をメタノール100mL、テトラヒドロフラン200mLに再度溶解し、トリエチルアミン10g、水10gを加え、70℃で5時間アセチル基の脱保護反応を行い、酢酸を用いて中和した。反応溶液を濃縮後、アセトン100mLに溶解し、上記と同様の沈殿、濾過、60℃で乾燥を行い、白色重合体を得た。得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
モノマー8:ベンゾフラン:4−ヒドロキシスチレン:PAGモノマー3:4−ヒドロキシインドール=0.30:0.08:0.54:0.05:0.03
重量平均分子量(Mw)=6,800
分子量分布(Mw/Mn)=1.74
この高分子化合物をポリマー28とする。

Figure 0005231357
[Synthesis Example 28]
To a 2 L flask was added 9.7 g of monomer 8, 1.1 g of benzothiophene, 8.9 g of 4-acetoxystyrene, 2.8 g of PAG monomer 3, 0.4 g of 4-hydroxyindole, and 40 g of tetrahydrofuran as a solvent. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution is precipitated in 1 L of isopropyl alcohol, and the resulting white solid is dissolved again in 100 mL of methanol and 200 mL of tetrahydrofuran. 10 g of triethylamine and 10 g of water are added, and the acetyl group is deprotected at 70 ° C. for 5 hours. And neutralized with acetic acid. The reaction solution was concentrated and then dissolved in 100 mL of acetone, followed by precipitation, filtration and drying at 60 ° C. as described above to obtain a white polymer. When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Monomer 8: Benzofuran: 4-hydroxystyrene: PAG monomer 3: 4-hydroxyindole = 0.30: 0.08: 0.54: 0.05: 0.03
Weight average molecular weight (Mw) = 6,800
Molecular weight distribution (Mw / Mn) = 1.74
This polymer compound is referred to as polymer 28.
Figure 0005231357

尚、上記合成例1〜28で用いたモノマー1〜8及びPAGモノマー1〜8を以下に示す。

Figure 0005231357
The monomers 1 to 8 and PAG monomers 1 to 8 used in Synthesis Examples 1 to 28 are shown below.
Figure 0005231357

Figure 0005231357
Figure 0005231357

[比較合成例1]
4−t−ブトキシスチレン:4−ヒドロキシスチレン:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.47:0.15:0.08
重量平均分子量(Mw)=8,900
分子量分布(Mw/Mn)=1.90
この高分子化合物を比較ポリマー1とする。

Figure 0005231357
[Comparative Synthesis Example 1]
4-t-butoxystyrene: 4-hydroxystyrene: methacrylic acid 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl: PAG monomer 3 = 0. 30: 0.47: 0.15: 0.08
Weight average molecular weight (Mw) = 8,900
Molecular weight distribution (Mw / Mn) = 1.90
This polymer compound is referred to as comparative polymer 1.
Figure 0005231357

[比較合成例2]
4−t−ブトキシスチレン:4−ヒドロキシスチレン:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:1−ビニルイミダゾール=0.30:0.51:0.15:0.04
重量平均分子量(Mw)=8,900
分子量分布(Mw/Mn)=1.93
この高分子化合物を比較ポリマー2とする。

Figure 0005231357
[Comparative Synthesis Example 2]
4-t-butoxystyrene: 4-hydroxystyrene: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: 1-vinylimidazole = 0 .30: 0.51: 0.15: 0.04
Weight average molecular weight (Mw) = 8,900
Molecular weight distribution (Mw / Mn) = 1.93
This polymer compound is referred to as comparative polymer 2.
Figure 0005231357

[比較合成例3]
4−t−ブトキシスチレン:4−ヒドロキシスチレン:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル=0.30:0.50:0.20
重量平均分子量(Mw)=9,200
分子量分布(Mw/Mn)=1.99
この高分子化合物を比較ポリマー3とする。

Figure 0005231357
[Comparative Synthesis Example 3]
4-t-butoxystyrene: 4-hydroxystyrene: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate = 0.30: 0. 50: 0.20
Weight average molecular weight (Mw) = 9,200
Molecular weight distribution (Mw / Mn) = 1.99
This polymer compound is referred to as comparative polymer 3.
Figure 0005231357

[実施例1〜30、比較例1〜3]
上記で合成した高分子化合物を用いて、界面活性剤として3M社製界面活性剤のFC−4430を100ppmを溶解させた溶媒に表1に示される組成で溶解させた溶液を、0.2μmサイズのフィルターで濾過してポジ型レジスト材料を調製した。
表1中の各組成は次の通りである。
ポリマー1〜28:合成例1〜28
比較ポリマー1〜3:比較合成例1〜3
有機溶剤:PGMEA(プロピレングリコールモノメチルエーテルアセテート)
CyH(シクロヘキサノン)
PGME(プロピレングリコールモノメチルエーテル)
酸発生剤:PAG1(下記構造式参照)
塩基性化合物:Amine1、Amine2(下記構造式参照)
[Examples 1-30, Comparative Examples 1-3]
Using the polymer compound synthesized above, a solution obtained by dissolving FC-4430, a surfactant manufactured by 3M, as a surfactant in a solvent in which 100 ppm is dissolved with a composition shown in Table 1, is 0.2 μm in size. The positive resist material was prepared by filtering with a filter.
Each composition in Table 1 is as follows.
Polymers 1-28: Synthesis Examples 1-28
Comparative polymers 1-3: Comparative synthesis examples 1-3
Organic solvent: PGMEA (propylene glycol monomethyl ether acetate)
CyH (cyclohexanone)
PGME (propylene glycol monomethyl ether)
Acid generator: PAG1 (see structural formula below)
Basic compounds: Amine 1 and Amine 2 (see the structural formula below)

Figure 0005231357
Figure 0005231357

電子ビーム描画評価
表1中に示されるレジスト材料を直径6インチのSi基板上に、クリーントラックMark5(東京エレクトロン社製)を用いてスピンコートし、ホットプレート上で110℃で60秒間プリベークして80nmのレジスト膜を作製した。これに、日立製作所HL−800Dを用いてHV電圧50keVで真空チャンバー内描画を行った。
描画後直ちにクリーントラックMark5(東京エレクトロン社製)を用いてホットプレート上で60秒間ポストエクスポージャベーク(PEB)を行い、2.38質量%のTMAH水溶液で30秒間パドル現像を行い、ポジ型のパターンを得た。
得られたレジストパターンを次のように評価した。
120nmのラインアンドスペースを1:1で解像する露光量における、最小の寸法を解像力とし、120nmLSのエッジラフネスをSEMで測定した。
レジスト組成とEB露光における感度、解像度、及びエッジラフネスの結果を表1に示す。

Figure 0005231357
Electron beam drawing evaluation The resist material shown in Table 1 was spin-coated on a 6-inch diameter Si substrate using a clean track Mark 5 (manufactured by Tokyo Electron), and prebaked at 110 ° C. for 60 seconds on a hot plate. An 80 nm resist film was prepared. For this, drawing in a vacuum chamber was performed at an HV voltage of 50 keV using a Hitachi HL-800D.
Immediately after drawing, post-exposure baking (PEB) is performed on a hot plate for 60 seconds using a clean track Mark 5 (manufactured by Tokyo Electron), paddle development is performed for 30 seconds with a 2.38 mass% TMAH aqueous solution, Got a pattern.
The obtained resist pattern was evaluated as follows.
The minimum dimension at the exposure amount for resolving 120 nm line and space at 1: 1 was taken as the resolving power, and 120 nm LS edge roughness was measured by SEM.
Table 1 shows the resist composition and the results of sensitivity, resolution, and edge roughness in EB exposure.
Figure 0005231357

表1の結果より、本発明のレジスト材料は、十分な解像力と適度な感度を有し、エッジラフネスも十分に小さいことがわかった。
一方、比較例のレジスト材料は、十分な解像力と感度を有しているものの、エッジラフネスは、本発明のレジスト材料に比べてかなり大きい結果となった。
From the results in Table 1, it was found that the resist material of the present invention has sufficient resolution and appropriate sensitivity, and the edge roughness is sufficiently small.
On the other hand, although the resist material of the comparative example has sufficient resolution and sensitivity, the edge roughness was considerably larger than that of the resist material of the present invention.

即ち、本発明のレジスト材料のように、該レジスト材料を組成する高分子化合物として、酸不安定基を有する繰り返し単位、ポリマー型の酸発生剤、及びポリマー型のアミン化合物を共重合したものを含むものであれば、高解像度、高感度で、かつラインエッジラフネスも小さいため、超LSI用レジスト材料、マスクパターン形成材料等とし非常に有効に用いることができるといえる。   That is, as a polymer compound constituting the resist material, as in the resist material of the present invention, a copolymer obtained by copolymerizing a repeating unit having an acid labile group, a polymer type acid generator, and a polymer type amine compound. If it is included, it can be said that it can be used very effectively as a resist material for VLSI, a mask pattern forming material, and the like because it has high resolution, high sensitivity, and low line edge roughness.

尚、本発明は、上記実施形態に限定されるものではない。上記実施形態は、例示であり、本発明の特許請求の範囲に記載された技術的思想と実質的に同一な構成を有し、同様な作用効果を奏するものは、いかなるものであっても本発明の技術的範囲に包含される。   The present invention is not limited to the above embodiment. The above-described embodiment is an exemplification, and the present invention has substantially the same configuration as the technical idea described in the claims of the present invention, and any device that exhibits the same function and effect is the present invention. It is included in the technical scope of the invention.

Claims (7)

少なくとも、下記一般式(1)で示される、酸不安定基で置換されたフェノール性水酸基を有する繰り返し単位a、スルホニウム塩を有する繰り返し単位b1及びb2のいずれか1つ以上、アミノ基を有する繰り返し単位cを有する高分子化合物を含むことを特徴とするレジスト材料。
Figure 0005231357
(式中、R、R、R、R12はそれぞれ独立に、水素原子、メチル基、フッ素原子、又はトリフルオロメチル基を表す。Rは酸不安定基を表す。Rは炭素数1〜10のアルキレン基又は炭素数6〜10のアリーレン基であり、フッ素原子、トリフルオロメチル基、エステル基、エーテル基、ラクトン環を有していても良い。R、R、R、R、R10、R11は同一、又は異種の炭素数1〜12の直鎖状、分岐状もしくは環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表し、炭素数1〜10のアルキル基、アルコキシ基、アルコキシカルボニル基、カーボネート基、およびハロゲン原子、シアノ基、ヒドロキシル基、カルボキシル基を置換基として有していても良い。Yは単結合、メチレン基、フッ素原子もしくはトリフルオロメチル基で置換されたアリーレン基、−C(=O)−O−R17−である。R17はフッ素原子もしくはトリフルオロメチル基で置換されたアリーレン基である。R13は単結合、又は炭素数1〜10の直鎖状、分岐状もしくは環状のアルキレン基、R14、R15はそれぞれ独立に、水素原子、炭素数1〜20直鎖状、分岐状もしくは環状のアルキル基、t−ブトキシカルボニル基、又はt−アロキシカルボニル基で、エーテル結合、チオエーテル結合、ヒドロキシル基、ホルミル基、アセトキシ基、シアノ基、芳香族基を有していてもよく、R13とR14、R13とR15、R14とR15がそれぞれ結合して環を形成していても良い。R16は水素原子又はR15と結合して環を形成していても良い。Zは単結合、メチレン基、アリーレン基、−O−、−C(=O)−O−又は−C(=O)−O−R18−C(=O)−O−、である。R18は単結合、又は炭素数1〜10の直鎖状、分岐状もしくは環状のアルキレン基である。0<a<1.0、0≦b1≦0.3、0≦b2≦0.3、0<b1+b2≦0.3、0<c≦0.5の範囲である。)
At least one of repeating unit a having a phenolic hydroxyl group substituted with an acid labile group represented by the following general formula (1), repeating unit b1 and b2 having a sulfonium salt, repeating having an amino group A resist material comprising a polymer compound having a unit c.
Figure 0005231357
(In the formula, R 1 , R 3 , R 8 and R 12 each independently represents a hydrogen atom, a methyl group, a fluorine atom or a trifluoromethyl group. R 2 represents an acid labile group. R 4 represents an alkylene group or an arylene group having 6 to 10 carbon atoms having 1 to 10 carbon atoms, a fluorine atom, a trifluoromethyl group, an ester group, an ether group, which may have a lactone ring .R 5, R 6, R 7 , R 9 , R 10 and R 11 are the same or different, linear, branched or cyclic alkyl groups having 1 to 12 carbon atoms, and may contain a carbonyl group, an ester group or an ether group. Or an aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, or a thiophenyl group, an alkyl group having 1 to 10 carbon atoms, an alkoxy group, an alkoxycarbonyl group, a carbonate group, and May have a gen atom, a cyano group, a hydroxyl group, or a carboxyl group as a substituent, Y is a single bond, an methylene group, an arylene group substituted with a fluorine atom or a trifluoromethyl group, -C (= O) -O-R 17 - is .R 17 is fluorine atom or a substituted arylene group a trifluoromethyl group .R 13 is a single bond or a straight, branched or cyclic alkylene group, R 14, R 15 are each independently a hydrogen atom, C 1 -C 20 straight, branched or cyclic alkyl group, t-butoxycarbonyl group, or t-a Mi butyloxycarbonyl group, an ether May have a bond, a thioether bond, a hydroxyl group, a formyl group, an acetoxy group, a cyano group or an aromatic group, and R 13 and R 14 , R 13 and R 15 R 14 and R 15 may be bonded to form a ring, R 16 may be bonded to a hydrogen atom or R 15 to form a ring, and Z is a single bond, methylene group, arylene. A group, —O—, —C (═O) —O— or —C (═O) —O—R 18 —C (═O) —O—, wherein R 18 is a single bond or 1 carbon atom. A linear, branched or cyclic alkylene group of from 0 to 10. 0 <a <1.0, 0 ≦ b1 ≦ 0.3, 0 ≦ b2 ≦ 0.3, 0 <b1 + b2 ≦ 0.3, 0 <C ≦ 0.5.)
前記レジスト材料が、化学増幅ポジ型レジスト材料であることを特徴とする請求項1に記載のレジスト材料。   The resist material according to claim 1, wherein the resist material is a chemically amplified positive resist material. 前記レジスト材料が、有機溶剤、溶解阻止剤、酸発生剤、塩基性化合物、及び界面活性剤のいずれか1つ以上を含有するものであることを特徴とする請求項1又は請求項2に記載のレジスト材料。   3. The resist material according to claim 1, wherein the resist material contains one or more of an organic solvent, a dissolution inhibitor, an acid generator, a basic compound, and a surfactant. Resist material. 少なくとも、請求項1乃至請求項3のいずれか1項に記載のレジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法。   At least a step of applying the resist material according to any one of claims 1 to 3 on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer. A pattern forming method comprising: 前記高エネルギー線で露光する工程において、波長3〜15nmの真空紫外線を光源として用いることを特徴とする請求項4に記載のパターン形成方法。   5. The pattern forming method according to claim 4, wherein in the step of exposing with the high energy beam, vacuum ultraviolet rays having a wavelength of 3 to 15 nm are used as a light source. 前記高エネルギー線で露光する工程において、加速電圧50keV以下の加速電圧電子ビームを光源として用いることを特徴とする請求項4に記載のパターン形成方法。   5. The pattern forming method according to claim 4, wherein an accelerating voltage electron beam having an accelerating voltage of 50 keV or less is used as a light source in the step of exposing with the high energy beam. 前記加速電圧電子ビームを、加速電圧10keV以下の低加速電圧電子ビームとすることを特徴とする請求項6に記載のパターン形成方法。   The pattern forming method according to claim 6, wherein the acceleration voltage electron beam is a low acceleration voltage electron beam having an acceleration voltage of 10 keV or less.
JP2009187136A 2009-08-12 2009-08-12 Resist material and pattern forming method using the same Active JP5231357B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009187136A JP5231357B2 (en) 2009-08-12 2009-08-12 Resist material and pattern forming method using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009187136A JP5231357B2 (en) 2009-08-12 2009-08-12 Resist material and pattern forming method using the same

Publications (2)

Publication Number Publication Date
JP2011039315A JP2011039315A (en) 2011-02-24
JP5231357B2 true JP5231357B2 (en) 2013-07-10

Family

ID=43767145

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009187136A Active JP5231357B2 (en) 2009-08-12 2009-08-12 Resist material and pattern forming method using the same

Country Status (1)

Country Link
JP (1) JP5231357B2 (en)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5572345B2 (en) * 2009-08-24 2014-08-13 東京応化工業株式会社 Positive resist composition and resist pattern forming method
JP5624833B2 (en) * 2010-01-29 2014-11-12 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, resist film using the same, and pattern formation method
JP5589019B2 (en) * 2011-06-14 2014-09-10 富士フイルム株式会社 Actinic ray sensitive or radiation sensitive resin composition, actinic ray sensitive or radiation sensitive film using the same, and pattern forming method
JP5593276B2 (en) * 2011-06-30 2014-09-17 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition, actinic ray-sensitive or radiation-sensitive film and pattern forming method using the same
JP5601286B2 (en) * 2011-07-25 2014-10-08 信越化学工業株式会社 Resist material and pattern forming method using the same
JP5733167B2 (en) 2011-11-17 2015-06-10 信越化学工業株式会社 Negative pattern forming method and negative resist composition
JP5764480B2 (en) * 2011-11-25 2015-08-19 東京応化工業株式会社 Resist composition, resist pattern forming method, and polymer compound
JP5755585B2 (en) * 2012-02-29 2015-07-29 富士フイルム株式会社 Photosensitive resin composition, method for producing cured film, cured film, organic EL display device and liquid crystal display device
JP6020347B2 (en) * 2012-06-04 2016-11-02 信越化学工業株式会社 Polymer compound, resist material, and pattern forming method
CN112639620A (en) * 2018-09-07 2021-04-09 富士胶片株式会社 Actinic-ray-or radiation-sensitive resin composition, resist film, pattern formation method, and method for manufacturing electronic device
CN111205385A (en) * 2020-02-28 2020-05-29 宁波南大光电材料有限公司 Modified film-forming resin containing acid inhibitor, preparation method thereof and photoresist composition
KR102583285B1 (en) * 2021-02-15 2023-09-26 주식회사 오라스 A photosensitive polymers and resist composition having the same

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4849268B2 (en) * 2007-10-18 2012-01-11 信越化学工業株式会社 Resist material and pattern forming method using the same
JP4822020B2 (en) * 2007-12-17 2011-11-24 信越化学工業株式会社 Positive resist material and pattern forming method using the same
JP5525744B2 (en) * 2009-03-30 2014-06-18 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
JP2011033839A (en) * 2009-07-31 2011-02-17 Fujifilm Corp Actinic ray- or radiation-sensitive resin composition and pattern forming method using the same

Also Published As

Publication number Publication date
JP2011039315A (en) 2011-02-24

Similar Documents

Publication Publication Date Title
JP5318697B2 (en) Resist material and pattern forming method using the same
JP5231357B2 (en) Resist material and pattern forming method using the same
JP5708518B2 (en) Resist material and pattern forming method using the same
JP5407941B2 (en) Positive resist material and pattern forming method using the same
JP5463963B2 (en) Positive resist material and pattern forming method using the same
JP5464131B2 (en) Chemically amplified resist material and pattern forming method using the same
JP5565293B2 (en) Positive resist material and pattern forming method using the same
JP5987802B2 (en) Positive resist material and pattern forming method using the same
JP5601309B2 (en) Positive resist material and pattern forming method using the same
JP5407892B2 (en) Positive resist material and pattern forming method using the same
JP5954269B2 (en) Positive resist material and pattern forming method using the same
JP6052207B2 (en) Positive resist material and pattern forming method using the same
JP6020477B2 (en) Positive resist material and pattern forming method using the same
JP5233976B2 (en) Positive resist material and pattern forming method using the same
JP5954253B2 (en) Resist material, pattern forming method using the same, and polymer compound
JP5402651B2 (en) Positive resist material and pattern forming method using the same
JP5628093B2 (en) Positive resist material and pattern forming method using the same
JP5954252B2 (en) Resist material and pattern forming method using the same
JP6028687B2 (en) Positive resist material, polymerizable monomer, polymer compound and pattern forming method using the same
JP6044566B2 (en) Positive resist material and pattern forming method using the same
JP5920288B2 (en) Positive resist material and pattern forming method using the same
JP6044557B2 (en) Positive resist material and pattern forming method using the same
JP6003833B2 (en) Positive resist material, polymerizable monomer, polymer compound and pattern forming method using the same
JP6028744B2 (en) Positive resist material and pattern forming method using the same
JP2011128298A (en) Positive type resist material and pattern forming method using the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110826

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121024

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121030

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121213

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130305

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130321

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160329

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5231357

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150