JP4849268B2 - Resist material and pattern forming method using the same - Google Patents

Resist material and pattern forming method using the same Download PDF

Info

Publication number
JP4849268B2
JP4849268B2 JP2007271429A JP2007271429A JP4849268B2 JP 4849268 B2 JP4849268 B2 JP 4849268B2 JP 2007271429 A JP2007271429 A JP 2007271429A JP 2007271429 A JP2007271429 A JP 2007271429A JP 4849268 B2 JP4849268 B2 JP 4849268B2
Authority
JP
Japan
Prior art keywords
group
carbon atoms
branched
linear
sulfonate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2007271429A
Other languages
Japanese (ja)
Other versions
JP2009098509A (en
Inventor
畠山  潤
洋一 大澤
誠一郎 橘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2007271429A priority Critical patent/JP4849268B2/en
Publication of JP2009098509A publication Critical patent/JP2009098509A/en
Application granted granted Critical
Publication of JP4849268B2 publication Critical patent/JP4849268B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Description

本発明は、半導体素子などの製造工程における微細加工、例えば波長193nmのArFエキシマレーザーを光源としたフォトリソグラフィーで用いるレジスト材料、及びこれを用いたレジストパターンの形成方法に関するものである。   The present invention relates to a resist material used in fine processing in a manufacturing process of a semiconductor element, for example, photolithography using an ArF excimer laser having a wavelength of 193 nm as a light source, and a resist pattern forming method using the same.

近年、LSIの高集積化と高速度化に伴い、パターンルールの微細化が求められている中、現在汎用技術として用いられている光露光では、光源の波長に由来する本質的な解像度の限界に近づきつつある。   In recent years, with the higher integration and higher speed of LSIs, there is a demand for finer pattern rules. In light exposure currently used as a general-purpose technology, the intrinsic resolution limit derived from the wavelength of the light source Is approaching.

これまでレジストパターン形成の際に使用する露光光として、水銀灯のg線(436nm)もしくはi線(365nm)を光源とする光露光が広く用いられた。そして、更なる微細化のための手段として、露光波長を短波長化する方法が有効とされ、64Mビット(加工寸法が0.25μm以下)DRAM(ダイナミック・ランダム・アクセス・メモリー)以降の量産プロセスには、露光光源としてi線(365nm)に代わって短波長のKrFエキシマレーザー(248nm)が利用された。   Conventionally, light exposure using a g-ray (436 nm) or i-line (365 nm) of a mercury lamp as a light source has been widely used as exposure light used in forming a resist pattern. As a means for further miniaturization, a method of shortening the exposure wavelength is effective, and a mass production process after 64 Mbit (process size is 0.25 μm or less) DRAM (Dynamic Random Access Memory). In this case, a short wavelength KrF excimer laser (248 nm) was used as an exposure light source in place of i-line (365 nm).

しかし、更に微細な加工技術(加工寸法が0.2μm以下)を必要とする集積度256M及び1G以上のDRAMの製造には、より短波長の光源が必要とされ、10年ほど前からArFエキシマレーザー(193nm)を用いたフォトリソグラフィーが本格的に検討されてきた。   However, in order to manufacture DRAMs with a density of 256M and 1G or more that require finer processing technology (processing dimensions of 0.2 μm or less), a light source with a shorter wavelength is required, and an ArF excimer has been used for about 10 years. Photolithography using a laser (193 nm) has been studied in earnest.

当初ArFリソグラフィーは180nmノードのデバイス作製から適用されるはずであったが、KrFエキシマリソグラフィーは130nmノードデバイス量産まで延命され、ArFリソグラフィーの本格適用は90nmノードからである。更に、NAを0.9にまで高めたレンズと組み合わせて65nmノードデバイスの検討が行われている。   Initially, ArF lithography was supposed to be applied from the device fabrication of the 180 nm node, but KrF excimer lithography was extended to 130 nm node device mass production, and full-scale application of ArF lithography is from the 90 nm node. Further, a 65 nm node device is being studied in combination with a lens whose NA is increased to 0.9.

次の45nmノードデバイスには露光波長の短波長化が推し進められ、波長157nmのF2リソグラフィーが候補に挙がった。しかしながら、投影レンズに高価なCaF2単結晶を大量に用いることによるスキャナーのコストアップ、ソフトペリクルの耐久性が極めて低いためのハードペリクル導入に伴う光学系の変更、レジストのエッチング耐性低下等の種々の問題により、F2リソグラフィーの先送りと、ArF液浸リソグラフィーの早期導入が提唱された(非特許文献1:Proc. SPIE Vol. 4690 xxix (2002)参照)。 For the next 45 nm node device, the exposure wavelength has been shortened, and F 2 lithography with a wavelength of 157 nm was nominated. However, various factors such as an increase in the cost of the scanner by using a large amount of expensive CaF 2 single crystal for the projection lens, a change in the optical system due to the introduction of a hard pellicle because the durability of the soft pellicle is extremely low, and a reduction in resist etching resistance Because of this problem, it was proposed that F 2 lithography be advanced and early introduction of ArF immersion lithography (see Non-Patent Document 1: Proc. SPIE Vol. 4690 xxix (2002)).

ArF液浸リソグラフィーにおいて、投影レンズとウエハーの間に水を含浸させることが提案されている。193nmにおける水の屈折率は1.44であり、NA1.0以上のレンズを使ってもパターン形成が可能で、理論上はNAを1.35にまで上げることができる。NAの向上分だけ解像力が向上し、NA1.2以上のレンズと強い超解像技術の組み合わせで45nmノードの可能性が示されている(非特許文献2:Proc. SPIE Vol. 5040 p724参照)。   In ArF immersion lithography, it has been proposed to impregnate water between the projection lens and the wafer. The refractive index of water at 193 nm is 1.44, and it is possible to form a pattern using a lens having an NA of 1.0 or more. Theoretically, the NA can be increased to 1.35. The resolution is improved by the improvement of NA, and the possibility of a 45 nm node is shown by a combination of a lens of NA 1.2 or higher and strong super-resolution technology (see Non-Patent Document 2: Proc. SPIE Vol. 5040 p724). .

ここで、レジスト膜の上に水が存在することによる様々な問題が指摘された。即ち、レジスト組成物中の光酸発生剤や、光照射により発生した酸、クエンチャーとしてレジスト膜に添加されているアミン化合物が接触している水に溶出してしまうこと(リーチング)によるパターン形状変化、フォトレジスト膜の水膨潤によるパターン倒れなどが挙げられる。   Here, various problems due to the presence of water on the resist film have been pointed out. In other words, the photo acid generator in the resist composition, the acid generated by light irradiation, and the amine compound added to the resist film as a quencher elutes in the water in contact (leaching), resulting in a pattern shape Examples thereof include a change and pattern collapse due to water swelling of the photoresist film.

特に、レジスト組成物の水への溶出については、当初は露光装置の投影レンズへの汚染防止の観点から検討が開始され、複数の露光装置メーカーより溶出量規格が提案された。   In particular, with regard to elution of the resist composition into water, studies were initially started from the viewpoint of preventing contamination of the projection lens of the exposure apparatus, and a plurality of exposure apparatus manufacturers proposed elution amount standards.

この問題を解決する方法として、レジスト膜と水との間にパーフルオロアルキル化合物から成る保護膜を設けることが有効であることが提案されている(非特許文献3:2nd Immersion Work Shop, July 11, 2003, Resist and Cover Material Investigation for Immersion Lithography参照)。   As a method for solving this problem, it has been proposed that it is effective to provide a protective film made of a perfluoroalkyl compound between a resist film and water (Non-Patent Document 3: 2nd Immersion Work Shop, July 11). , 2003, Resist and Cover Material Investigation for Immersion Lithography).

これらの保護膜を形成することで、フォトレジスト膜と水との直接的な接触を避けることができるため、フォトレジスト組成物の水への溶出を抑えることが可能となる。   By forming these protective films, direct contact between the photoresist film and water can be avoided, so that elution of the photoresist composition into water can be suppressed.

しかし、上記パーフルオロアルキル化合物から成る保護膜は、塗布膜厚を制御するための希釈液にはフロン等が用いられており、周知の通りフロンは現在環境保全の観点からその使用が問題となっている。また、フォトレジスト膜の現像前に、この保護膜をフロンで剥離しなければならないため、従来装置に保護膜専用の塗布、及び剥離用ユニットを増設しなければならないことや、フロン系溶剤のコストがかさむことなど実用面での問題が大きかった。   However, in the protective film made of the perfluoroalkyl compound, chlorofluorocarbon or the like is used as a diluent for controlling the coating thickness, and as is well known, chlorofluorocarbon is currently problematic for use from the viewpoint of environmental protection. ing. In addition, since this protective film must be peeled off with chlorofluorocarbon before development of the photoresist film, it is necessary to add a coating unit and a peeling unit exclusively for the protective film to the conventional apparatus, and the cost of chlorofluorocarbon solvents. There were many problems in practical use, such as being voluminous.

これら溶剤剥離型の保護膜使用に伴う実用面での欠点を軽減する手段として、アルカリ現像液可溶型の保護膜が提案されている(特許文献1:特開2005−264131号公報参照)。   As means for reducing the practical disadvantages associated with the use of these solvent-peeling protective films, alkali developer-soluble protective films have been proposed (see Japanese Patent Application Laid-Open No. 2005-264131).

このようなアルカリ現像液可溶型の保護膜は、フォトレジスト膜の現像工程で同時に溶解除去ができるため、保護膜剥離工程の追加や専用の剥離ユニットを必要としないという点で画期的であるといえる。   Such an alkaline developer-soluble protective film can be dissolved and removed at the same time in the development process of the photoresist film, which is revolutionary in that it does not require an additional protective film peeling process or a special peeling unit. It can be said that there is.

一方、現在までに上市されているArF液浸露光装置は、いずれもレジスト膜の塗布された基板全体を水中に浸漬するのではなく、投影レンズとウエハーとの間に部分的に水を保持し、ウエハーの載ったステージを300〜550mm毎秒の速度で走査しながら露光する方式となっている。このように高速な走査により、投影レンズとウエハーとの間に水を保持していることができず、走査後のフォトレジスト表面又は保護膜表面に液滴を残してしまうという問題が生じている。このように液滴を残すとパターン形成不良を誘発すると考えられている。   On the other hand, all ArF immersion exposure apparatuses marketed to date do not immerse the entire substrate coated with a resist film in water, but hold water partially between the projection lens and the wafer. In this method, exposure is performed while scanning the stage on which the wafer is placed at a speed of 300 to 550 mm per second. As described above, there is a problem that water cannot be held between the projection lens and the wafer due to high-speed scanning, and droplets remain on the surface of the photoresist or the protective film after scanning. . It is believed that leaving the droplets in this way induces pattern formation defects.

露光走査後のフォトレジスト表面又は保護膜表面への液滴残りを解消するためには、これらの塗布膜上での水の動き易さを改善する必要がある。液浸露光による欠陥数を減少させるためには、フォトレジスト膜又は保護膜上の水滴を移動させた時の後退接触角を高くすることが有効であることが示されている(非特許文献4:2nd International Symposium on Immersion Lithography, 12−15/Sept.,2005, Defectivity data taken with a full−field immersion exposure tool, Nakano et., al.参照)。後退接触角の測定方法としては、基板を傾ける転落法と水を吸い込む吸引法が挙げられるが、転落法が一般的に用いられている。   In order to eliminate the remaining droplets on the photoresist surface or the protective film surface after exposure scanning, it is necessary to improve the ease of movement of water on these coating films. In order to reduce the number of defects due to immersion exposure, it has been shown that it is effective to increase the receding contact angle when water droplets on the photoresist film or the protective film are moved (Non-Patent Document 4). : 2nd International Symposium on Immersion Lithography, 12-15 / Sept., 2005, Defective data take with the full-field immersion exp., Et al. As a method for measuring the receding contact angle, there are a falling method of tilting the substrate and a suction method of sucking water, and the falling method is generally used.

現像後のレジスト膜上に発生するブロッブとよばれる残渣欠陥が問題となっている。これは、現像後のリンス時に析出した保護膜あるいはレジスト材料がレジスト膜上に再付着したものと考えられており、現像後のレジスト膜の疎水性が高い場合に顕著に発生する。保護膜を用いた液浸リソグラフィー用のレジストにおいて、保護膜とレジスト膜との混合によって、現像後のレジスト膜表面に疎水性の高い保護膜が残存し、レジスト膜上にブロッブ欠陥が発生する。現像後に保護膜が残らないように、保護膜とレジスト膜とのミキシングを防いでやる必要がある。   Residual defects called blobs occurring on the resist film after development have been a problem. This is considered that the protective film or resist material deposited at the time of rinsing after development is redeposited on the resist film, and occurs remarkably when the developed resist film is highly hydrophobic. In a resist for immersion lithography using a protective film, a protective film with high hydrophobicity remains on the resist film surface after development due to mixing of the protective film and the resist film, and a blob defect occurs on the resist film. It is necessary to prevent mixing between the protective film and the resist film so that the protective film does not remain after development.

レジストパターンのラインエッジラフネスが問題になっている。微細化が進行すると共に現像後のフォトレジストのエッジラフネスがエッチング後のエッジラフネスに反映し、デバイス特性に支障を来すことが指摘されている。レジストのエッジラフネスを低減するためにポリマー分子量の最適化、狭分子量ポリマー及びこれを重合するためのリビングアニオン重合やリビングラジカル重合、重合速度を均一化させるための滴下重合法や重合速度の速いラクトンモノマーを重合速度のやや遅いアクリルにすることによる重合速度の均一化、ヘキサフルオロアルコール基を密着性基として有する繰り返し単位の導入による現像時の膨潤防止などのポリマーからの改善が提案されている。酸発生剤とクエンチャーの塩基化合物の増量によるコントラストアップも有効な手段ではあるが、酸発生剤の増量は透明性が低下するためにレジスト形状がテーパーになったり、酸拡散距離が増大するために依る露光マージンの低下やマスクエラーファクター(MEEF)の増大などの問題を孕んでいる。   The line edge roughness of the resist pattern is a problem. It has been pointed out that as the miniaturization progresses, the edge roughness of the photoresist after development is reflected in the edge roughness after etching, which impedes device characteristics. Optimization of polymer molecular weight to reduce resist edge roughness, narrow molecular weight polymer, living anion polymerization and living radical polymerization to polymerize this, drop polymerization method to make polymerization rate uniform and lactone with high polymerization rate There have been proposed improvements from polymers such as homogenization of the polymerization rate by making the monomer a somewhat slow polymerization rate, and prevention of swelling during development by introducing a repeating unit having a hexafluoroalcohol group as an adhesive group. Increasing the contrast by increasing the amount of acid generator and quencher base compound is also an effective means, but increasing the amount of acid generator decreases the transparency, resulting in a tapered resist shape and increased acid diffusion distance. Therefore, there are problems such as a decrease in exposure margin and an increase in mask error factor (MEEF).

電子線露光リソグラフィーにおいて、露光中のレジストのチャージアップが問題になっている。チャージアップを防ぐために、フォトレジスト上に水溶性の帯電防止膜を形成することが行われている。帯電防止膜としては、水溶性ポリマーにスルホン酸のアミン塩等が添加された材料が用いられている。電子ビーム露光で発生したマイナス電荷は、帯電防止膜を通してウエハーチャックのアースへと移動し放出されていく。ところが、フォトレジスト膜上に帯電防止膜を形成する場合、帯電防止膜を塗布するという新たなプロセスの追加と、帯電防止膜材料のコストが増えることとなり、好ましくない。帯電防止膜を必要としない帯電防止機能を有するフォトレジストの開発が望まれている。   In electron beam exposure lithography, charge-up of a resist during exposure is a problem. In order to prevent charge-up, a water-soluble antistatic film is formed on a photoresist. As the antistatic film, a material in which an amine salt of sulfonic acid or the like is added to a water-soluble polymer is used. The negative charge generated by the electron beam exposure moves to the ground of the wafer chuck through the antistatic film and is released. However, when an antistatic film is formed on a photoresist film, the addition of a new process of applying the antistatic film and the cost of the antistatic film material increase, which is not preferable. Development of a photoresist having an antistatic function that does not require an antistatic film is desired.

ラインアンドスペースパターンの周辺部が露光される明パターンと、周辺部が露光されない暗パターンとでパターンの形状が異なることが報告されている。パターンの周辺部が露光されていると周辺部から発生した酸がPEB中に蒸発しパターン部分までも覆いつくすことでラインパターンの膜減りが生じる。一方、周辺部が露光されていない場合は周辺部からの酸の供給が無く、逆にアミンの蒸発によってラインパターンが頭張り形状となるのである。   It has been reported that the pattern shape is different between a bright pattern in which the periphery of the line and space pattern is exposed and a dark pattern in which the periphery is not exposed. When the peripheral portion of the pattern is exposed, the acid generated from the peripheral portion evaporates in the PEB and covers the pattern portion, thereby reducing the film thickness of the line pattern. On the other hand, when the peripheral portion is not exposed, there is no supply of acid from the peripheral portion, and conversely, the line pattern becomes a head shape due to evaporation of the amine.

この形状の違いはダークブライト差とよばれ、液浸リソグラフィーだけでなくドライリソグラフィーや電子線等の真空中のリソグラフィーにおいても同様の現象が発生する。レジスト上に保護膜を付けることによってダークブライト差を低減できる(非特許文献5:Proc. SPIE Vol. 5753 p261 (2005))。   This difference in shape is called a dark bright difference, and the same phenomenon occurs not only in immersion lithography but also in lithography in vacuum such as dry lithography and electron beam. The dark bright difference can be reduced by attaching a protective film on the resist (Non-patent Document 5: Proc. SPIE Vol. 5753 p261 (2005)).

特開2005−264131号公報JP 2005-264131 A Proc. SPIE Vol. 4690 xxix (2002)Proc. SPIE Vol. 4690 xxix (2002) Proc. SPIE Vol. 5040 p724Proc. SPIE Vol. 5040 p724 2nd Immersion Work Shop, July 11, 2003, Resist and Cover Material Investigation for Immersion Lithography2nd Immersion Work Shop, July 11, 2003, Resist and Cover Material Investing for Immersion Lithography 2nd International Symposium on Immersion Lithography, 12−15/Sept.,2005, Defectivity data taken with a full−field immersion exposure tool, Nakano et., al.2nd International Symposium on Immersion Lithography, 12-15 / Sept. , 2005, Defectivity data take with a full-field immersion exposure tool, Nakano et. , Al. 非特許文献:Proc. SPIE Vol. 5753 p261 (2005)Non-patent literature: Proc. SPIE Vol. 5753 p261 (2005)

本発明はこのような問題点に鑑みてなされたもので、塗布後のフォトレジスト表面の改質によって、表面に酸発生剤となるスルホニウム塩とクエンチャーとなるアミノ基を有する膜を形成し、これによってラインエッジラフネスを改善し、ベークによる蒸発の心配がないポリマー型の酸とアミンによってダークブライト差を低減させ、その上に保護膜層を形成した時のレジスト膜と保護膜とのミキシング層の発生を抑え、露光現像後のレジスト表面の親水性を高めることによってブロッブ欠陥の発生を抑えることができ、更に、電子線レジストとした場合は、レジスト膜表面が電荷を帯びることによって電子線露光中に発生したチャージアップを防ぐ効果を与えるレジスト材料及びパターン形成方法を提供することを目的とする。   The present invention has been made in view of such problems, by forming a film having a sulfonium salt as an acid generator and an amino group as a quencher on the surface by modifying the photoresist surface after coating, This improves the line edge roughness, reduces the dark bright difference with polymer-type acid and amine that do not worry about evaporation due to baking, and mixes the resist film with the protective film when the protective film layer is formed on it. The occurrence of blob defects can be suppressed by increasing the hydrophilicity of the resist surface after exposure and development. Furthermore, in the case of an electron beam resist, the resist film surface is charged with an electron beam exposure. It is an object of the present invention to provide a resist material and a pattern forming method that give an effect of preventing charge-up occurring therein.

本発明は、上記課題を解決するためになされたもので、下記レジスト材料及びこれを用いてなるパターン形成方法を提案するものである。
請求項1:
酸によってアルカリ溶解性が向上するベース樹脂となる高分子化合物と、下記一般式(1)で示される高分子材料とを含有することを特徴とするレジスト材料。

Figure 0004849268
(式中、R1、R3、R7はそれぞれ独立に水素原子又はメチル基を示す。nは1又は2であり、n=1の場合、Y1は単結合、−O−R9−、−C(=O)−O−R9−又は−C(=O)−NH−R9−、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基、又はフェニレン基であり、R9は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、炭素数6〜10のアリーレン基、又は炭素数7〜20のアルケニレン基であり、エステル基又はエーテル基を有していてもよい。n=2の場合、Y1は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、炭素数6〜10のアリーレン基、又は炭素数7〜20のアルケニレン基から水素原子が1個脱離した3価の基である。mは1又は2であり、m=1の場合、Y2はフェニレン基、フッ素化されたフェニレン基、−O−R10−、−C(=O)−O−R10−又は−C(=O)−NH−R10−、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基、又はフェニレン基であり、フッ素原子を有していても良く、R10は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、炭素数6〜10のアリーレン基、又は炭素数7〜20のアルケニレン基であり、エステル基又はエーテル基を有していてもよい。m=2の場合、Y2はフェニレン基、−O−R10−、−C(=O)−O−R10−又は−C(=O)−NH−R10−(但し、R10は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、又はフェニレン基)から水素原子が1個脱離した3価の基であり、R2は同一又は異種の炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、炭素数2〜20のアルケニル基、又は炭素数6〜20のアリール基であり、ヒドロキシ基、エーテル基、エステル基、シアノ基、アミノ基、2重結合、又はハロゲン原子を有していてもよく、R2同士が結合してこれらが結合する硫黄原子と共に炭素数3〜20の環を形成してもよい。R4は単結合、又は炭素数1〜12の直鎖状、分岐状又は環状のアルキレン基であり、R5は水素原子、フッ素原子、メチル基、トリフルオロメチル基又はジフルオロメチル基、又はR4と結合してこれらが結合する炭素原子と共に炭素数3〜10の非芳香環を形成してもよく、環の中にエーテル基、フッ素で置換されたアルキレン基又はトリフルオロメチル基を有していてもよい。R6は水素原子又は酸不安定基である。R8はフッ素原子又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、該アルキル基はその水素原子の少なくとも1個がフッ素原子で置換されており、またエーテル基、エステル基、又はスルホンアミド基を有していてもよい。a、b−1、b−2は、0<a<1.0、0≦(b−1)<1.0、0≦(b−2)<1.0、0<(b−1)+(b−2)<1.0、0.5≦a+(b−1)+(b−2)≦1.0である。)
請求項2:
上記一般式(1)で示される繰り返しに加えて、アミノ基を有する繰り返し単位を含むことを特徴とする請求項1記載のレジスト材料。
請求項3:
アミノ基を有する繰り返し単位cが下記一般式(2)で示されることを特徴とする請求項2記載のレジスト材料。
Figure 0004849268
(式中、R11は水素原子又はメチル基を示す。Zは単結合、フェニレン基、−O−R15−、−C(=O)−O−R15−又は−C(=O)−NH−R15−、炭素数1〜4の直鎖状又は分岐状のアルキレン基、又はフェニレン基であり、フッ素原子を有していても良く、R15は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、エステル基又はエーテル基を有していてもよい。R13、R14は水素原子、炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、又は−SO2−R16で、R14とR13が結合してこれらが結合する窒素原子と共に炭素数3〜10の環を形成していても良く、R16は炭素数1〜10の直鎖状、分岐状又は環状のアルキル基であり、フッ素で置換されていても良い。0<c<1.0である。)
請求項4:
化学増幅ポジ型レジスト材料であることを特徴とする請求項1乃至3のいずれか1項に記載のレジスト材料。
請求項5:
前記ベース樹脂としての高分子化合物が、酸不安定基を有する繰り返し単位、及びヒドロキシ基及び/又はラクトン環の密着性基を有する繰り返し単位を含む高分子化合物であることを特徴とする請求項1乃至4のいずれか1項に記載のレジスト材料。
請求項6:
更に、有機溶剤、塩基性化合物、溶解制御剤及び界面活性剤のいずれか1つ以上を含有するものであることを特徴とする請求項1乃至5のいずれか1項に記載のレジスト材料。
請求項7:
請求項1乃至6のいずれか1項に記載のレジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法。
請求項8:
前記高エネルギー線を波長180〜250nmの範囲のものとすることを特徴とする請求項7記載のパターン形成方法。
請求項9:
前記高エネルギー線で露光する工程を液体を介して露光する液浸露光により行うことを特徴とする請求項7又は8記載のパターン形成方法。
請求項10:
前記液浸露光において、フォトレジスト膜と液体の間に保護膜を設けることを特徴とする請求項9記載のパターン形成方法。
請求項11:
前記液浸露光において、フォトレジスト膜と液体の間に設ける保護膜として、α−トリフルオロメチルヒドロキシ基を有する高分子化合物をベースとするアルカリ可溶型保護膜を用いることを特徴とする請求項10記載のパターン形成方法。
請求項12:
前記液浸露光において、180〜250nmの範囲の露光波長を用い、前記レジスト材料と保護膜を塗布した基板と投影レンズの間に液体を挿入し、該液体を介して前記基板を露光することを特徴とする請求項9乃至11のいずれか1項に記載のパターン形成方法。
請求項13:
前記液体として水を用いることを特徴とする請求項9乃至12のいずれか1項に記載のパターン形成方法。 The present invention has been made to solve the above-described problems, and proposes the following resist material and a pattern forming method using the resist material.
Claim 1:
A resist material comprising a polymer compound serving as a base resin whose alkali solubility is improved by an acid, and a polymer material represented by the following general formula (1).
Figure 0004849268
(In the formula, R 1 , R 3 and R 7 each independently represent a hydrogen atom or a methyl group. N is 1 or 2, and when n = 1, Y 1 is a single bond, —O—R 9 —. , -C (= O) -O- R 9 - or -C (= O) -NH-R 9 -, or a linear or branched alkylene group of 1 to 4 carbon atoms, or a phenylene group, R 9 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, or an alkenylene group having 7 to 20 carbon atoms, and has an ester group or an ether group. When n = 2, Y 1 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, or an alkenylene group having 7 to 20 carbon atoms. A trivalent group in which one hydrogen atom is removed from m, m is 1 or 2, and when m = 1, Y 2 is a phenylene group, A fluorinated phenylene group, —O—R 10 —, —C (═O) —O—R 10 — or —C (═O) —NH—R 10 —, or a straight chain having 1 to 4 carbon atoms Or a branched alkylene group or a phenylene group, which may have a fluorine atom, and R 10 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, or a carbon number having 6 to 10 carbon atoms. An arylene group or an alkenylene group having 7 to 20 carbon atoms, which may have an ester group or an ether group, and when m = 2, Y 2 represents a phenylene group, —O—R 10 —, —C ( ═O) —O—R 10 — or —C (═O) —NH—R 10 — (wherein R 10 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, or a phenylene group). Is a trivalent group in which one hydrogen atom is removed from R 2 , and R 2 is the same or different, linear, branched or ring having 1 to 20 carbon atoms. An alkyl group, an alkenyl group having 2 to 20 carbon atoms, or an aryl group having 6 to 20 carbon atoms having a hydroxy group, an ether group, an ester group, a cyano group, an amino group, a double bond, or a halogen atom. R 2 may be bonded to each other to form a ring having 3 to 20 carbon atoms together with the sulfur atom to which R 2 is bonded, and R 4 is a single bond or a straight chain having 1 to 12 carbon atoms. A branched or cyclic alkylene group, and R 5 is a hydrogen atom, a fluorine atom, a methyl group, a trifluoromethyl group, a difluoromethyl group, or a carbon atom having 3 to 3 carbon atoms together with a carbon atom to which R 4 is bonded Ten non-aromatic rings may be formed, and the ring may have an ether group, an alkylene group substituted with fluorine, or a trifluoromethyl group. R 6 is a hydrogen atom or an acid labile group. R 8 is a fluorine atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and at least one hydrogen atom of the alkyl group is substituted with a fluorine atom, and an ether group, It may have an ester group or a sulfonamide group. a, b-1, and b-2 are 0 <a <1.0, 0 ≦ (b-1) <1.0, 0 ≦ (b-2) <1.0, and 0 <(b-1). + (B-2) <1.0, 0.5 ≦ a + (b−1) + (b−2) ≦ 1.0. )
Claim 2:
The resist material according to claim 1, further comprising a repeating unit having an amino group in addition to the repeating represented by the general formula (1).
Claim 3:
The resist material according to claim 2, wherein the repeating unit c having an amino group is represented by the following general formula (2).
Figure 0004849268
(In the formula, R 11 represents a hydrogen atom or a methyl group. Z represents a single bond, a phenylene group, —O—R 15 —, —C (═O) —O—R 15 — or —C (═O) —. NH-R 15 -, a linear or branched alkylene group of 1 to 4 carbon atoms, or a phenylene group which may have a fluorine atom, R 15 represents a linear 1 to 10 carbon atoms A branched or cyclic alkylene group, which may have an ester group or an ether group, wherein R 13 and R 14 are a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. Or —SO 2 —R 16 , R 14 and R 13 may be bonded together to form a ring having 3 to 10 carbon atoms together with the nitrogen atom to which these are bonded, and R 16 may have 1 to 10 carbon atoms. (It is a linear, branched or cyclic alkyl group which may be substituted with fluorine. 0 <c <1.0.)
Claim 4:
The resist material according to claim 1, which is a chemically amplified positive resist material.
Claim 5:
The polymer compound as the base resin is a polymer compound including a repeating unit having an acid labile group and a repeating unit having a hydroxy group and / or an adhesive group of a lactone ring. 5. The resist material according to any one of items 1 to 4.
Claim 6:
The resist material according to any one of claims 1 to 5, further comprising any one or more of an organic solvent, a basic compound, a dissolution controller, and a surfactant.
Claim 7:
It includes a step of applying the resist material according to any one of claims 1 to 6 on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer. A characteristic pattern forming method.
Claim 8:
The pattern forming method according to claim 7, wherein the high energy ray has a wavelength in the range of 180 to 250 nm.
Claim 9:
9. The pattern forming method according to claim 7, wherein the step of exposing with the high energy ray is performed by immersion exposure in which exposure is performed through a liquid.
Claim 10:
The pattern forming method according to claim 9, wherein a protective film is provided between the photoresist film and the liquid in the immersion exposure.
Claim 11:
The alkali-soluble protective film based on a polymer compound having an α-trifluoromethylhydroxy group is used as a protective film provided between the photoresist film and the liquid in the immersion exposure. 10. The pattern forming method according to 10.
Claim 12:
In the immersion exposure, using an exposure wavelength in the range of 180 to 250 nm, inserting a liquid between the substrate coated with the resist material and the protective film and the projection lens, and exposing the substrate through the liquid The pattern forming method according to claim 9, wherein the pattern forming method is a pattern forming method.
Claim 13:
The pattern forming method according to claim 9, wherein water is used as the liquid.

本発明のレジスト材料を用いて形成したフォトレジスト膜は、レジスト膜表面の酸と塩基の濃度を高めることによってコントラストを高めてラインエッジラフネスやダークブライト差を低減し、液浸露光用のレジスト保護膜とのミキシングを防止することによってパターン形状の劣化を防ぐことができる。   The photoresist film formed using the resist material of the present invention enhances the contrast by increasing the acid and base concentrations on the resist film surface, thereby reducing line edge roughness and dark bright difference, and resist protection for immersion exposure. Deterioration of the pattern shape can be prevented by preventing mixing with the film.

以下、本発明の実施の形態について説明するが、本発明はこれらに限定されるものではない。
本発明者らは、上記した問題を解決するため鋭意検討及び研究を重ねた結果、ドライ露光及びフォトレジスト膜の上に保護膜を用いて保護膜と投影レンズの間に水を挿入する液浸リソグラフィー工程、並びに電子ビームリソグラフィーにおいて、特定の高分子化合物(高分子添加剤)を配合して成るフォトレジスト膜が、(1)表面の酸と塩基の濃度が上がることによって現像後のレジストパターンのラインエッジラフネスが低減し、(2)保護膜層とフォトレジスト膜層とのインターミキシングを防止し、及び、(3)現像後のレジスト表面をより親水性化させることによって欠陥の発生を防止し、(4)レジスト表面の吸収が増大することによって基板反射による定在波を低減する効果を見出し、(5)ダークブライト差を低減する高分子化合物(高分子添加剤)の組成、配合を種々検討した結果、本発明を完成させたものである。
Hereinafter, although embodiment of this invention is described, this invention is not limited to these.
As a result of intensive studies and studies to solve the above-described problems, the present inventors have used a dry exposure and a liquid immersion method in which water is inserted between the protective film and the projection lens using a protective film on the photoresist film. In a lithography process and electron beam lithography, a photoresist film formed by blending a specific polymer compound (polymer additive) has the following effects: (1) The resist pattern after development is increased by increasing the concentration of acid and base on the surface. Line edge roughness is reduced, (2) prevents intermixing between the protective film layer and the photoresist film layer, and (3) prevents the occurrence of defects by making the resist surface after development more hydrophilic. (4) Finding the effect of reducing the standing wave due to the substrate reflection by increasing the absorption of the resist surface, and (5) Reducing the dark bright difference Compound result of various studies composition, the formulation of (polymeric additive), in which the present invention has been completed.

即ち、本発明は酸によってアルカリ溶解性が向上するベース樹脂となる高分子化合物と、高分子添加剤として酸発生剤となるスルホニウム塩と、好ましくはクエンチャーとなるアミノ基を有する繰り返し単位と少なくとも1個のフッ素原子を有する繰り返し単位とを共重合した高分子化合物とを含むことを特徴とするレジスト材料である。   That is, the present invention provides a polymer compound serving as a base resin whose alkali solubility is improved by an acid, a sulfonium salt serving as an acid generator as a polymer additive, and preferably a repeating unit having an amino group serving as a quencher, and at least A resist material comprising a polymer compound copolymerized with a repeating unit having one fluorine atom.

酸発生剤となるスルホニウム塩を有する繰り返し単位と少なくとも1個のフッ素原子を有する繰り返し単位は、下記一般式(1)で示されるものが好ましい。

Figure 0004849268
(式中、R1、R3、R7はそれぞれ独立に水素原子又はメチル基を示す。nは1又は2であり、n=1の場合、Y1は単結合、−O−R9−、−C(=O)−O−R9−又は−C(=O)−NH−R9−、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基、又はフェニレン基であり、R9は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、炭素数6〜10のアリーレン基、又は炭素数7〜20のアルケニレン基であり、エステル基又はエーテル基を有していてもよい。n=2の場合、Y1は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、炭素数6〜10のアリーレン基、又は炭素数7〜20のアルケニレン基から水素原子が1個脱離した3価の基である。mは1又は2であり、m=1の場合、Y2はフェニレン基、フッ素化されたフェニレン基、−O−R10−、−C(=O)−O−R10−又は−C(=O)−NH−R10−、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基、又はフェニレン基であり、フッ素原子を有していても良く、R10は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、炭素数6〜10のアリーレン基、又は炭素数7〜20のアルケニレン基であり、エステル基又はエーテル基を有していてもよい。m=2の場合、Y2はフェニレン基、−O−R10−、−C(=O)−O−R10−又は−C(=O)−NH−R10−(但し、R10は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、又はフェニレン基)から水素原子が1個脱離した3価の基であり、R2は同一又は異種の炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、炭素数2〜20のアルケニル基、又は炭素数6〜20のアリール基であり、ヒドロキシ基、エーテル基、エステル基、シアノ基、アミノ基、2重結合、又はハロゲン原子を有していてもよく、R2同士が結合してこれらが結合する硫黄原子と共に炭素数3〜20の環を形成してもよい。R4は単結合、又は炭素数1〜12の直鎖状、分岐状又は環状のアルキレン基であり、R5は水素原子、フッ素原子、メチル基、トリフルオロメチル基又はジフルオロメチル基、又はR4と結合してこれらが結合する炭素原子と共に炭素数3〜10の非芳香環を形成してもよく、環の中にエーテル基、フッ素で置換されたアルキレン基又はトリフルオロメチル基を有していてもよい。R6は水素原子又は酸不安定基である。R8はフッ素原子又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、該アルキル基はその水素原子の少なくとも1個がフッ素原子で置換されており、またエーテル基、エステル基、又はスルホンアミド基を有していてもよい。a、b−1、b−2は、0<a<1.0、0≦(b−1)<1.0、0≦(b−2)<1.0、0<(b−1)+(b−2)<1.0、0.5≦a+(b−1)+(b−2)≦1.0である。) The repeating unit having a sulfonium salt that serves as an acid generator and the repeating unit having at least one fluorine atom are preferably those represented by the following general formula (1).
Figure 0004849268
(In the formula, R 1 , R 3 and R 7 each independently represent a hydrogen atom or a methyl group. N is 1 or 2, and when n = 1, Y 1 is a single bond, —O—R 9 —. , -C (= O) -O- R 9 - or -C (= O) -NH-R 9 -, or a linear or branched alkylene group of 1 to 4 carbon atoms, or a phenylene group, R 9 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, or an alkenylene group having 7 to 20 carbon atoms, and has an ester group or an ether group. When n = 2, Y 1 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, or an alkenylene group having 7 to 20 carbon atoms. A trivalent group in which one hydrogen atom is removed from m, m is 1 or 2, and when m = 1, Y 2 is a phenylene group, A fluorinated phenylene group, —O—R 10 —, —C (═O) —O—R 10 — or —C (═O) —NH—R 10 —, or a straight chain having 1 to 4 carbon atoms Or a branched alkylene group or a phenylene group, which may have a fluorine atom, and R 10 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, or a carbon number having 6 to 10 carbon atoms. An arylene group or an alkenylene group having 7 to 20 carbon atoms, which may have an ester group or an ether group, and when m = 2, Y 2 represents a phenylene group, —O—R 10 —, —C ( ═O) —O—R 10 — or —C (═O) —NH—R 10 — (wherein R 10 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, or a phenylene group). Is a trivalent group in which one hydrogen atom is removed from R 2 , and R 2 is the same or different, linear, branched or ring having 1 to 20 carbon atoms. An alkyl group, an alkenyl group having 2 to 20 carbon atoms, or an aryl group having 6 to 20 carbon atoms having a hydroxy group, an ether group, an ester group, a cyano group, an amino group, a double bond, or a halogen atom. R 2 may be bonded to each other to form a ring having 3 to 20 carbon atoms together with the sulfur atom to which R 2 is bonded, and R 4 is a single bond or a straight chain having 1 to 12 carbon atoms. A branched or cyclic alkylene group, and R 5 is a hydrogen atom, a fluorine atom, a methyl group, a trifluoromethyl group, a difluoromethyl group, or a carbon atom having 3 to 3 carbon atoms together with a carbon atom to which R 4 is bonded Ten non-aromatic rings may be formed, and the ring may have an ether group, an alkylene group substituted with fluorine, or a trifluoromethyl group. R 6 is a hydrogen atom or an acid labile group. R 8 is a fluorine atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and at least one hydrogen atom of the alkyl group is substituted with a fluorine atom, and an ether group, It may have an ester group or a sulfonamide group. a, b-1, and b-2 are 0 <a <1.0, 0 ≦ (b-1) <1.0, 0 ≦ (b-2) <1.0, and 0 <(b-1). + (B-2) <1.0, 0.5 ≦ a + (b−1) + (b−2) ≦ 1.0. )

また、上記繰り返し単位(1)、即ち(a)、(b−1)、(b−2)の単位と共重合できるアミノ基を有する繰り返し単位cは、下記一般式(2)で示されるものが好ましい。

Figure 0004849268
(式中、R11は水素原子又はメチル基を示す。Zは単結合、フェニレン基、−O−R15−、−C(=O)−O−R15−又は−C(=O)−NH−R15−、炭素数1〜4の直鎖状又は分岐状のアルキレン基、又はフェニレン基であり、フッ素原子を有していても良く、R15は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、エステル基又はエーテル基を有していてもよい。R13、R14は水素原子、炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、又は−SO2−R16で、R14とR13が結合してこれらが結合する窒素原子と共に炭素数3〜10の環を形成していても良く、R16は炭素数1〜10の直鎖状、分岐状又は環状のアルキル基であり、フッ素で置換されていても良い。0<c<1.0である。) The repeating unit (1), that is, the repeating unit c having an amino group that can be copolymerized with the units (a), (b-1), and (b-2) is represented by the following general formula (2). Is preferred.
Figure 0004849268
(In the formula, R 11 represents a hydrogen atom or a methyl group. Z represents a single bond, a phenylene group, —O—R 15 —, —C (═O) —O—R 15 — or —C (═O) —. NH-R 15 -, a linear or branched alkylene group of 1 to 4 carbon atoms, or a phenylene group which may have a fluorine atom, R 15 represents a linear 1 to 10 carbon atoms A branched or cyclic alkylene group, which may have an ester group or an ether group, wherein R 13 and R 14 are a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. Or —SO 2 —R 16 , R 14 and R 13 may be bonded together to form a ring having 3 to 10 carbon atoms together with the nitrogen atom to which these are bonded, and R 16 may have 1 to 10 carbon atoms. (It is a linear, branched or cyclic alkyl group which may be substituted with fluorine. 0 <c <1.0.)

本発明は、フォトレジスト材料として一般式(1)で示される酸発生剤となるスルホニウム塩とフルオロアルキル基を有する高分子型の界面活性剤を添加することが特徴である。フォトレジスト成膜後、酸発生剤となるスルホニウム塩とフルオロアルキル基を有する高分子型の界面活性剤は、フォトレジスト膜表面に配向することによって、酸発生剤濃度が高いフォトレジスト表面が形成される。更にアミノ基を有する繰り返し単位を共重合している場合は、露光後に酸とアミンの高い濃度のレジスト表面を形成することができ、現像後のレジストパターンのエッジラフネスを低減することができる。フォトレジスト膜形成後、液浸露光を行う場合は、上層に保護膜の塗布を行う。保護膜はアルカリ溶解性と撥水性を両立させるために、α−トリフルオロメチルヒドロキシ基を有する高分子化合物をベースとし、レジスト膜を溶解させない炭素数4以上の高級アルコール、エーテル、アルカン、フッ素原子等から選ばれる溶媒に溶解しているものが好適に使われる。本発明の酸発生剤となるスルホニウム塩とフルオロアルキル基を有する高分子型の界面活性剤は、前記保護膜用溶媒に全く溶解しないため、保護膜とレジスト膜との間にインターミキシングを防止するバリヤ層を形成する。このために、保護膜を使った場合と使わない場合とで現像後のレジストパターン形状に変化がなく、良好なパターンを得ることができる。   The present invention is characterized in that a sulfonium salt serving as an acid generator represented by the general formula (1) and a polymeric surfactant having a fluoroalkyl group are added as a photoresist material. After the photoresist film formation, the sulfonium salt that serves as the acid generator and the polymeric surfactant having a fluoroalkyl group are aligned on the photoresist film surface, thereby forming a photoresist surface with a high acid generator concentration. The Further, when the repeating unit having an amino group is copolymerized, a resist surface having a high concentration of acid and amine can be formed after exposure, and the edge roughness of the resist pattern after development can be reduced. When immersion exposure is performed after the photoresist film is formed, a protective film is applied to the upper layer. In order to achieve both alkali solubility and water repellency, the protective film is based on a high molecular compound having an α-trifluoromethylhydroxy group, and has 4 or more higher alcohols, ethers, alkanes, fluorine atoms that do not dissolve the resist film. Those dissolved in a solvent selected from the above are preferably used. The polymer type surfactant having a sulfonium salt and a fluoroalkyl group as an acid generator of the present invention is not dissolved in the protective film solvent at all, and thus prevents intermixing between the protective film and the resist film. A barrier layer is formed. For this reason, there is no change in the resist pattern shape after development between when the protective film is used and when it is not used, and a good pattern can be obtained.

上記一般式(1)で示される繰り返し単位aを得るための重合性モノマーは、下記一般式(3)に例示することができる。

Figure 0004849268
The polymerizable monomer for obtaining the repeating unit a represented by the general formula (1) can be exemplified by the following general formula (3).
Figure 0004849268

ここでR1、R2は前述の通りである。式中、R2 3−S+で示されるカチオン部分は具体的には下記に例示することができる。 Here, R 1 and R 2 are as described above. In the formula, the cation moiety represented by R 2 3 -S + can be specifically exemplified below.

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

ここで、RA11、RA12、RA13は水素原子、ハロゲン原子、炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、アルコキシ基、アリール基、又は炭素数1〜20の直鎖状、分岐状又は環状のエステル基(−COO−)、カルボニル基又はラクトン環を含むアルキル基又はパーフルオロアルキル基である。RA14、RA15、RA16は炭素数1〜10の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基、又はラクトン環を含んでいてもよく、RA17はメチレン基、RA18は炭素数1〜10の直鎖状、分岐状又は環状のアルキル基であり、RA17とRA18が結合してこれらが結合する炭素原子と共に炭素数3〜10の非芳香環を形成してもよい。a、b、cは0〜5の整数である。 Here, R A11 , R A12 and R A13 are a hydrogen atom, a halogen atom, a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, an alkoxy group, an aryl group, or a straight chain having 1 to 20 carbon atoms. An alkyl group or a perfluoroalkyl group containing a chain, branched or cyclic ester group (—COO—), a carbonyl group or a lactone ring. R A14 , R A15 and R A16 are linear, branched or cyclic alkyl groups having 1 to 10 carbon atoms and may contain a carbonyl group, an ester group or a lactone ring, and R A17 is a methylene group. R A18 is a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, and R A17 and R A18 are bonded to form a non-aromatic ring having 3 to 10 carbon atoms together with the carbon atom to which they are bonded. It may be formed. a, b, and c are integers of 0-5.

上記一般式(1)中繰り返し単位aで示される化合物として、具体的には下記のものを例示できる。

Figure 0004849268
Specific examples of the compound represented by the repeating unit a in the general formula (1) include the following.
Figure 0004849268

本発明の共重合ポリマーに用いられるスルホニウム塩を合成するためには、前記重合性不飽和結合を有するスルホン酸アニオンと既知のスルホニウム塩のハライドあるいはアルキルスルホネート、アリールスルホネート等のアニオンを交換して合成する方法が挙げられる。   In order to synthesize the sulfonium salt used in the copolymer of the present invention, the sulfonate anion having a polymerizable unsaturated bond is exchanged with a known sulfonium salt halide or anion such as alkyl sulfonate or aryl sulfonate. The method of doing is mentioned.

スルホニウム塩(スルホニウムカチオン)の合成は既知であり、特に限定されるものではないが、スルホキシドと芳香族化合物の五酸化二燐/メタンスルホン酸やフッ化水素、硫酸による縮合反応(特開昭53−44533号公報、同61−212554号公報)や、ジアリールスルホキシドとアリールグリニヤ試薬をトリアルキルシリルハライド存在下で反応させる方法(特開平9−323970号公報)、過剰のアリールグリニヤ試薬存在下で塩化チオニル、次いでトリアルキルシリルハライドと反応させる方法等(特開平8−311018号公報)、フェナシルクロリドあるいはクロロアセトンとジアルキルスルフィドや環状アルキレンスルフィドを反応させる方法(特開2001−354669号公報、特開2003−321466号公報)、ナフトール、フェノール等の水酸基含有芳香族化合物とジアルキルスルフィド、環状アルキレンスルフィドを反応させる方法(特開2002−229192号公報)などが挙げられる。ここで上記のスルホニウム塩のアニオンにはその共役酸の酸強度が高すぎないものを用いることが好ましい。例えばアニオンとして塩化物イオン、臭化物イオン、沃化物イオン等のハロゲン化物イオン、メタンスルホネート、エタンスルホネート、ブタンスルホネート、10−カンファースルホネート等のアルキルスルホネート、ベンゼンスルホネート、p−トルエンスルホネート等のアリールスルホネートを有するスルホニウム塩を用いることが好適である。この場合アニオンにトリフルオロメタンスルホネート、ノナフルオロ−1−ブタンスルホネート、パーフルオロ−1−オクタンスルホネート等のパーフルオロアルキルスルホネートをアニオンに有するスルホニウム塩と上記の重合性2重結合を有するスルホン酸アニオンのアニオン交換反応は定量的に進まないことが多い。   The synthesis of a sulfonium salt (sulfonium cation) is known and is not particularly limited, but a condensation reaction of sulfoxide and an aromatic compound with diphosphorus pentoxide / methanesulfonic acid, hydrogen fluoride, or sulfuric acid (JP-A-53). No. 44533 and 61-212554), a method of reacting a diaryl sulfoxide and an aryl Grignard reagent in the presence of a trialkylsilyl halide (JP-A-9-323970), or in the presence of an excess of an aryl Grignard reagent. And a method of reacting phenacyl chloride or chloroacetone with a dialkyl sulfide or a cyclic alkylene sulfide (Japanese Patent Laid-Open No. 2001-354669, JP 2003-321466 A Publication), naphthol, hydroxyl group-containing aromatic compounds such as phenol and dialkyl sulfides, a method of reacting a cyclic alkylene sulfides (JP 2002-229192 JP) and the like. Here, as the anion of the sulfonium salt, it is preferable to use an anion having an acid strength of the conjugate acid that is not too high. For example, as anions, there are halide ions such as chloride ion, bromide ion and iodide ion, methanesulfonate, ethanesulfonate, butanesulfonate, alkylsulfonate such as 10-camphorsulfonate, arylsulfonate such as benzenesulfonate and p-toluenesulfonate. It is preferred to use a sulfonium salt. In this case, anion exchange between a sulfonium salt having a perfluoroalkyl sulfonate such as trifluoromethanesulfonate, nonafluoro-1-butanesulfonate, perfluoro-1-octanesulfonate, etc. as an anion and the sulfonate anion having the above-described polymerizable double bond The reaction often does not proceed quantitatively.

具体的には上記のように既存の方法で合成したスルホニウム塩、特にアニオンにハロゲン化物イオン、アルキルスルホネート、アリールスルホネートを有するスルホニウム塩と前記重合性不飽和結合を有するスルホン酸のナトリウムイオン、カリウムイオン、カルシウムイオン等の金属イオン塩あるいはアンモニウム、トリエチルアンモニウム塩等のアンモニウム塩をジクロロメタン、クロロホルム、酢酸エチル、メチルイソブチルケトン、テトラヒドロフラン等の水との分離が可能な有機溶剤と水の存在下で撹拌し、アニオン交換反応を行うことができる。   Specifically, the sulfonium salt synthesized by the existing method as described above, in particular, a sodium ion or a potassium ion of a sulfonic acid having a polymerizable unsaturated bond and a sulfonium salt having a halide ion, alkyl sulfonate or aryl sulfonate as anions. Agitation of metal ions such as calcium ions or ammonium salts such as ammonium and triethylammonium salts in the presence of water and organic solvents that can be separated from water such as dichloromethane, chloroform, ethyl acetate, methyl isobutyl ketone, and tetrahydrofuran. An anion exchange reaction can be performed.

本発明の上記一般式(1)で示される重合性アニオンを有するスルホニウム塩の合成方法について更に述べると、本発明者らによって合成されたトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを(メタ)アクリロイルクロリドや(メタ)アクリル酸無水物等で塩基性条件下、反応させることにより、重合性アニオンを有する上記一般式(1)のスルホニウム塩を得ることができる。   The method for synthesizing the sulfonium salt having a polymerizable anion represented by the general formula (1) of the present invention will be described further. Triphenylsulfonium 1,1,3,3,3-pentafluoro synthesized by the present inventors By reacting 2-hydroxypropanesulfonate with (meth) acryloyl chloride or (meth) acrylic anhydride under basic conditions, a sulfonium salt of the above general formula (1) having a polymerizable anion can be obtained. it can.

トリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートの合成を簡単に述べると以下の通りである。
中井らにより1,1,1,3,3,3−ヘキサフルオロ−2−プロパノールを出発原料として開発された1,1,3,3,3−ペンタフルオロプロペン−2−イルベンゾエートに代表される1,1,3,3,3−ペンタフルオロプロペン−2−イル脂肪族カルボン酸エステルあるいは芳香族カルボン酸エステルを亜硫酸水素ナトリウムあるいは亜硫酸ナトリウムとアゾビスイソブチロニトリルや過酸化ベンゾイル等のラジカル開始剤存在下、溶剤として水あるいはアルコール及びその混合物中で反応させることにより、対応する1,1,3,3,3−ペンタフルオロ−2−アシルオキシプロパンスルホン酸塩あるいは1,1,3,3,3−ペンタフルオロ−2−アレーンカルボニルオキシプロパンスルホン酸塩を得た後に、適宜スルホニウム塩とイオン交換することにより、トリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−アシルオキシプロパンスルホネートあるいはトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−アレーンカルボニルオキシプロパンスルホネートを得ることができ、更にスルホネートのカルボン酸エステル部位を水酸化ナトリウム、水酸化カリウム等のアルカリを用いて加水分解又はアルコールと塩基を用いて加溶媒分解することで、目的のトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを得ることができる。トリフェニルスルホニウム以外のスルホニウム塩の合成も同様に行うことができる。
The synthesis of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate is briefly described as follows.
Represented by 1,1,3,3,3-pentafluoropropen-2-ylbenzoate developed by Nakai et al. Using 1,1,1,3,3,3-hexafluoro-2-propanol as a starting material 1,1,3,3,3-pentafluoropropen-2-yl aliphatic carboxylic acid ester or aromatic carboxylic acid ester with radical initiation such as sodium bisulfite or sodium sulfite and azobisisobutyronitrile or benzoyl peroxide By reacting in water or alcohol as a solvent and a mixture thereof in the presence of an agent, the corresponding 1,1,3,3,3-pentafluoro-2-acyloxypropane sulfonate or 1,1,3,3, After obtaining 3-pentafluoro-2-arenecarbonyloxypropanesulfonate, sulfonium is used as appropriate Ion exchange with triphenylsulfonium 1,1,3,3,3-pentafluoro-2-acyloxypropane sulfonate or triphenylsulfonium 1,1,3,3,3-pentafluoro-2-arenecarbonyloxy Propanesulfonate can be obtained, and the carboxylic acid ester moiety of the sulfonate is hydrolyzed with an alkali such as sodium hydroxide or potassium hydroxide, or solvolyzed with an alcohol and a base to obtain the target triphenylsulfonium. 1,1,3,3,3-pentafluoro-2-hydroxypropane sulfonate can be obtained. Synthesis of sulfonium salts other than triphenylsulfonium can be performed in the same manner.

重合性アニオンを合成する反応は、公知の方法により容易に進行するが、トリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート等のスルホニウム塩を塩化メチレン、テトラヒドロフラン、アセトニトリル等の溶媒中に溶解し、トリエチルアミン、ピリジン、4−ジメチルアミノピリジン等の塩基とアクリロイルクロリド、アクリル酸無水物、メタクロイルクロリド、メタクリル酸無水物等の酸クロリドあるいは酸無水物を順次又は同時に加え、必要に応じ、冷却あるいは加熱するなどして行うのがよい。   The reaction for synthesizing the polymerizable anion proceeds easily by a known method. A sulfonium salt such as triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate is converted into methylene chloride, tetrahydrofuran, Dissolve in a solvent such as acetonitrile, and add a base such as triethylamine, pyridine, 4-dimethylaminopyridine and an acid chloride or acid anhydride such as acryloyl chloride, acrylic anhydride, methacryloyl chloride, methacrylic anhydride sequentially or simultaneously. In addition, cooling or heating may be performed as necessary.

次に、一般式(1)中の(b−1)で示されるα−トリフルオロメチルアルコール基を有する繰り返し単位を得るためのモノマーとしては、下記に例示することができる。   Next, as a monomer for obtaining the repeating unit which has the (alpha)-trifluoromethyl alcohol group shown by (b-1) in General formula (1), it can illustrate below.

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

Figure 0004849268

(式中、R3、R6は前述と同様である。)
Figure 0004849268

(Wherein R 3 and R 6 are the same as described above.)

上記一般式(1)で示される繰り返し単位(b−2)としては、下記の具体例を挙げることができる。   Examples of the repeating unit (b-2) represented by the general formula (1) include the following specific examples.

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

Figure 0004849268
(式中、R7は前述と同様である。)
Figure 0004849268
(Wherein R 7 is the same as described above.)

上記一般式(2)で示される繰り返し単位cを得るための重合性モノマーは、具体的には下記に例示することができる。   Specific examples of the polymerizable monomer for obtaining the repeating unit c represented by the general formula (2) include the following.

Figure 0004849268
Figure 0004849268

Figure 0004849268
(式中、R11は前述の通りである。)
Figure 0004849268
(Wherein R 11 is as described above.)

本発明のレジスト材料に添加する高分子化合物としては、上記一般式(1)中のa、(b−1)、(b−2)、更に必要により一般式(2)のcで示される繰り返し単位を含むが、アルカリ溶解性を向上させたり、現像後のレジストの親水性を向上させる目的でカルボキシル基を有する繰り返し単位dを共重合することができる。   As the polymer compound added to the resist material of the present invention, a, (b-1) and (b-2) in the above general formula (1), and further, as indicated by c in the general formula (2) are repeated. Although it contains a unit, a repeating unit d having a carboxyl group can be copolymerized for the purpose of improving alkali solubility or improving the hydrophilicity of a resist after development.

カルボキシル基を有する繰り返し単位dとしては、具体的には下記に例示することができる。   Specific examples of the repeating unit d having a carboxyl group include the following.

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

上記レジスト材料に添加される上記一般式(1)中のa、(b−1)、(b−2)、及び必要により共重合されるc、dで示される繰り返し単位を有する高分子化合物のゲルパーミエーションクロマトグラフィー(GPC)によるポリスチレン換算重量平均分子量としては、1,000〜100,000、好ましくは2,000〜30,000であるが、これらに限定されるものではない。分子量が1,000以上であれば液浸露光時に水に対する十分なバリヤ性能を発揮でき、フォトレジスト組成物の水への溶出を十分に抑制できる。また、分子量が100,000以下であれば、該高分子化合物のアルカリ現像液への溶解速度が十分大きいため、これを含有したフォトレジスト膜を用いてパターン形成した場合に、樹脂の残渣が基板に付着するおそれが少ない。   In the general formula (1) added to the resist material, a, (b-1), (b-2), and a polymer compound having a repeating unit represented by c, d copolymerized as necessary. The polystyrene-reduced weight average molecular weight by gel permeation chromatography (GPC) is 1,000 to 100,000, preferably 2,000 to 30,000, but is not limited thereto. When the molecular weight is 1,000 or more, sufficient barrier performance against water can be exhibited during immersion exposure, and dissolution of the photoresist composition into water can be sufficiently suppressed. Further, if the molecular weight is 100,000 or less, the dissolution rate of the polymer compound in the alkaline developer is sufficiently high. Therefore, when a pattern is formed using a photoresist film containing the polymer compound, the resin residue is formed on the substrate. There is little possibility to adhere to.

また、上記の一般式(1)中のa、(b−1)、(b−2)、更に必要によりc、dの繰り返し単位を有する高分子化合物は、各々1種類の高分子化合物としてレジスト材料に配合してもよいし、共重合比率や分子量、あるいは種類の異なるモノマー同士を共重合した2種類以上の高分子化合物を任意の割合で混合してレジスト材料に配合してもよい。   In the above general formula (1), a high molecular compound having repeating units a, (b-1), (b-2) and, if necessary, c and d, is a resist compound as a single high molecular compound. You may mix | blend with material, you may mix | blend 2 or more types of high molecular compounds which copolymerized the copolymerization ratio, molecular weight, or different types of monomers in arbitrary ratios, and you may mix | blend with a resist material.

a、(b−1)、(b−2)、c、dの共重合比率は、0<a<1.0、0≦(b−1)<1.0、0≦(b−2)<1.0、0<(b−1)+(b−2)<1.0、0≦c≦0.6、0≦d≦0.8、好ましくは0.02≦a≦0.5、0≦(b−1)≦0.98、0≦(b−2)≦0.8、0.1≦(b−1)+(b−2)≦0.98、0≦c≦0.5、0≦d≦0.7である。
なお、a+(b−1)+(b−2)+c+d=1である。
The copolymerization ratios of a, (b-1), (b-2), c and d are 0 <a <1.0, 0 ≦ (b-1) <1.0, 0 ≦ (b-2) <1.0, 0 <(b-1) + (b-2) <1.0, 0 ≦ c ≦ 0.6, 0 ≦ d ≦ 0.8, preferably 0.02 ≦ a ≦ 0.5 0 ≦ (b−1) ≦ 0.98, 0 ≦ (b−2) ≦ 0.8, 0.1 ≦ (b−1) + (b−2) ≦ 0.98, 0 ≦ c ≦ 0 .5, 0 ≦ d ≦ 0.7.
Note that a + (b-1) + (b-2) + c + d = 1.

ここで、例えばa+(b−1)+(b−2)+c+d=1とは、繰り返し単位a+(b−1)+(b−2)+c+dを含む高分子化合物において、繰り返し単位a、(b−1)、(b−2)、c、dの合計量が全繰り返し単位の合計量に対して100モル%であることを示し、a+(b−1)+(b−2)+c+d<1とは、繰り返し単位a、(b−1)、(b−2)、c、dの合計量が全繰り返し単位の合計量に対して100モル%未満で、a、(b−1)、(b−2)、c、d以外に他の繰り返し単位を有していることを示す。   Here, for example, a + (b-1) + (b-2) + c + d = 1 means that in the polymer compound containing the repeating unit a + (b-1) + (b-2) + c + d, the repeating unit a, (b -1), (b-2), c, d indicates that the total amount of all repeating units is 100 mol%, a + (b-1) + (b-2) + c + d <1 Means that the total amount of the repeating units a, (b-1), (b-2), c, d is less than 100 mol% with respect to the total amount of all the repeating units, and a, (b-1), ( It shows having other repeating units besides b-2), c and d.

上記一般式(1)で示される高分子型界面活性剤のレジスト材料への配合比は、添加する高分子化合物の合計質量がレジスト材料のベース樹脂100質量部に対して0.01〜50質量部、好ましくは0.1〜10質量部がよい。これが0.01質量部以上であれば、フォトレジスト表面の酸濃度が高まり、発明の効果を発揮することができる。   The compounding ratio of the polymeric surfactant represented by the general formula (1) to the resist material is such that the total mass of the polymer compound to be added is 0.01 to 50 mass with respect to 100 mass parts of the base resin of the resist material. Parts, preferably 0.1 to 10 parts by mass. If this is 0.01 parts by mass or more, the acid concentration on the photoresist surface is increased, and the effects of the invention can be exhibited.

本発明において、レジスト材料は、ベース樹脂を含有するが、化学増幅ポジ型レジスト材料である場合は、少なくとも酸不安定基を有する繰り返し単位、及びヒドロキシ基及び/又はラクトン環の密着性基を有する繰り返し単位を含むベース樹脂を含むものであることが好ましい。   In the present invention, the resist material contains a base resin, but when it is a chemically amplified positive resist material, it has at least a repeating unit having an acid labile group and an adhesive group of a hydroxy group and / or a lactone ring. A base resin containing a repeating unit is preferably included.

このような化学増幅ポジ型レジスト材料であれば、ベース樹脂がヒドロキシ基及び/又はラクトン環の密着性基を有する繰り返し単位を含むことで、基板との高い密着性を実現できる。更に、ベース樹脂が酸不安定基を有する繰り返し単位を有することで、露光時に酸発生剤が発生する酸により酸不安定基を脱離させて、レジスト露光部を現像液に溶解させるように変換することにより、極めて高精度なパターンを得ることができる。   With such a chemically amplified positive resist material, the base resin includes a repeating unit having a hydroxy group and / or an adhesive group of a lactone ring, whereby high adhesion to the substrate can be realized. Furthermore, since the base resin has repeating units having acid labile groups, the acid labile groups are eliminated by the acid generated by the acid generator during exposure, so that the resist exposed area is dissolved in the developer. By doing so, a very highly accurate pattern can be obtained.

レジスト用ベース樹脂としては、下記式(R1)及び/又は下記式(R2)で示されるGPCによるポリスチレン換算重量平均分子量1,000〜100,000、好ましくは3,000〜30,000の高分子化合物を挙げることができるが、これらに限定されるものではない。

Figure 0004849268
As a resist base resin, a polymer having a polystyrene-reduced weight average molecular weight of 1,000 to 100,000, preferably 3,000 to 30,000 by GPC represented by the following formula (R1) and / or the following formula (R2): Although a compound can be mentioned, it is not limited to these.
Figure 0004849268

上記式中、R001は、水素原子、メチル基又は−CH2CO2003を示す。
002は、水素原子、メチル基又は−CO2003を示す。
003は、炭素数1〜15の直鎖状、分岐状又は環状のアルキル基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基、エチルシクロペンチル基、ブチルシクロペンチル基、エチルシクロヘキシル基、ブチルシクロヘキシル基、アダマンチル基、エチルアダマンチル基、ブチルアダマンチル基等を例示できる。
In the above formula, R 001 represents a hydrogen atom, a methyl group, or —CH 2 CO 2 R 003 .
R 002 represents a hydrogen atom, a methyl group or a -CO 2 R 003.
R 003 represents a linear, branched or cyclic alkyl group having 1 to 15 carbon atoms, specifically a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group, ethylcyclopentyl group, butylcyclopentyl group, ethylcyclohexyl group, butylcyclohexyl group, adamantyl group, ethyladamantyl group, butyl Examples thereof include an adamantyl group.

004は、水素原子、又は炭素数1〜15の含フッ素置換基及び/又はカルボキシ基もしくは水酸基を含有する1価の炭化水素基を示し、具体的には水素原子、カルボキシエチル、カルボキシブチル、カルボキシシクロペンチル、カルボキシシクロヘキシル、カルボキシノルボルニル、カルボキシアダマンチル、ヒドロキシエチル、ヒドロキシブチル、ヒドロキシシクロペンチル、ヒドロキシシクロヘキシル、ヒドロキシノルボルニル、ヒドロキシアダマンチル、ヒドロキシヘキサフルオロイソプロピルシクロヘキシル、ジ(ヒドロキシヘキサフルオロイソプロピル)シクロヘキシル等が例示できる。 R 004 represents a hydrogen atom or a monovalent hydrocarbon group containing a fluorine-containing substituent having 1 to 15 carbon atoms and / or a carboxy group or a hydroxyl group, specifically a hydrogen atom, carboxyethyl, carboxybutyl, Carboxycyclopentyl, carboxycyclohexyl, carboxynorbornyl, carboxyadamantyl, hydroxyethyl, hydroxybutyl, hydroxycyclopentyl, hydroxycyclohexyl, hydroxynorbornyl, hydroxyadamantyl, hydroxyhexafluoroisopropylcyclohexyl, di (hydroxyhexafluoroisopropyl) cyclohexyl, etc. It can be illustrated.

005〜R008の少なくとも1個は炭素数1〜15の含フッ素置換基及び/又はカルボキシ基もしくは水酸基を含有する1価の炭化水素基を示し、残りはそれぞれ独立に水素原子又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基を示す。炭素数1〜15の含フッ素置換基及び/又はカルボキシ基もしくは水酸基を含有する1価の炭化水素基としては、具体的にはカルボキシ、カルボキシメチル、カルボキシエチル、カルボキシブチル、ヒドロキシメチル、ヒドロキシエチル、ヒドロキシブチル、2−カルボキシエトキシカルボニル、4−カルボキシブトキシカルボニル、2−ヒドロキシエトキシカルボニル、4−ヒドロキシブトキシカルボニル、カルボキシシクロペンチルオキシカルボニル、カルボキシシクロヘキシルオキシカルボニル、カルボキシノルボルニルオキシカルボニル、カルボキシアダマンチルオキシカルボニル、ヒドロキシシクロペンチルオキシカルボニル、ヒドロキシシクロヘキシルオキシカルボニル、ヒドロキシノルボルニルオキシカルボニル、ヒドロキシアダマンチルオキシカルボニル、ヒドロキシヘキサフルオロイソプロピルシクロヘキシルオキシカルボニル、ジ(ヒドロキシヘキサフルオロイソプロピル)シクロヘキシルオキシカルボニル等が例示できる。
炭素数1〜15の直鎖状、分岐状又は環状のアルキル基としては、具体的にはR003で例示したものと同様のものが例示できる。
At least one of R 005 to R 008 represents a fluorine-containing substituent having 1 to 15 carbon atoms and / or a monovalent hydrocarbon group containing a carboxy group or a hydroxyl group, and the rest each independently represents a hydrogen atom or a carbon number of 1 -15 linear, branched or cyclic alkyl groups are shown. Specific examples of the monovalent hydrocarbon group containing a fluorine-containing substituent having 1 to 15 carbon atoms and / or a carboxy group or a hydroxyl group include carboxy, carboxymethyl, carboxyethyl, carboxybutyl, hydroxymethyl, hydroxyethyl, Hydroxybutyl, 2-carboxyethoxycarbonyl, 4-carboxybutoxycarbonyl, 2-hydroxyethoxycarbonyl, 4-hydroxybutoxycarbonyl, carboxycyclopentyloxycarbonyl, carboxycyclohexyloxycarbonyl, carboxynorbornyloxycarbonyl, carboxyadamantyloxycarbonyl, hydroxy Cyclopentyloxycarbonyl, hydroxycyclohexyloxycarbonyl, hydroxynorbornyloxycarbonyl, hydroxy Daman chill oxycarbonyl, hydroxy hexafluoroisopropyl cyclohexyl oxycarbonyl, di (hydroxy hexafluoroisopropyl) cyclohexyl oxycarbonyl like.
Examples of the straight, the branched or cyclic alkyl group, specifically exemplified the same ones as exemplified for R 003.

005〜R008(例えばR005とR006、R006とR007)は互いに結合してこれらが結合する炭素原子と共に環を形成していてもよく、その場合には環の形成に関与するR005〜R008の少なくとも1個は炭素数1〜15の含フッ素置換基及び/又はカルボキシ基もしくは水酸基を含有する2価の炭化水素基を示し、環の形成に関与する残りはそれぞれ独立に単結合又は炭素数1〜15の直鎖状、分岐状又は環状のアルキレン基を示す。炭素数1〜15の含フッ素置換基及び/又はカルボキシ基もしくは水酸基を含有する2価の炭化水素基としては、具体的には上記含フッ素置換基及び/又はカルボキシ基もしくは水酸基を含有する1価の炭化水素基で例示したものから水素原子を1個除いたもの等を例示できる。炭素数1〜15の直鎖状、分岐状又は環状のアルキレン基としては、具体的にはR003で例示したものから水素原子を1個除いたものなどを例示できる。 R 005 to R 008 (for example, R 005 and R 006 , R 006 and R 007 ) may be bonded to each other to form a ring together with the carbon atom to which they are bonded, and in this case, participate in the formation of the ring. At least one of R 005 to R 008 represents a fluorine-containing substituent having 1 to 15 carbon atoms and / or a divalent hydrocarbon group containing a carboxy group or a hydroxyl group, and the remainder involved in ring formation is independently A single bond or a linear, branched or cyclic alkylene group having 1 to 15 carbon atoms is shown. The divalent hydrocarbon group containing a fluorine-containing substituent having 1 to 15 carbon atoms and / or a carboxy group or a hydroxyl group is specifically a monovalent containing the above-mentioned fluorine-containing substituent and / or a carboxyl group or a hydroxyl group. The thing etc. which remove | excluded one hydrogen atom from what was illustrated by the hydrocarbon group of this can be illustrated. Specific examples of the linear, branched or cyclic alkylene group having 1 to 15 carbon atoms include those obtained by removing one hydrogen atom from those exemplified for R003 .

009は、炭素数3〜15の−CO2−部分構造を含有する1価の炭化水素基を示し、具体的には2−オキソオキソラン−3−イル、4,4−ジメチル−2−オキソオキソラン−3−イル、4−メチル−2−オキソオキサン−4−イル、2−オキソ−1,3−ジオキソラン−4−イルメチル、5−メチル−2−オキソオキソラン−5−イル等を例示できる。 R 009 represents a monovalent hydrocarbon group containing a —CO 2 — partial structure having 3 to 15 carbon atoms, specifically 2-oxooxolan-3-yl, 4,4-dimethyl-2- Examples include oxooxolan-3-yl, 4-methyl-2-oxooxan-4-yl, 2-oxo-1,3-dioxolan-4-ylmethyl, 5-methyl-2-oxooxolan-5-yl and the like it can.

010〜R013の少なくとも1個は炭素数2〜15の−CO2−部分構造を含有する1価の炭化水素基を示し、残りはそれぞれ独立に水素原子又は炭素数1〜15の直鎖状、分岐状又は環状のアルキル基を示す。炭素数2〜15の−CO2−部分構造を含有する1価の炭化水素基としては、具体的には2−オキソオキソラン−3−イルオキシカルボニル、4,4−ジメチル−2−オキソオキソラン−3−イルオキシカルボニル、4−メチル−2−オキソオキサン−4−イルオキシカルボニル、2−オキソ−1,3−ジオキソラン−4−イルメチルオキシカルボニル、5−メチル−2−オキソオキソラン−5−イルオキシカルボニル等を例示できる。炭素数1〜15の直鎖状、分岐状又は環状のアルキル基としては、具体的にはR003で例示したものと同様のものが例示できる。 At least one of R 010 to R 013 represents a monovalent hydrocarbon group containing a —CO 2 — partial structure having 2 to 15 carbon atoms, and the rest each independently represents a hydrogen atom or a straight chain having 1 to 15 carbon atoms. -Like, branched or cyclic alkyl groups. Specific examples of the monovalent hydrocarbon group containing a —CO 2 — partial structure having 2 to 15 carbon atoms include 2-oxooxolan-3-yloxycarbonyl and 4,4-dimethyl-2-oxooxo. Lan-3-yloxycarbonyl, 4-methyl-2-oxooxan-4-yloxycarbonyl, 2-oxo-1,3-dioxolan-4-ylmethyloxycarbonyl, 5-methyl-2-oxooxolane-5 -Ilyloxycarbonyl and the like can be exemplified. Examples of the straight, the branched or cyclic alkyl group, specifically exemplified the same ones as exemplified for R 003.

010〜R013(例えばR010とR011、R011とR013)は互いに結合してこれらが結合する炭素原子と共に環を形成していてもよく、その場合には環の形成に関与するR010〜R013の少なくとも1個は炭素数1〜15の−CO2−部分構造を含有する2価の炭化水素基を示し、環の形成に関与する残りはそれぞれ独立に単結合又は炭素数1〜15の直鎖状、分岐状又は環状のアルキレン基を示す。炭素数1〜15の−CO2−部分構造を含有する2価の炭化水素基としては、具体的には1−オキソ−2−オキサプロパン−1,3−ジイル、1,3−ジオキソ−2−オキサプロパン−1,3−ジイル、1−オキソ−2−オキサブタン−1,4−ジイル、1,3−ジオキソ−2−オキサブタン−1,4−ジイル等の他、上記−CO2−部分構造を含有する1価の炭化水素基で例示したものから水素原子を1個除いたもの等を例示できる。炭素数1〜15の直鎖状、分岐状又は環状のアルキレン基としては、具体的にはR003で例示したものから水素原子を1個除いたもの等を例示できる。 R 010 to R 013 (for example, R 010 and R 011 , R 011 and R 013 ) may be bonded to each other to form a ring together with the carbon atoms to which they are bonded, and in this case, participate in ring formation. At least one of R 010 to R 013 represents a divalent hydrocarbon group containing a —CO 2 — partial structure having 1 to 15 carbon atoms, and the remainder involved in ring formation is each independently a single bond or a carbon number 1-15 linear, branched or cyclic alkylene groups are shown. Specific examples of the divalent hydrocarbon group containing a —CO 2 — partial structure having 1 to 15 carbon atoms include 1-oxo-2-oxapropane-1,3-diyl and 1,3-dioxo-2. - oxa-1,3-diyl, 1-oxo-2-Okisabutan-1,4-diyl, other like 1,3-dioxo-2-Okisabutan-1,4-diyl, the -CO 2 - partial structure The thing etc. which remove | excluded one hydrogen atom from what was illustrated with the monovalent | monohydric hydrocarbon group containing this can be illustrated. Specific examples of the linear, branched or cyclic alkylene group having 1 to 15 carbon atoms include those obtained by removing one hydrogen atom from those exemplified for R003 .

014は、炭素数7〜15の多環式炭化水素基又は多環式炭化水素基を含有するアルキル基を示し、具体的にはノルボルニル、ビシクロ[3.3.1]ノニル、トリシクロ[5.2.1.02,6]デシル、アダマンチル、エチルアダマンチル、ブチルアダマンチル、ノルボルニルメチル、アダマンチルメチル等を例示できる。 R 014 represents a polycyclic hydrocarbon group having 7 to 15 carbon atoms or an alkyl group containing a polycyclic hydrocarbon group, and specifically includes norbornyl, bicyclo [3.3.1] nonyl, tricyclo [5 .2.1.0 2,6 ] decyl, adamantyl, ethyladamantyl, butyladamantyl, norbornylmethyl, adamantylmethyl and the like.

015は、酸不安定基を示し、具体例については後述する。
Xは、−CH2又は酸素原子を示す。
kは、0又は1である。
R 015 represents an acid labile group, and specific examples will be described later.
X represents —CH 2 or an oxygen atom.
k is 0 or 1.

015の酸不安定基としては、種々用いることができるが、具体的には下記一般式(L1)〜(L4)で示される基、炭素数4〜20、好ましくは4〜15の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基等を挙げることができる。 As the acid labile group for R 015 , various groups can be used. Specifically, groups represented by the following general formulas (L1) to (L4), tertiary groups having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms are preferred. Examples of the alkyl group include trialkylsilyl groups having 1 to 6 carbon atoms and oxoalkyl groups having 4 to 20 carbon atoms.

Figure 0004849268
Figure 0004849268

上記式中、破線は結合手を示す。
また、式(L1)において、RL01、RL02は水素原子又は炭素数1〜18、好ましくは1〜10の直鎖状、分岐状又は環状のアルキル基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、シクロペンチル基、シクロヘキシル基、2−エチルヘキシル基、n−オクチル基、アダマンチル基等が例示できる。RL03は炭素数1〜18、好ましくは炭素数1〜10の酸素原子等のヘテロ原子を有してもよい1価の炭化水素基を示し、直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、オキソ基、アミノ基、アルキルアミノ基等に置換されたものを挙げることができ、具体的には、直鎖状、分岐状又は環状のアルキル基としては上記RL01、RL02と同様のものが例示でき、置換アルキル基としては下記の基等が例示できる。
In the above formula, a broken line indicates a bond.
In the formula (L1), R L01 and R L02 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, specifically a methyl group, Examples thereof include an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, a cyclopentyl group, a cyclohexyl group, a 2-ethylhexyl group, an n-octyl group, and an adamantyl group. R L03 represents a monovalent hydrocarbon group which may have a hetero atom such as an oxygen atom having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, and is a linear, branched or cyclic alkyl group, Examples in which a part of these hydrogen atoms are substituted with a hydroxyl group, an alkoxy group, an oxo group, an amino group, an alkylamino group, and the like can be given. Specifically, a linear, branched or cyclic alkyl group Examples thereof include those similar to R L01 and R L02 above, and examples of the substituted alkyl group include the following groups.

Figure 0004849268
Figure 0004849268

L01とRL02、RL01とRL03、RL02とRL03とは互いに結合してこれらが結合する炭素原子や酸素原子と共に環を形成してもよく、環を形成する場合には環の形成に関与するRL01、RL02、RL03はそれぞれ炭素数1〜18、好ましくは炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。 R L01 and R L02 , R L01 and R L03 , R L02 and R L03 may be bonded to each other to form a ring together with the carbon atom or oxygen atom to which they are bonded. R L01 , R L02 and R L03 involved in the formation each represent a linear or branched alkylene group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms.

式(L2)において、RL04は炭素数4〜20、好ましくは炭素数4〜15の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基又は上記一般式(L1)で示される基を示し、三級アルキル基としては、具体的にはtert−ブチル基、tert−アミル基、1,1−ジエチルプロピル基、2−シクロペンチルプロパン−2−イル基、2−シクロヘキシルプロパン−2−イル基、2−(ビシクロ[2.2.1]ヘプタン−2−イル)プロパン−2−イル基、2−(アダマンタン−1−イル)プロパン−2−イル基、1−エチルシクロペンチル基、1−ブチルシクロペンチル基、1−エチルシクロヘキシル基、1−ブチルシクロヘキシル基、1−エチル−2−シクロペンテニル基、1−エチル−2−シクロヘキセニル基、2−メチル−2−アダマンチル基、2−エチル−2−アダマンチル基等が例示でき、トリアルキルシリル基としては、具体的にはトリメチルシリル基、トリエチルシリル基、ジメチル−tert−ブチルシリル基等が例示でき、オキソアルキル基としては、具体的には3−オキソシクロヘキシル基、4−メチル−2−オキソオキサン−4−イル基、5−メチル−2−オキソオキソラン−5−イル基等が例示できる。yは0〜6の整数である。 In the formula (L2), R L04 is a tertiary alkyl group having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, each alkyl group is a trialkylsilyl group having 1 to 6 carbon atoms, and 4 to 20 carbon atoms. An oxoalkyl group or a group represented by the above general formula (L1) is shown. Specific examples of the tertiary alkyl group include a tert-butyl group, a tert-amyl group, a 1,1-diethylpropyl group, and 2-cyclopentylpropane. 2-yl group, 2-cyclohexylpropan-2-yl group, 2- (bicyclo [2.2.1] heptan-2-yl) propan-2-yl group, 2- (adamantan-1-yl) propane 2-yl group, 1-ethylcyclopentyl group, 1-butylcyclopentyl group, 1-ethylcyclohexyl group, 1-butylcyclohexyl group, 1-ethyl-2-cyclopentenyl group 1-ethyl-2-cyclohexenyl group, 2-methyl-2-adamantyl group, 2-ethyl-2-adamantyl group and the like. Specific examples of the trialkylsilyl group include trimethylsilyl group and triethylsilyl group. Dimethyl-tert-butylsilyl group and the like. Specific examples of the oxoalkyl group include 3-oxocyclohexyl group, 4-methyl-2-oxooxan-4-yl group, and 5-methyl-2-oxooxolane. A -5-yl group etc. can be illustrated. y is an integer of 0-6.

式(L3)において、RL05は炭素数1〜10の置換されていてもよい直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基を示し、置換されていてもよいアルキル基としては、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基、ビシクロ[2.2.1]ヘプチル基等の直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、カルボキシ基、アルコキシカルボニル基、オキソ基、アミノ基、アルキルアミノ基、シアノ基、メルカプト基、アルキルチオ基、スルホ基等に置換されたもの、又はこれらのメチレン基の一部が酸素原子又は硫黄原子に置換されたもの等が例示でき、置換されていてもよいアリール基としては、具体的にはフェニル基、メチルフェニル基、ナフチル基、アンスリル基、フェナンスリル基、ピレニル基等が例示できる。mは0又は1、nは0,1,2,3のいずれかであり、2m+n=2又は3を満足する数である。 In the formula (L3), R L05 represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may be substituted, or an aryl group which may be substituted having 6 to 20 carbon atoms, Specific examples of the optionally substituted alkyl group include a methyl group, an ethyl group, a propyl group, an isopropyl group, an n-butyl group, a sec-butyl group, a tert-butyl group, a tert-amyl group, and an n-pentyl group. A linear, branched or cyclic alkyl group such as a group, n-hexyl group, cyclopentyl group, cyclohexyl group, bicyclo [2.2.1] heptyl group, and a part of these hydrogen atoms are a hydroxyl group, an alkoxy group, A carboxy group, an alkoxycarbonyl group, an oxo group, an amino group, an alkylamino group, a cyano group, a mercapto group, an alkylthio group, a sulfo group or the like, or these Examples include those in which a part of the methylene group is substituted with an oxygen atom or a sulfur atom. Specific examples of the aryl group which may be substituted include a phenyl group, a methylphenyl group, a naphthyl group, an anthryl group, and a phenanthryl. Examples thereof include a group and a pyrenyl group. m is 0 or 1, and n is 0, 1, 2, or 3, and 2m + n = 2 or 3.

式(L4)において、RL06は炭素数1〜10の置換されていてもよい直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基を示し、具体的にはRL05と同様のもの等が例示できる。RL07〜RL16はそれぞれ独立に水素原子又は炭素数1〜15の1価の炭化水素基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、n−オクチル基、n−ノニル基、n−デシル基、シクロペンチル基、シクロヘキシル基、シクロペンチルメチル基、シクロペンチルエチル基、シクロペンチルブチル基、シクロヘキシルメチル基、シクロヘキシルエチル基、シクロヘキシルブチル基等の直鎖状、分岐状又は環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、カルボキシ基、アルコキシカルボニル基、オキソ基、アミノ基、アルキルアミノ基、シアノ基、メルカプト基、アルキルチオ基、スルホ基等に置換されたもの等が例示できる。RL07〜RL16は互いに結合してこれらが結合する炭素原子と共に環を形成していてもよく(例えば、RL07とRL08、RL07とRL09、RL08とRL10、RL09とRL10、RL11とRL12、RL13とRL14等)、その場合には環を形成する基は炭素数1〜15の2価の炭化水素基を示し、具体的には上記1価の炭化水素基で例示したものから水素原子を1個除いたもの等が例示できる。また、RL07〜RL16は隣接する炭素に結合するもの同士で何も介さずに結合し、2重結合を形成してもよい(例えば、RL07とRL09、RL09とRL15、RL13とRL15等)。 In the formula (L4), R L06 represents a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms which may be substituted or an aryl group having 6 to 20 carbon atoms which may be substituted; Specifically, the same thing as R L05 can be illustrated. R L07 to R L16 each independently represent a hydrogen atom or a monovalent hydrocarbon group having 1 to 15 carbon atoms, specifically, methyl, ethyl, propyl, isopropyl, n-butyl, sec- Butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, n-octyl group, n-nonyl group, n-decyl group, cyclopentyl group, cyclohexyl group, cyclopentylmethyl group, cyclopentylethyl Group, cyclopentylbutyl group, cyclohexylmethyl group, cyclohexylethyl group, cyclohexylbutyl group and other linear, branched or cyclic alkyl groups, and some of these hydrogen atoms are hydroxyl groups, alkoxy groups, carboxy groups, alkoxycarbonyl groups , Oxo group, amino group, alkylamino group, cyano group, mercapto group, alkylthio group, Or the like can be exemplified those substituted in sulfo group. R L07 to R L16 may be bonded to each other to form a ring together with the carbon atoms to which they are bonded (for example, R L07 and R L08 , R L07 and R L09 , R L08 and R L10 , R L09 and R L10 , R L11 and R L12 , R L13 and R L14, etc.), in which case the ring-forming group represents a divalent hydrocarbon group having 1 to 15 carbon atoms, specifically the monovalent carbon The thing etc. which remove | excluded one hydrogen atom from what was illustrated by the hydrogen group can be illustrated. R L07 to R L16 may be bonded to one another adjacent to each other to form a double bond (for example, R L07 and R L09 , R L09 and R L15 , R L13 and R L15 etc.).

上記式(L1)で示される酸不安定基のうち直鎖状又は分岐状のものとしては、具体的には下記の基が例示できる。   Among the acid labile groups represented by the above formula (L1), specific examples of the linear or branched groups include the following groups.

Figure 0004849268
Figure 0004849268

上記式(L1)で示される酸不安定基のうち環状のものとしては、具体的にはテトラヒドロフラン−2−イル基、2−メチルテトラヒドロフラン−2−イル基、テトラヒドロピラン−2−イル基、2−メチルテトラヒドロピラン−2−イル基等が例示できる。   Among the acid labile groups represented by the above formula (L1), specific examples of cyclic groups include tetrahydrofuran-2-yl group, 2-methyltetrahydrofuran-2-yl group, tetrahydropyran-2-yl group, 2 -A methyltetrahydropyran-2-yl group etc. can be illustrated.

上記式(L2)の酸不安定基としては、具体的にはtert−ブトキシカルボニル基、tert−ブトキシカルボニルメチル基、tert−アミロキシカルボニル基、tert−アミロキシカルボニルメチル基、1,1−ジエチルプロピルオキシカルボニル基、1,1−ジエチルプロピルオキシカルボニルメチル基、1−エチルシクロペンチルオキシカルボニル基、1−エチルシクロペンチルオキシカルボニルメチル基、1−エチル−2−シクロペンテニルオキシカルボニル基、1−エチル−2−シクロペンテニルオキシカルボニルメチル基、1−エトキシエトキシカルボニルメチル基、2−テトラヒドロピラニルオキシカルボニルメチル基、2−テトラヒドロフラニルオキシカルボニルメチル基等が例示できる。   Specific examples of the acid labile group of the above formula (L2) include tert-butoxycarbonyl group, tert-butoxycarbonylmethyl group, tert-amyloxycarbonyl group, tert-amyloxycarbonylmethyl group, 1,1-diethyl. Propyloxycarbonyl group, 1,1-diethylpropyloxycarbonylmethyl group, 1-ethylcyclopentyloxycarbonyl group, 1-ethylcyclopentyloxycarbonylmethyl group, 1-ethyl-2-cyclopentenyloxycarbonyl group, 1-ethyl-2 Examples include -cyclopentenyloxycarbonylmethyl group, 1-ethoxyethoxycarbonylmethyl group, 2-tetrahydropyranyloxycarbonylmethyl group, 2-tetrahydrofuranyloxycarbonylmethyl group and the like.

上記式(L3)の酸不安定基としては、具体的には1−メチルシクロペンチル、1−エチルシクロペンチル、1−n−プロピルシクロペンチル、1−イソプロピルシクロペンチル、1−n−ブチルシクロペンチル、1−sec−ブチルシクロペンチル、1−シクロヘキシルシクロペンチル、1−(4−メトキシ−n−ブチル)シクロペンチル、1−(ビシクロ[2.2.1]ヘプタン−2−イル)シクロペンチル、1−(7−オキサビシクロ[2.2.1]ヘプタン−2−イル)シクロペンチル、1−メチルシクロヘキシル、1−エチルシクロヘキシル、3−メチル−1−シクロペンテン−3−イル、3−エチル−1−シクロペンテン−3−イル、3−メチル−1−シクロヘキセン−3−イル、3−エチル−1−シクロヘキセン−3−イル等が例示できる。   Specific examples of the acid labile group of the above formula (L3) include 1-methylcyclopentyl, 1-ethylcyclopentyl, 1-n-propylcyclopentyl, 1-isopropylcyclopentyl, 1-n-butylcyclopentyl, 1-sec- Butylcyclopentyl, 1-cyclohexylcyclopentyl, 1- (4-methoxy-n-butyl) cyclopentyl, 1- (bicyclo [2.2.1] heptan-2-yl) cyclopentyl, 1- (7-oxabicyclo [2. 2.1] heptan-2-yl) cyclopentyl, 1-methylcyclohexyl, 1-ethylcyclohexyl, 3-methyl-1-cyclopenten-3-yl, 3-ethyl-1-cyclopenten-3-yl, 3-methyl- 1-cyclohexen-3-yl, 3-ethyl-1-cyclohexen-3-yl, etc. It can be exemplified.

上記式(L4)の酸不安定基としては、下記式(L4−1)〜(L4−4)で示される基が特に好ましい。   As the acid labile group of the above formula (L4), groups represented by the following formulas (L4-1) to (L4-4) are particularly preferable.

Figure 0004849268
Figure 0004849268

前記一般式(L4−1)〜(L4−4)中、破線は結合位置及び結合方向を示す。RL41はそれぞれ独立に炭素数1〜10の直鎖状、分岐状又は環状のアルキル基等の1価炭化水素基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、tert−アミル基、n−ペンチル基、n−ヘキシル基、シクロペンチル基、シクロヘキシル基等を例示できる。 In the general formulas (L4-1) to (L4-4), a broken line indicates a coupling position and a coupling direction. R L41 each independently represents a monovalent hydrocarbon group such as a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, specifically a methyl group, an ethyl group, a propyl group, an isopropyl group, n Examples include -butyl group, sec-butyl group, tert-butyl group, tert-amyl group, n-pentyl group, n-hexyl group, cyclopentyl group, cyclohexyl group and the like.

前記一般式(L4−1)〜(L4−4)には、エナンチオ異性体(enantiomer)やジアステレオ異性体(diastereomer)が存在しえるが、前記一般式(L4−1)〜(L4−4)は、これらの立体異性体の全てを代表して表す。これらの立体異性体は単独で用いてもよいし、混合物として用いてもよい。   In the general formulas (L4-1) to (L4-4), enantiomers and diastereomers may exist, but the general formulas (L4-1) to (L4-4) may exist. ) Represents all of these stereoisomers. These stereoisomers may be used alone or as a mixture.

例えば、前記一般式(L4−3)は下記一般式(L4−3−1)、(L4−3−2)で示される基から選ばれる1種又は2種の混合物を代表して表すものとする。   For example, the general formula (L4-3) represents one or a mixture of two selected from the groups represented by the following general formulas (L4-3-1) and (L4-3-2). To do.

Figure 0004849268
(式中、RL41は前述と同様である。)
Figure 0004849268
(In the formula, R L41 is the same as described above.)

また、上記一般式(L4−4)は下記一般式(L4−4−1)〜(L4−4−4)で示される基から選ばれる1種又は2種以上の混合物を代表して表すものとする。   The general formula (L4-4) represents one or a mixture of two or more selected from groups represented by the following general formulas (L4-4-1) to (L4-4-4). And

Figure 0004849268
(式中、RL41は前述と同様である。)
Figure 0004849268
(In the formula, R L41 is the same as described above.)

上記一般式(L4−1)〜(L4−4)、(L4−3−1)、(L4−3−2)、及び式(L4−4−1)〜(L4−4−4)は、それらのエナンチオ異性体及びエナンチオ異性体混合物をも代表して示すものとする。   The general formulas (L4-1) to (L4-4), (L4-3-1), (L4-3-2), and formulas (L4-4-1) to (L4-4-4) are Their enantiomers and enantiomeric mixtures are also shown representatively.

なお、式(L4−1)〜(L4−4)、(L4−3−1)、(L4−3−2)、及び式(L4−4−1)〜(L4−4−4)の結合方向がそれぞれビシクロ[2.2.1]ヘプタン環に対してexo側であることによって、酸触媒脱離反応における高反応性が実現される(特開2000−336121号公報参照)。これらビシクロ[2.2.1]ヘプタン骨格を有する三級exo−アルキル基を置換基とする単量体の製造において、下記一般式(L4−1−endo)〜(L4−4−endo)で示されるendo−アルキル基で置換された単量体を含む場合があるが、良好な反応性の実現のためにはexo比率が50%以上であることが好ましく、exo比率が80%以上であることが更に好ましい。   In addition, the coupling | bonding of Formula (L4-1)-(L4-4), (L4-3-1), (L4-3-2), and Formula (L4-4-1)-(L4-4-4) High reactivity in the acid-catalyzed elimination reaction is realized by the fact that each direction is on the exo side with respect to the bicyclo [2.2.1] heptane ring (see JP 2000-336121 A). In the production of a monomer having a tertiary exo-alkyl group having a bicyclo [2.2.1] heptane skeleton as a substituent, the following general formulas (L4-1-endo) to (L4-4-endo) are used. In some cases, a monomer substituted with the indicated endo-alkyl group may be included, but in order to achieve good reactivity, the exo ratio is preferably 50% or more, and the exo ratio is 80% or more. More preferably.

Figure 0004849268
(式中、RL41は前述と同様である。)
Figure 0004849268
(In the formula, R L41 is the same as described above.)

上記式(L4)の酸不安定基としては、具体的には下記の基が例示できる。

Figure 0004849268
Specific examples of the acid labile group of the above formula (L4) include the following groups.
Figure 0004849268

また、炭素数4〜20の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基としては、具体的にはRL04で挙げたものと同様のもの等が例示できる。 Further, tertiary alkyl groups having 4 to 20 carbon atoms, trialkylsilyl groups each having 1 to 6 carbon atoms, and oxoalkyl groups having 4 to 20 carbon atoms are specifically exemplified as R L04 . The thing similar to a thing etc. can be illustrated.

前記(R2)中、R016、R018は水素原子又はメチル基を示す。R017は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基を示す。 In the (R2), R 016 and R 018 represent a hydrogen atom or a methyl group. R 017 represents a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms.

前記(R1)において、a1’、a2’、a3’、b1’、b2’、b3’、c1’、c2’、c3’、d1’、d2’、d3’、e’は0以上1未満の数であり、a1’+a2’+a3’+b1’+b2’+b3’+c1’+c2’+c3’+d1’+d2’+d3’+e’=1を満足する。前記(R2)において、f’、g’、h’、i’、j’、k‘、l’、m‘は0以上1未満の数であり、f’+g’+h’+i’+j’+k‘+l’+m‘=1を満足する。x’、y’、z’は0〜3の整数であり、1≦x’+y’+z’≦5、1≦y’+z’≦3を満足する。
更に、インデン類、ノルボルナジエン類、アセナフチレン類、ビニルエーテル類を共重合することもできる。
In (R1), a1 ′, a2 ′, a3 ′, b1 ′, b2 ′, b3 ′, c1 ′, c2 ′, c3 ′, d1 ′, d2 ′, d3 ′, and e ′ are 0 or more and less than 1. A1 ′ + a2 ′ + a3 ′ + b1 ′ + b2 ′ + b3 ′ + c1 ′ + c2 ′ + c3 ′ + d1 ′ + d2 ′ + d3 ′ + e ′ = 1. In the above (R2), f ′, g ′, h ′, i ′, j ′, k ′, l ′, m ′ are numbers from 0 to less than 1, and f ′ + g ′ + h ′ + i ′ + j ′ + k “+1” + m ′ = 1 is satisfied. x ′, y ′ and z ′ are integers of 0 to 3, which satisfy 1 ≦ x ′ + y ′ + z ′ ≦ 5 and 1 ≦ y ′ + z ′ ≦ 3.
Further, indene, norbornadiene, acenaphthylene, and vinyl ether can be copolymerized.

上記式(R1)において、組成比a1’で導入される繰り返し単位として具体的には以下のものが例示できるが、これらに限定されるものではない。   In the above formula (R1), specific examples of the repeating unit introduced at the composition ratio a1 'include the following, but are not limited thereto.

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

上記式(R1)において、組成比b1’で導入される繰り返し単位として具体的には以下のものが例示できるが、これらに限定されるものではない。   Specific examples of the repeating unit introduced at the composition ratio b1 ′ in the formula (R1) include, but are not limited to, the following.

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

上記式(R1)において、組成比d1’で導入される繰り返し単位として具体的には以下のものが例示できるが、これらに限定されるものではない。   Specific examples of the repeating unit introduced at the composition ratio d1 ′ in the above formula (R1) include the following, but are not limited thereto.

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

上記式(R1)において、組成比a3’、b3’、c3’、d3’の繰り返し単位で構成される高分子化合物として具体的には以下のものが例示できるが、これらに限定されるものではない。   In the above formula (R1), specific examples of the polymer compound composed of repeating units having a composition ratio of a3 ′, b3 ′, c3 ′, and d3 ′ include the following, but the polymer compounds are not limited thereto. Absent.

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

更に、下記一般式(PA)に示される感光性のスルホニウム塩を有する繰り返し単位を(R1)や(R2)に共重合、含有することもできる。   Furthermore, a repeating unit having a photosensitive sulfonium salt represented by the following general formula (PA) may be copolymerized and contained in (R1) or (R2).

Figure 0004849268
(上式中、Rp1は水素原子又はメチル基、Rp2はフェニレン基、−O−Rp5−、又は−C(=O)−X−Rp5−である。Xは酸素原子又はNH、Rp5は炭素数1〜6の直鎖状、分岐状もしくは環状のアルキレン基、アルケニレン基、又はフェニレン基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよい。Rp3、Rp4は同一又は異種の炭素数1〜12の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基又は炭素数7〜20のアラルキル基、又はチオフェニル基を表す。X-は非求核性対向イオンを表す。)
Figure 0004849268
(In the above formula, R p1 is a hydrogen atom or a methyl group, R p2 is a phenylene group, —O—R p5 —, or —C (═O) —X—R p5 —, where X is an oxygen atom or NH, R p5 represents a linear 1 to 6 carbon atoms, branched or cyclic alkylene group, an alkenylene group, or a phenylene group, a carbonyl group, which may contain an ester group or ether group .R p3, R p4 Are the same or different linear, branched or cyclic alkyl groups having 1 to 12 carbon atoms, which may contain a carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms, or Represents an aralkyl group having 7 to 20 carbon atoms or a thiophenyl group, and X represents a non-nucleophilic counter ion.)

なお、上記ベース樹脂を構成する高分子化合物は1種に限らず2種以上を添加することができる。複数種の高分子化合物を用いることにより、レジスト材料の性能を調整することができる。   The polymer compound constituting the base resin is not limited to one type, and two or more types can be added. The performance of the resist material can be adjusted by using a plurality of types of polymer compounds.

本発明のレジスト材料は、化学増幅ポジ型レジスト材料として機能するために酸発生剤を含んでもよく、例えば、活性光線又は放射線に感応して酸を発生する化合物(光酸発生剤)を含有してもよい。光酸発生剤の成分としては、高エネルギー線照射により酸を発生する化合物であればいずれでも構わない。好適な光酸発生剤としてはスルホニウム塩、ヨードニウム塩、スルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート型酸発生剤等がある。以下に詳述するが、これらは単独であるいは2種以上混合して用いることができる。   The resist material of the present invention may contain an acid generator in order to function as a chemically amplified positive resist material. For example, the resist material contains a compound that generates an acid in response to actinic rays or radiation (photoacid generator). May be. The component of the photoacid generator may be any compound that generates an acid upon irradiation with high energy rays. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate type acid generators, and the like. Although described in detail below, these can be used alone or in admixture of two or more.

スルホニウム塩は、スルホニウムカチオンとスルホネートあるいはビス(置換アルキルスルホニル)イミド、トリス(置換アルキルスルホニル)メチドの塩であり、スルホニウムカチオンとしてトリフェニルスルホニウム、(4−tert−ブトキシフェニル)ジフェニルスルホニウム、ビス(4−tert−ブトキシフェニル)フェニルスルホニウム、トリス(4−tert−ブトキシフェニル)スルホニウム、(3−tert−ブトキシフェニル)ジフェニルスルホニウム、ビス(3−tert−ブトキシフェニル)フェニルスルホニウム、トリス(3−tert−ブトキシフェニル)スルホニウム、(3,4−ジtert−ブトキシフェニル)ジフェニルスルホニウム、ビス(3,4−ジtert−ブトキシフェニル)フェニルスルホニウム、トリス(3,4−ジtert−ブトキシフェニル)スルホニウム、ジフェニル(4−チオフェノキシフェニル)スルホニウム、(4−tert−ブトキシカルボニルメチルオキシフェニル)ジフェニルスルホニウム、トリス(4−tert−ブトキシカルボニルメチルオキシフェニル)スルホニウム、(4−tert−ブトキシフェニル)ビス(4−ジメチルアミノフェニル)スルホニウム、トリス(4−ジメチルアミノフェニル)スルホニウム、2−ナフチルジフェニルスルホニウム、ジメチル2−ナフチルスルホニウム、4−ヒドロキシフェニルジメチルスルホニウム、4−メトキシフェニルジメチルスルホニウム、トリメチルスルホニウム、2−オキソシクロヘキシルシクロヘキシルメチルスルホニウム、トリナフチルスルホニウム、トリベンジルスルホニウム、ジフェニルメチルスルホニウム、ジメチルフェニルスルホニウム、2−オキソ−2−フェニルエチルチアシクロペンタニウム、4−n−ブトキシナフチル−1−チアシクロペンタニウム、2−n−ブトキシナフチル−1−チアシクロペンタニウム等が挙げられ、スルホネートとしては、トリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられ、ビス(置換アルキルスルホニル)イミドとしてはビストリフルオロメチルスルホニルイミド、ビスペンタフルオロエチルスルホニルイミド、ビスヘプタフルオロプロピルスルホニルイミド、1,3−プロピレンビススルホニルイミド等が挙げられ、トリス(置換アルキルスルホニル)メチドとしてはトリストリフルオロメチルスルホニルメチドが挙げられ、これらの組み合わせのスルホニウム塩が挙げられる。 The sulfonium salt is a salt of a sulfonium cation and a sulfonate or bis (substituted alkylsulfonyl) imide or tris (substituted alkylsulfonyl) methide. As the sulfonium cation, triphenylsulfonium, (4-tert-butoxyphenyl) diphenylsulfonium, bis (4 -Tert-butoxyphenyl) phenylsulfonium, tris (4-tert-butoxyphenyl) sulfonium, (3-tert-butoxyphenyl) diphenylsulfonium, bis (3-tert-butoxyphenyl) phenylsulfonium, tris (3-tert-butoxy Phenyl) sulfonium, (3,4-ditert-butoxyphenyl) diphenylsulfonium, bis (3,4-ditert-butoxyphenyl) phenylsulfo , Tris (3,4-ditert-butoxyphenyl) sulfonium, diphenyl (4-thiophenoxyphenyl) sulfonium, (4-tert-butoxycarbonylmethyloxyphenyl) diphenylsulfonium, tris (4-tert-butoxycarbonylmethyloxy) Phenyl) sulfonium, (4-tert-butoxyphenyl) bis (4-dimethylaminophenyl) sulfonium, tris (4-dimethylaminophenyl) sulfonium, 2-naphthyldiphenylsulfonium, dimethyl 2-naphthylsulfonium, 4-hydroxyphenyldimethylsulfonium 4-methoxyphenyldimethylsulfonium, trimethylsulfonium, 2-oxocyclohexylcyclohexylmethylsulfonium, trinaphthylsulfo , Tribenzylsulfonium, diphenylmethylsulfonium, dimethylphenylsulfonium, 2-oxo-2-phenylethylthiacyclopentanium, 4-n-butoxynaphthyl-1-thiacyclopentanium, 2-n-butoxynaphthyl-1- Examples of the sulfonate include trifluoromethane sulfonate, pentafluoroethane sulfonate, nonafluorobutane sulfonate, dodecafluorohexane sulfonate, pentafluoroethyl perfluorocyclohexane sulfonate, heptadecafluorooctane sulfonate, 2,2, and the like. 2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzene Sulfonate, mesitylene sulfonate, 2,4,6-triisopropylbenzene sulfonate, toluene sulfonate, benzene sulfonate, 4- (4′-toluenesulfonyloxy) benzene sulfonate, naphthalene sulfonate, camphor sulfonate, octane sulfonate, dodecyl benzene sulfonate, butane sulfonate Methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) propanesulfonate, , 1,3,3,3-pentafluoro-2-pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate 1,1,3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4- tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropanesulfonate, 2-adamantanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2-acetyloxy-1 1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxy Propanesulfonate, 1,1-difluoro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2- Norbornane-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2, 5. 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate, etc., and bis (substituted alkylsulfonyl) imide includes bistrifluoromethylsulfonylimide, bispentafluoroethylsulfonylimide, bisheptafluoropropylsulfonylimide 1,3-propylenebissulfonylimide and the like, and tris (substituted alkylsulfonyl) methides include tristrifluoromethylsulfonylmethide, and sulfonium salts of these combinations.

ヨードニウム塩は、ヨードニウムカチオンとスルホネートあるいはビス(置換アルキルスルホニル)イミド、トリス(置換アルキルスルホニル)メチドの塩であり、ジフェニルヨードニウム、ビス(4−tert−ブチルフェニル)ヨードニウム、4−tert−ブトキシフェニルフェニルヨードニウム、4−メトキシフェニルフェニルヨードニウム等のアリールヨードニウムカチオンとスルホネートとしてトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、4−(4−トルエンスルホニルオキシ)ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられ、ビス(置換アルキルスルホニル)イミドとしてはビストリフルオロメチルスルホニルイミド、ビスペンタフルオロエチルスルホニルイミド、ビスヘプタフルオロプロピルスルホニルイミド、1,3−プロピレンビススルホニルイミド等が挙げられ、トリス(置換アルキルスルホニル)メチドとしてはトリストリフルオロメチルスルホニルメチドが挙げられ、これらの組み合わせのヨードニウム塩が挙げられる。 The iodonium salt is a salt of iodonium cation and sulfonate, bis (substituted alkylsulfonyl) imide, tris (substituted alkylsulfonyl) methide, diphenyliodonium, bis (4-tert-butylphenyl) iodonium, 4-tert-butoxyphenylphenyl. Aryliodonium cations such as iodonium, 4-methoxyphenylphenyliodonium and sulfonates such as trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2 , 2,2-Trifluoroethanesulfonate, pentafluorobenzene Sulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, mesitylenesulfonate, 2,4,6-triisopropylbenzenesulfonate, toluenesulfonate, benzenesulfonate, 4- (4-toluenesulfonyloxy) benzenesulfonate, naphthalenesulfonate , Camphorsulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro- 2- (4-phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cycl Hexanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1, 3,3,3-pentafluoropropane sulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-adamantanecarbonylcarbonyl-1,1,3 , 3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1, , 1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difur Oro-2-naphthyl-ethanesulfonate, 1,1,2,2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4. 4.0.1 2,5 . 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate, etc., and bis (substituted alkylsulfonyl) imide includes bistrifluoromethylsulfonylimide, bispentafluoroethylsulfonylimide, bisheptafluoropropylsulfonylimide 1,3-propylenebissulfonylimide and the like, and tris (substituted alkylsulfonyl) methide includes tristrifluoromethylsulfonylmethide, and iodonium salts of these combinations.

スルホニルジアゾメタンとしては、ビス(エチルスルホニル)ジアゾメタン、ビス(1−メチルプロピルスルホニル)ジアゾメタン、ビス(2−メチルプロピルスルホニル)ジアゾメタン、ビス(1,1−ジメチルエチルスルホニル)ジアゾメタン、ビス(シクロヘキシルスルホニル)ジアゾメタン、ビス(パーフルオロイソプロピルスルホニル)ジアゾメタン、ビス(フェニルスルホニル)ジアゾメタン、ビス(4−メチルフェニルスルホニル)ジアゾメタン、ビス(2,4−ジメチルフェニルスルホニル)ジアゾメタン、ビス(2−ナフチルスルホニル)ジアゾメタン、ビス(4−アセチルオキシフェニルスルホニル)ジアゾメタン、ビス(4−メタンスルホニルオキシフェニルスルホニル)ジアゾメタン、ビス(4−(4−トルエンスルホニルオキシ)フェニルスルホニル)ジアゾメタン、ビス(4−n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(3,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−5−イソプロピル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、4−メチルフェニルスルホニルベンゾイルジアゾメタン、tertブチルカルボニル−4−メチルフェニルスルホニルジアゾメタン、2−ナフチルスルホニルベンゾイルジアゾメタン、4−メチルフェニルスルホニル2−ナフトイルジアゾメタン、メチルスルホニルベンゾイルジアゾメタン、tertブトキシカルボニル−4−メチルフェニルスルホニルジアゾメタン等のビススルホニルジアゾメタンとスルホニル−カルボニルジアゾメタンが挙げられる。   As the sulfonyldiazomethane, bis (ethylsulfonyl) diazomethane, bis (1-methylpropylsulfonyl) diazomethane, bis (2-methylpropylsulfonyl) diazomethane, bis (1,1-dimethylethylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane , Bis (perfluoroisopropylsulfonyl) diazomethane, bis (phenylsulfonyl) diazomethane, bis (4-methylphenylsulfonyl) diazomethane, bis (2,4-dimethylphenylsulfonyl) diazomethane, bis (2-naphthylsulfonyl) diazomethane, bis ( 4-acetyloxyphenylsulfonyl) diazomethane, bis (4-methanesulfonyloxyphenylsulfonyl) diazomethane, bis (4- (4-toluenes) Phonyloxy) phenylsulfonyl) diazomethane, bis (4-n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2,5-dimethyl-4-) (N-hexyloxy) phenylsulfonyl) diazomethane, bis (3,5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl-5-isopropyl-4- (n-hexyloxy) Phenylsulfonyl) diazomethane, 4-methylphenylsulfonylbenzoyldiazomethane, tertbutylcarbonyl-4-methylphenylsulfonyldiazomethane, 2-naphthylsulfonylbenzoyldiazomethane, 4-methylphenylsulfonyl-2-naphthoyldi Include carbonyl diazomethane - Zometan, bissulfonyldiazomethanes and sulfonyl such as methylsulfonyl benzoyl diazomethane, tert-butoxycarbonyl-4-methylphenyl sulfonyl diazomethane.

N−スルホニルオキシイミド型光酸発生剤としては、コハク酸イミド、ナフタレンジカルボン酸イミド、フタル酸イミド、シクロヘキシルジカルボン酸イミド、5−ノルボルネン−2,3−ジカルボン酸イミド、7−オキサビシクロ[2.2.1]−5−ヘプテン−2,3−ジカルボン酸イミド等のイミド骨格とトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、メシチレンスルホネート、2,4,6−トリイソプロピルベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等の組み合わせの化合物が挙げられる。 Examples of the N-sulfonyloxyimide type photoacid generator include succinimide, naphthalene dicarboxylic imide, phthalic imide, cyclohexyl dicarboxylic imide, 5-norbornene-2,3-dicarboxylic imide, 7-oxabicyclo [2. 2.1] An imide skeleton such as 5-heptene-2,3-dicarboxylic acid imide and trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethylperfluorocyclohexanesulfonate, heptadeca Fluorooctane sulfonate, 2,2,2-trifluoroethane sulfonate, pentafluorobenzene sulfonate, 4-trifluoromethylbenzene sulfonate, 4-fluorobenzene sulfonate , Mesitylene sulfonate, 2,4,6-triisopropylbenzene sulfonate, toluene sulfonate, benzene sulfonate, naphthalene sulfonate, camphor sulfonate, octane sulfonate, dodecylbenzene sulfonate, butane sulfonate, methane sulfonate, 2-benzoyloxy-1,1, 3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2 -Pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanoate Sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-Adamantanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3 3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1,1,2, 2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetra Fluoro-2- (tetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodec-3-en-8-yl) ethanesulfonate and the like.

ベンゾインスルホネート型光酸発生剤としては、ベンゾイントシレート、ベンゾインメシレート、ベンゾインブタンスルホネート等が挙げられる。   Examples of the benzoin sulfonate photoacid generator include benzoin tosylate, benzoin mesylate, and benzoin butane sulfonate.

ピロガロールトリスルホネート型光酸発生剤としては、ピロガロール、フロログリシノール、カテコール、レゾルシノール、ヒドロキノンのヒドロキシル基のすべてをトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等で置換した化合物が挙げられる。 Pyrogallol trisulfonate photoacid generators include pyrogallol, phlorogricinol, catechol, resorcinol, hydroquinone hydroxyl groups all trifluoromethanesulfonate, pentafluoroethanesulfonate, nonafluorobutanesulfonate, dodecafluorohexanesulfonate, pentafluoroethyl. Perfluorocyclohexanesulfonate, heptadecafluorooctanesulfonate, 2,2,2-trifluoroethanesulfonate, pentafluorobenzenesulfonate, 4-trifluoromethylbenzenesulfonate, 4-fluorobenzenesulfonate, toluenesulfonate, benzenesulfonate, naphthalenesulfonate, Camphorsulfonate, octanesulfonate, dodecylbe Zensulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-phenylbenzoyloxy) ) Propane sulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropane sulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1 , 3,3,3-pentafluoro-2-furoyloxypropane sulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4-tert-butylbenzoyloxy) -1,1,3,3,3-pentafluoropropane sulfonate, 2-ada Mantanecarbonyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1,3,3,3-penta Fluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1,1,2,2-tetra fluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10] dodeca-3 And a compound substituted with ene-8-yl) ethanesulfonate.

ニトロベンジルスルホネート型光酸発生剤としては、2,4−ジニトロベンジルスルホネート、2−ニトロベンジルスルホネート、2,6−ジニトロベンジルスルホネートが挙げられ、スルホネートとしては、具体的にトリフルオロメタンスルホネート、ペンタフルオロエタンスルホネート、ノナフルオロブタンスルホネート、ドデカフルオロヘキサンスルホネート、ペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、ヘプタデカフルオロオクタンスルホネート、2,2,2−トリフルオロエタンスルホネート、ペンタフルオロベンゼンスルホネート、4−トリフルオロメチルベンゼンスルホネート、4−フルオロベンゼンスルホネート、トルエンスルホネート、ベンゼンスルホネート、ナフタレンスルホネート、カンファースルホネート、オクタンスルホネート、ドデシルベンゼンスルホネート、ブタンスルホネート、メタンスルホネート、2−ベンゾイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−(4−フェニルベンゾイルオキシ)プロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ピバロイルオキシプロパンスルホネート、2−シクロヘキサンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−フロイルオキシプロパンスルホネート、2−ナフトイルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−(4−tert−ブチルベンゾイルオキシ)−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アダンマンタンカルボニルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、2−アセチルオキシ−1,1,3,3,3−ペンタフルオロプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネート、1,1,3,3,3−ペンタフルオロ−2−トシルオキシプロパンスルホネート、1,1−ジフルオロ−2−ナフチル−エタンスルホネート、1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、1,1,2,2−テトラフルオロ−2−(テトラシクロ[4.4.0.12,5.17,10]ドデカ−3−エン−8−イル)エタンスルホネート等が挙げられる。またベンジル側のニトロ基をトリフルオロメチル基で置き換えた化合物も同様に用いることができる。 Examples of the nitrobenzyl sulfonate photoacid generator include 2,4-dinitrobenzyl sulfonate, 2-nitrobenzyl sulfonate, and 2,6-dinitrobenzyl sulfonate. Specific examples of the sulfonate include trifluoromethane sulfonate and pentafluoroethane. Sulfonate, nonafluorobutane sulfonate, dodecafluorohexane sulfonate, pentafluoroethyl perfluorocyclohexane sulfonate, heptadecafluorooctane sulfonate, 2,2,2-trifluoroethane sulfonate, pentafluorobenzene sulfonate, 4-trifluoromethylbenzene sulfonate, 4-Fluorobenzenesulfonate, toluenesulfonate, benzenesulfonate, naphthalenesulfonate, camphor Sulfonate, octanesulfonate, dodecylbenzenesulfonate, butanesulfonate, methanesulfonate, 2-benzoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 1,1,3,3,3-pentafluoro-2- (4-Phenylbenzoyloxy) propanesulfonate, 1,1,3,3,3-pentafluoro-2-pivaloyloxypropanesulfonate, 2-cyclohexanecarbonyloxy-1,1,3,3,3-pentafluoro Propanesulfonate, 1,1,3,3,3-pentafluoro-2-furoyloxypropanesulfonate, 2-naphthoyloxy-1,1,3,3,3-pentafluoropropanesulfonate, 2- (4- tert-butylbenzoyloxy) -1,1,3,3,3 Pentafluoropropane sulfonate, 2-adamantanecarbonylcarbonyl-1,1,3,3,3-pentafluoropropane sulfonate, 2-acetyloxy-1,1,3,3,3-pentafluoropropane sulfonate, 1,1 , 3,3,3-pentafluoro-2-hydroxypropane sulfonate, 1,1,3,3,3-pentafluoro-2-tosyloxypropane sulfonate, 1,1-difluoro-2-naphthyl-ethane sulfonate, 1 , 1,2,2-tetrafluoro-2- (norbornan-2-yl) ethanesulfonate, 1,1,2,2-tetrafluoro-2- (tetracyclo [4.4.0.1 2,5 .1 7,10 ] dodec-3-en-8-yl) ethanesulfonate and the like. A compound in which the nitro group on the benzyl side is replaced with a trifluoromethyl group can also be used.

スルホン型光酸発生剤の例としては、ビス(フェニルスルホニル)メタン、ビス(4−メチルフェニルスルホニル)メタン、ビス(2−ナフチルスルホニル)メタン、2,2−ビス(フェニルスルホニル)プロパン、2,2−ビス(4−メチルフェニルスルホニル)プロパン、2,2−ビス(2−ナフチルスルホニル)プロパン、2−メチル−2−(p−トルエンスルホニル)プロピオフェノン、2−シクロヘキシルカルボニル)−2−(p−トルエンスルホニル)プロパン、2,4−ジメチル−2−(p−トルエンスルホニル)ペンタン−3−オン等が挙げられる。   Examples of the sulfone photoacid generator include bis (phenylsulfonyl) methane, bis (4-methylphenylsulfonyl) methane, bis (2-naphthylsulfonyl) methane, 2,2-bis (phenylsulfonyl) propane, 2, 2-bis (4-methylphenylsulfonyl) propane, 2,2-bis (2-naphthylsulfonyl) propane, 2-methyl-2- (p-toluenesulfonyl) propiophenone, 2-cyclohexylcarbonyl) -2- ( p-toluenesulfonyl) propane, 2,4-dimethyl-2- (p-toluenesulfonyl) pentan-3-one, and the like.

グリオキシム誘導体型の光酸発生剤は、特許第2906999号公報や特開平9−301948号公報に記載の化合物を挙げることができ、具体的にはビス−O−(p−トルエンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−トルエンスルホニル)−α−ジフェニルグリオキシム、ビス−O−(p−トルエンスルホニル)−α−ジシクロヘキシルグリオキシム、ビス−O−(p−トルエンスルホニル)−2,3−ペンタンジオングリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジフェニルグリオキシム、ビス−O−(n−ブタンスルホニル)−α−ジシクロヘキシルグリオキシム、ビス−O−(メタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(トリフルオロメタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(2,2,2−トリフルオロエタンスルホニル)−α−ジメチルグリオキシム、ビス−O−(10−カンファースルホニル)−α−ジメチルグリオキシム、ビス−O−(ベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−フルオロベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(p−トリフルオロメチルベンゼンスルホニル)−α−ジメチルグリオキシム、ビス−O−(キシレンスルホニル)−α−ジメチルグリオキシム、ビス−O−(トリフルオロメタンスルホニル)−ニオキシム、ビス−O−(2,2,2−トリフルオロエタンスルホニル)−ニオキシム、ビス−O−(10−カンファースルホニル)−ニオキシム、ビス−O−(ベンゼンスルホニル)−ニオキシム、ビス−O−(p−フルオロベンゼンスルホニル)−ニオキシム、ビス−O−(p−トリフルオロメチルベンゼンスルホニル)−ニオキシム、ビス−O−(キシレンスルホニル)−ニオキシム等が挙げられる。   Examples of the glyoxime derivative-type photoacid generator include compounds described in Japanese Patent No. 2906999 and Japanese Patent Application Laid-Open No. 9-301948, and specifically, bis-O- (p-toluenesulfonyl) -α-. Dimethylglyoxime, bis-O- (p-toluenesulfonyl) -α-diphenylglyoxime, bis-O- (p-toluenesulfonyl) -α-dicyclohexylglyoxime, bis-O- (p-toluenesulfonyl) -2 , 3-pentanedione glyoxime, bis-O- (n-butanesulfonyl) -α-dimethylglyoxime, bis-O- (n-butanesulfonyl) -α-diphenylglyoxime, bis-O- (n-butane Sulfonyl) -α-dicyclohexylglyoxime, bis-O- (methanesulfonyl) -α-dimethylglyoxime, bis O- (trifluoromethanesulfonyl) -α-dimethylglyoxime, bis-O- (2,2,2-trifluoroethanesulfonyl) -α-dimethylglyoxime, bis-O- (10-camphorsulfonyl) -α- Dimethylglyoxime, bis-O- (benzenesulfonyl) -α-dimethylglyoxime, bis-O- (p-fluorobenzenesulfonyl) -α-dimethylglyoxime, bis-O- (p-trifluoromethylbenzenesulfonyl) -Α-dimethylglyoxime, bis-O- (xylenesulfonyl) -α-dimethylglyoxime, bis-O- (trifluoromethanesulfonyl) -nioxime, bis-O- (2,2,2-trifluoroethanesulfonyl) -Nioxime, bis-O- (10-camphorsulfonyl) -nioxime, bis O- (benzenesulfonyl) -nioxime, bis-O- (p-fluorobenzenesulfonyl) -nioxime, bis-O- (p-trifluoromethylbenzenesulfonyl) -nioxime, bis-O- (xylenesulfonyl) -nioxime, etc. Is mentioned.

また、米国特許第6004724号明細書記載のオキシムスルホネート、特に(5−(4−トルエンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(10−カンファースルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−n−オクタンスルホニルオキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(4−トルエンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル、(5−(10−カンファースルホニル)オキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル、(5−n−オクタンスルホニルオキシイミノ−5H−チオフェン−2−イリデン)(2−メチルフェニル)アセトニトリル等が挙げられ、更に米国特許第6916591号明細書記載の(5−(4−(4−トルエンスルホニルオキシ)ベンゼンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル、(5−(2,5−ビス(4−トルエンスルホニルオキシ)ベンゼンスルホニル)オキシイミノ−5H−チオフェン−2−イリデン)フェニルアセトニトリル等が挙げられる。   Further, oxime sulfonates described in US Pat. No. 6,0047,424, particularly (5- (4-toluenesulfonyl) oxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5- (10-camphorsulfonyl) oxyimino-5H- Thiophen-2-ylidene) phenylacetonitrile, (5-n-octanesulfonyloxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, (5- (4-toluenesulfonyl) oxyimino-5H-thiophen-2-ylidene) ( 2-Methylphenyl) acetonitrile, (5- (10-camphorsulfonyl) oxyimino-5H-thiophen-2-ylidene) (2-methylphenyl) acetonitrile, (5-n-octanesulfonyloxyimino-5H-thiof N-2-ylidene) (2-methylphenyl) acetonitrile and the like, and (5- (4- (4-toluenesulfonyloxy) benzenesulfonyl) oxyimino-5H-thiophene- described in US Pat. No. 6,916,591. 2-ylidene) phenylacetonitrile, (5- (2,5-bis (4-toluenesulfonyloxy) benzenesulfonyl) oxyimino-5H-thiophen-2-ylidene) phenylacetonitrile, and the like.

米国特許第6261738号明細書、特開2000−314956号公報記載のオキシムスルホネート、特に2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(4−メトキシフェニルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−フェニル−エタノンオキシム−O−(2,4,6−トリメチルフェニルスルホナート)、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(メチルスルホナート)、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(1−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(2−ナフチルスルホナート)、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メチルチオフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(3,4−ジメトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,3,3,4,4,4−ヘプタフルオロ−1−フェニル−ブタノンオキシム−O−(10−カンホリルスルホナート)、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−10−カンホリルスルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(フェニル)−エタノンオキシム−O−(2,4,6−トリメチルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4−ジメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(1−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2,4,6−トリメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(3,4−ジメトキシフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−メチルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−(4−ドデシルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−メトキシフェニル)−エタノンオキシム−O−オクチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(4−メトキシフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(4−ドデシルフェニル)スルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−オクチルスルホナート、2,2,2−トリフルオロ−1−(4−チオメチルフェニル)−エタノンオキシム−O−(2−ナフチル)スルホナート、2,2,2−トリフルオロ−1−(2−メチルフェニル)−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−(4−メチルフェニル)−エタノンオキシム−O−フェニルスルホナート、2,2,2−トリフルオロ−1−(4−クロロフェニル)−エタノンオキシム−O−フェニルスルホナート、2,2,3,3,4,4,4−ヘプタフルオロ−1−(フェニル)−ブタノンオキシム−O−(10−カンホリル)スルホナート、2,2,2−トリフルオロ−1−ナフチル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−2−ナフチル−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルフェニル]−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−[4−(フェニル−1,4−ジオキサ−ブト−1−イル)フェニル]−エタノンオキシム−O−メチルスルホナート、2,2,2−トリフルオロ−1−ナフチル−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−2−ナフチル−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メチルスルホニルフェニル]−エタノンオキシム−O−プロピルスルホナート、1,3−ビス[1−(4−フェノキシフェニル)−2,2,2−トリフルオロエタノンオキシム−O−スルホニル]フェニル、2,2,2−トリフルオロ−1−[4−メチルスルホニルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メチルカルボニルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[6H,7H−5,8−ジオキソナフト−2−イル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−メトキシカルボニルメトキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−(メトキシカルボニル)−(4−アミノ−1−オキサ−ペンタ−1−イル)−フェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[3,5−ジメチル−4−エトキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[4−ベンジルオキシフェニル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−[2−チオフェニル]−エタノンオキシム−O−プロピルスルホナート、及び2,2,2−トリフルオロ−1−[1−ジオキサ−チオフェン−2−イル]−エタノンオキシム−O−プロピルスルホナート、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(トリフルオロメタンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(トリフルオロメタンスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(1−プロパンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(1−プロパンスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(1−ブタンスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(1−ブタンスルホネート)等が挙げられ、更に米国特許第6916591号明細書記載の2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(4−(4−メチルフェニルスルホニルオキシ)フェニルスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(4−(4−メチルフェニルスルホニルオキシ)フェニルスルホネート)、2,2,2−トリフルオロ−1−(4−(3−(4−(2,2,2−トリフルオロ−1−(2,5−ビス(4−メチルフェニルスルホニルオキシ)ベンゼンスルホニルオキシ)フェニルスルホニルオキシイミノ)−エチル)−フェノキシ)−プロポキシ)−フェニル)エタノンオキシム(2,5−ビス(4−メチルフェニルスルホニルオキシ)ベンゼンスルホニルオキシ)フェニルスルホネート)等が挙げられる。   U.S. Pat. No. 6,261,738, JP-A-2000-314956, oxime sulfonates, particularly 2,2,2-trifluoro-1-phenyl-ethanone oxime-O-methylsulfonate, 2,2,2- Trifluoro-1-phenyl-ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (4-methoxyphenyl sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (1-naphthylsulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (2-naphthyl) Sulfonate), 2,2,2-trifluoro-1-phenyl-ethanone oxime-O- (2,4,6-trimethylphenylsulfonate 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (4-methylphenyl) ) -Ethanone oxime-O- (methyl sulfonate), 2,2,2-trifluoro-1- (2-methylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2 , 2-Trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (2,4-dimethylphenyl) ) -Ethanone oxime-O- (1-naphthyl sulfonate), 2,2,2-trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (2-naphthyl sulfonate), 2 2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (2,4 , 6-Trimethylphenyl) -ethanone oxime-O- (1-naphthylsulfonate), 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- ( 2-naphthylsulfonate), 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (4-methylthio) Phenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (3,4-dimethoxyphenyl) -ethanone oxime-O-methylsulfonate, 2,2,3 , 3,4,4,4-Heptafluoro-1-phenyl-butanone oxime-O- (10-camphoryl sulfonate), 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O -Methyl sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O-10-camphoryl sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone Oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1 -(Phenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (phenyl) -ethanone oxime-O- (2,4,6-tri Tilphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O- (10-camphoryl) sulfonate, 2,2,2-trifluoro-1- (4-methyl) Phenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (2-methylphenyl) -ethanone oxime-O- (10-camphoryl) sulfonate, 2,2,2- Trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4-dimethylphenyl) -ethanone oxime -O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (10- Morpholyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (1-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2,4,6-trimethylphenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-methylsulfo Narate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (3,4-dimethoxyphenyl) -Ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O- (4-methylphenyl) sulfur Honate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) ) -Ethanone oxime-O- (4-dodecylphenyl) sulfonate, 2,2,2-trifluoro-1- (4-methoxyphenyl) -ethanone oxime-O-octylsulfonate, 2,2,2- Trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O- (4-methoxyphenyl) sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime O- (4-dodecylphenyl) sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O-octyl Sulfonate, 2,2,2-trifluoro-1- (4-thiomethylphenyl) -ethanone oxime-O- (2-naphthyl) sulfonate, 2,2,2-trifluoro-1- (2-methylphenyl) ) -Ethanone oxime-O-methyl sulfonate, 2,2,2-trifluoro-1- (4-methylphenyl) -ethanone oxime-O-phenyl sulfonate, 2,2,2-trifluoro-1 -(4-Chlorophenyl) -ethanone oxime-O-phenyl sulfonate, 2,2,3,3,4,4,4-heptafluoro-1- (phenyl) -butanone oxime-O- (10-camphoryl) Sulfonate, 2,2,2-trifluoro-1-naphthyl-ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-2-naphthyl-ethanone Shim-O-methylsulfonate, 2,2,2-trifluoro-1- [4-benzylphenyl] -ethanone oxime-O-methylsulfonate, 2,2,2-trifluoro-1- [4- (Phenyl-1,4-dioxa-but-1-yl) phenyl] -ethanone oxime-O-methyl sulfonate, 2,2,2-trifluoro-1-naphthyl-ethanone oxime-O-propyl sulfonate 2,2,2-trifluoro-2-naphthyl-ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [4-benzylphenyl] -ethanone oxime-O-propyl sulfone Narate, 2,2,2-trifluoro-1- [4-methylsulfonylphenyl] -ethanone oxime-O-propylsulfonate, 1,3-bis [1- (4-phenyl) Enoxyphenyl) -2,2,2-trifluoroethanone oxime-O-sulfonyl] phenyl, 2,2,2-trifluoro-1- [4-methylsulfonyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [4-methylcarbonyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [6H, 7H-5,8- Dioxonaphth-2-yl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [4-methoxycarbonylmethoxyphenyl] -ethanone oxime-O-propyl sulfonate, 2,2 , 2-trifluoro-1- [4- (methoxycarbonyl)-(4-amino-1-oxa-pent-1-yl) -fur Nyl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- [3,5-dimethyl-4-ethoxyphenyl] -ethanone oxime-O-propyl sulfonate, 2,2 , 2-trifluoro-1- [4-benzyloxyphenyl] -ethanone oxime-O-propylsulfonate, 2,2,2-trifluoro-1- [2-thiophenyl] -ethanone oxime-O-propyl Sulfonate and 2,2,2-trifluoro-1- [1-dioxa-thiophen-2-yl] -ethanone oxime-O-propyl sulfonate, 2,2,2-trifluoro-1- (4 -(3- (4- (2,2,2-trifluoro-1- (trifluoromethanesulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) Tanone oxime (trifluoromethanesulfonate), 2,2,2-trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1- (1-propanesulfonyloxyimino) -ethyl) -Phenoxy) -propoxy) -phenyl) ethanone oxime (1-propanesulfonate), 2,2,2-trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1) -(1-butanesulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) ethanone oxime (1-butanesulfonate) and the like, and 2,2,2 described in US Pat. No. 6,916,591 -Trifluoro-1- (4- (3- (4- (2,2,2-trifluoro-1- (4- (4-methylphenylsulfonyloxy) phenylsulfo Nyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) ethanone oxime (4- (4-methylphenylsulfonyloxy) phenylsulfonate), 2,2,2-trifluoro-1- (4- (3- ( 4- (2,2,2-trifluoro-1- (2,5-bis (4-methylphenylsulfonyloxy) benzenesulfonyloxy) phenylsulfonyloxyimino) -ethyl) -phenoxy) -propoxy) -phenyl) eta Nonoxime (2,5-bis (4-methylphenylsulfonyloxy) benzenesulfonyloxy) phenylsulfonate) and the like.

特開平9−95479号公報、特開平9−230588号公報あるいは文中の従来技術として記載のオキシムスルホネートα−(p−トルエンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(p−クロロベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(4−ニトロベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(4−ニトロ−2−トリフルオロメチルベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−4−クロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2,4−ジクロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2,6−ジクロロフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−4−メトキシフェニルアセトニトリル、α−(2−クロロベンゼンスルホニルオキシイミノ)−4−メトキシフェニルアセトニトリル、α−(ベンゼンスルホニルオキシイミノ)−2−チエニルアセトニトリル、α−(4−ドデシルベンゼンスルホニルオキシイミノ)−フェニルアセトニトリル、α−[(4−トルエンスルホニルオキシイミノ)−4−メトキシフェニル]アセトニトリル、α−[(ドデシルベンゼンスルホニルオキシイミノ)−4−メトキシフェニル]アセトニトリル、α−(トシルオキシイミノ)−3−チエニルアセトニトリル、α−(メチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(エチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(イソプロピルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(n−ブチルスルホニルオキシイミノ)−1−シクロペンテニルアセトニトリル、α−(エチルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル、α−(イソプロピルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル、α−(n−ブチルスルホニルオキシイミノ)−1−シクロヘキセニルアセトニトリル等が挙げられる。   JP-A-9-95479, JP-A-9-230588, or oxime sulfonate α- (p-toluenesulfonyloxyimino) -phenylacetonitrile, α- (p-chlorobenzenesulfonyloxyimino)- Phenylacetonitrile, α- (4-nitrobenzenesulfonyloxyimino) -phenylacetonitrile, α- (4-nitro-2-trifluoromethylbenzenesulfonyloxyimino) -phenylacetonitrile, α- (benzenesulfonyloxyimino) -4-chlorophenyl Acetonitrile, α- (benzenesulfonyloxyimino) -2,4-dichlorophenylacetonitrile, α- (benzenesulfonyloxyimino) -2,6-dichlorophenylacetonitrile, α- (benzene Sulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (2-chlorobenzenesulfonyloxyimino) -4-methoxyphenylacetonitrile, α- (benzenesulfonyloxyimino) -2-thienylacetonitrile, α- (4-dodecylbenzenesulfonyl) Oxyimino) -phenylacetonitrile, α-[(4-toluenesulfonyloxyimino) -4-methoxyphenyl] acetonitrile, α-[(dodecylbenzenesulfonyloxyimino) -4-methoxyphenyl] acetonitrile, α- (tosyloxyimino ) -3-thienylacetonitrile, α- (methylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (ethylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- Isopropylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (n-butylsulfonyloxyimino) -1-cyclopentenylacetonitrile, α- (ethylsulfonyloxyimino) -1-cyclohexenylacetonitrile, α- (isopropylsulfonyloxy) Imino) -1-cyclohexenylacetonitrile, α- (n-butylsulfonyloxyimino) -1-cyclohexenylacetonitrile, and the like.

下記式で示されるオキシムスルホネート(例えばWO2004/074242に具体例記載)が挙げられる。   Examples thereof include oxime sulfonates represented by the following formula (for example, specific examples are described in WO2004 / 074242).

Figure 0004849268
(上式中、RS1は置換又は非置換の炭素数1〜10のハロアルキルスルホニル、ハロベンゼンスルホニル基を表す。RS2は炭素数1〜11のハロアルキル基を表す。ArS1は置換又は非置換の芳香族基又はヘテロ芳香族基を表す。)
Figure 0004849268
(In the above formula, R S1 represents a substituted or unsubstituted haloalkylsulfonyl or halobenzenesulfonyl group having 1 to 10 carbon atoms. R S2 represents a haloalkyl group having 1 to 11 carbon atoms. Ar S1 is substituted or unsubstituted. Represents an aromatic group or a heteroaromatic group.)

具体的には、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−フルオレン、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−フルオレン、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−フルオレン、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−4−ビフェニル、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−4−ビフェニル、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−4−ビフェニル等が挙げられる。   Specifically, 2- [2,2,3,3,4,4,5,5-octafluoro-1- (nonafluorobutylsulfonyloxyimino) -pentyl] -fluorene, 2- [2,2, 3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -fluorene, 2- [2,2,3,3,4,4,5,5,6,6- Decafluoro-1- (nonafluorobutylsulfonyloxyimino) -hexyl] -fluorene, 2- [2,2,3,3,4,4,5,5-octafluoro-1- (nonafluorobutylsulfonyloxyimino) ) -Pentyl] -4-biphenyl, 2- [2,2,3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -4-biphenyl, 2- [2, 2, 3, 3 4,4,5,5,6,6- deca fluoro-1- (nonafluorobutylsulfonyloxy-imino) - hexyl] -4-biphenyl, and the like.

また、ビスオキシムスルホネートとして特開平9−208554号公報記載の化合物、特にビス(α−(4−トルエンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(ベンゼンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(メタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリルビス(α−(ブタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(10−カンファースルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(トリフルオロメタンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−メトキシベンゼンスルホニルオキシ)イミノ)−p−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(ベンゼンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(メタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリルビス(α−(ブタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(10−カンファースルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(4−トルエンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(トリフルオロメタンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル、ビス(α−(4−メトキシベンゼンスルホニルオキシ)イミノ)−m−フェニレンジアセトニトリル等が挙げられる。   Further, as bisoxime sulfonate, compounds described in JP-A-9-208554, particularly bis (α- (4-toluenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (benzenesulfonyloxy) imino)- p-phenylenediacetonitrile, bis (α- (methanesulfonyloxy) imino) -p-phenylenediacetonitrilebis (α- (butanesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (10-camphorsulfonyl) Oxy) imino) -p-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (trifluoromethanesulfonyloxy) imino) -p-phenylenediacetonitrile, Screw (α- (4-Methoxybenzenesulfonyloxy) imino) -p-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (benzenesulfonyloxy) imino) -m -Phenylenediacetonitrile, bis (α- (methanesulfonyloxy) imino) -m-phenylenediacetonitrilebis (α- (butanesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (10-camphorsulfonyloxy) ) Imino) -m-phenylenediacetonitrile, bis (α- (4-toluenesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (α- (trifluoromethanesulfonyloxy) imino) -m-phenylenediacetonitrile, bis (Α- (4-methoxybenzenesulfonyloxy) imino) -m-phenylenediacetonitrile and the like.

中でも好ましく用いられる光酸発生剤としては、スルホニウム塩、ビススルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート、グリオキシム誘導体である。より好ましく用いられる光酸発生剤としては、スルホニウム塩、ビススルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネートである。具体的にはトリフェニルスルホニウムp−トルエンスルホネート、トリフェニルスルホニウムカンファースルホネート、トリフェニルスルホニウムペンタフルオロベンゼンスルホネート、トリフェニルスルホニウムノナフルオロブタンスルホネート、トリフェニルスルホニウム4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、トリフェニルスルホニウム−2,4,6−トリイソプロピルベンゼンスルホネート、4−tert−ブトキシフェニルジフェニルスルホニウムp−トルエンスルホネート、4−tert−ブトキシフェニルジフェニルスルホニウムカンファースルホネート、4−tert−ブトキシフェニルジフェニルスルホニウム4−(4’−トルエンスルホニルオキシ)ベンゼンスルホネート、トリス(4−メチルフェニル)スルホニウム、カンファースルホネート、トリス(4−tertブチルフェニル)スルホニウムカンファースルホネート、4−tert−ブチルフェニルジフェニルスルホニウムカンファースルホネート、4−tert−ブチルフェニルジフェニルスルホニウムノナフルオロ−1−ブタンスルホネート、4−tert−ブチルフェニルジフェニルスルホニウムペンタフルオロエチルパーフルオロシクロヘキサンスルホネート、4−tert−ブチルフェニルジフェニルスルホニウムパーフルオロ−1−オクタンスルホネート、トリフェニルスルホニウム1,1−ジフルオロ−2−ナフチル−エタンスルホネート、トリフェニルスルホニウム1,1,2,2−テトラフルオロ−2−(ノルボルナン−2−イル)エタンスルホネート、ビス(tert−ブチルスルホニル)ジアゾメタン、ビス(シクロへキシルスルホニル)ジアゾメタン、ビス(2,4−ジメチルフェニルスルホニル)ジアゾメタン、ビス(4−n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(3,5−ジメチル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(2−メチル−5−イソプロピル−4−(n−ヘキシルオキシ)フェニルスルホニル)ジアゾメタン、ビス(4−tert−ブチルフェニルスルホニル)ジアゾメタン、N−カンファースルホニルオキシ−5−ノルボルネン−2,3−ジカルボン酸イミド、N−p−トルエンスルホニルオキシ−5−ノルボルネン−2,3−ジカルボン酸イミド、2−[2,2,3,3,4,4,5,5−オクタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ペンチル]−フルオレン、2−[2,2,3,3,4,4−ペンタフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ブチル]−フルオレン、2−[2,2,3,3,4,4,5,5,6,6−デカフルオロ−1−(ノナフルオロブチルスルホニルオキシイミノ)−ヘキシル]−フルオレン等が挙げられる。   Among them, preferred photoacid generators are sulfonium salts, bissulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate, and glyoxime derivatives. More preferably used photoacid generators are sulfonium salts, bissulfonyldiazomethanes, N-sulfonyloxyimides, and oxime-O-sulfonates. Specifically, triphenylsulfonium p-toluenesulfonate, triphenylsulfonium camphorsulfonate, triphenylsulfonium pentafluorobenzenesulfonate, triphenylsulfonium nonafluorobutanesulfonate, triphenylsulfonium 4- (4′-toluenesulfonyloxy) benzenesulfonate, Triphenylsulfonium-2,4,6-triisopropylbenzenesulfonate, 4-tert-butoxyphenyldiphenylsulfonium p-toluenesulfonate, 4-tert-butoxyphenyldiphenylsulfonium camphorsulfonate, 4-tert-butoxyphenyldiphenylsulfonium 4- ( 4′-Toluenesulfonyloxy) benzenesulfonate, tris (4-methyl) Phenyl) sulfonium, camphorsulfonate, tris (4-tertbutylphenyl) sulfonium camphorsulfonate, 4-tert-butylphenyldiphenylsulfonium camphorsulfonate, 4-tert-butylphenyldiphenylsulfonium nonafluoro-1-butanesulfonate, 4-tert- Butylphenyldiphenylsulfonium pentafluoroethyl perfluorocyclohexanesulfonate, 4-tert-butylphenyldiphenylsulfonium perfluoro-1-octanesulfonate, triphenylsulfonium 1,1-difluoro-2-naphthyl-ethanesulfonate, triphenylsulfonium 1,1 , 2,2-Tetrafluoro-2- (norbornan-2-yl) ethanesulfonate Bis (tert-butylsulfonyl) diazomethane, bis (cyclohexylsulfonyl) diazomethane, bis (2,4-dimethylphenylsulfonyl) diazomethane, bis (4-n-hexyloxy) phenylsulfonyl) diazomethane, bis (2-methyl- 4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (2,5-dimethyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (3,5-dimethyl-4- (n-hexyloxy) Phenylsulfonyl) diazomethane, bis (2-methyl-5-isopropyl-4- (n-hexyloxy) phenylsulfonyl) diazomethane, bis (4-tert-butylphenylsulfonyl) diazomethane, N-camphorsulfonyloxy-5-norbornene- 2,3-dicarboxylic imide, Np-toluenesulfonyloxy-5-norbornene-2,3-dicarboxylic imide, 2- [2,2,3,3,4,4,5,5-octafluoro- 1- (nonafluorobutylsulfonyloxyimino) -pentyl] -fluorene, 2- [2,2,3,3,4,4-pentafluoro-1- (nonafluorobutylsulfonyloxyimino) -butyl] -fluorene, 2- [2,2,3,3,4,4,5,5,6,6-decafluoro-1- (nonafluorobutylsulfonyloxyimino) -hexyl] -fluorene and the like.

本発明の化学増幅型レジスト材料における光酸発生剤の添加量はいずれでもよいが、レジスト材料中のベース樹脂100質量部に対して0.1〜20質量部、好ましくは0.1〜10質量部である。光酸発生剤が20質量部以下であれば、フォトレジスト膜の透過率が十分大きく、解像性能の劣化が起こるおそれが少ない。上記光酸発生剤は、単独でも2種以上混合して用いることもできる。更に露光波長における透過率が低い光酸発生剤を用い、その添加量でレジスト膜中の透過率を制御することもできる。   The addition amount of the photoacid generator in the chemically amplified resist material of the present invention may be any, but is 0.1 to 20 parts by mass, preferably 0.1 to 10 parts by mass with respect to 100 parts by mass of the base resin in the resist material. Part. When the photoacid generator is 20 parts by mass or less, the transmittance of the photoresist film is sufficiently large, and there is little possibility that the resolution performance is deteriorated. The photoacid generators can be used alone or in combination of two or more. Further, a photoacid generator having a low transmittance at the exposure wavelength can be used, and the transmittance in the resist film can be controlled by the addition amount.

また、本発明のレジスト材料に、酸により分解し、酸を発生する化合物(酸増殖化合物)を添加してもよい。
これらの化合物についてはJ.Photopolym.Sci.and Tech.,8.43−44,45−46(1995)、J.Photopolym.Sci.and Tech.,9.29−30(1996)において記載されている。
In addition, a compound capable of decomposing with an acid to generate an acid (acid-growing compound) may be added to the resist material of the present invention.
These compounds are described in J. Org. Photopolym. Sci. and Tech. , 8.43-44, 45-46 (1995), J. Am. Photopolym. Sci. and Tech. , 9.29-30 (1996).

酸増殖化合物の例としては、tert−ブチル2−メチル2−トシロキシメチルアセトアセテート、2−フェニル2−(2−トシロキシエチル)1,3−ジオキソラン等が挙げられるがこれらに限定されるものではない。公知の光酸発生剤の中で安定性、特に熱安定性に劣る化合物は酸増殖化合物的な性質を示す場合が多い。   Examples of acid proliferating compounds include, but are not limited to, tert-butyl 2-methyl 2-tosyloxymethyl acetoacetate, 2-phenyl 2- (2-tosyloxyethyl) 1,3-dioxolane, and the like. is not. Of the known photoacid generators, compounds that are inferior in stability, particularly thermal stability, often exhibit the properties of acid-proliferating compounds.

本発明のレジスト材料における酸増殖化合物の添加量としては、レジスト材料中のベース樹脂100質量部に対して2質量部以下、好ましくは1質量部以下である。2質量部以下であれば、拡散が制御され解像性の劣化、パターン形状の劣化が起こるおそれが少ない。   The addition amount of the acid growth compound in the resist material of the present invention is 2 parts by mass or less, preferably 1 part by mass or less with respect to 100 parts by mass of the base resin in the resist material. If it is 2 parts by mass or less, diffusion is controlled, and there is little possibility that degradation of resolution and pattern shape will occur.

本発明のレジスト材料は、更に、有機溶剤、塩基性化合物、溶解制御剤、架橋剤及び界面活性剤のいずれか1つ以上を含有することができる。   The resist material of the present invention can further contain any one or more of an organic solvent, a basic compound, a dissolution controller, a crosslinking agent, and a surfactant.

本発明で使用される有機溶剤としては、ベース樹脂、酸発生剤、その他の添加剤等が溶解可能な有機溶剤であればいずれでもよい。このような有機溶剤としては、例えば、シクロヘキサノン、メチル−2−n−アミルケトン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert−ブチル、プロピレングリコールモノtert−ブチルエーテルアセテート等のエステル類、γ−ブチロラクトン等のラクトン類が挙げられ、これらの1種を単独で又は2種以上を混合して使用することができるが、これらに限定されるものではない。本発明では、これらの有機溶剤の中でもレジスト成分中の酸発生剤の溶解性が最も優れているジエチレングリコールジメチルエーテルや1−エトキシ−2−プロパノール、プロピレングリコールモノメチルエーテルアセテート及びその混合溶剤が好ましく使用される。   The organic solvent used in the present invention may be any organic solvent that can dissolve the base resin, acid generator, other additives, and the like. Examples of such organic solvents include ketones such as cyclohexanone and methyl-2-n-amyl ketone, 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy- Alcohols such as 2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, and other ethers, propylene glycol monomethyl ether acetate, propylene glycol mono Ethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, 3-ethoxy Examples thereof include esters such as ethyl propionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono tert-butyl ether acetate, and lactones such as γ-butyrolactone. Although it can be used in mixture, it is not limited to these. In the present invention, among these organic solvents, diethylene glycol dimethyl ether, 1-ethoxy-2-propanol, propylene glycol monomethyl ether acetate, and mixed solvents thereof, which have the highest solubility of the acid generator in the resist component, are preferably used. .

有機溶剤の使用量は、ベース樹脂100質量部に対して200〜3,000質量部、特に400〜2,500質量部が好適である。   The amount of the organic solvent used is preferably 200 to 3,000 parts by mass, particularly 400 to 2,500 parts by mass with respect to 100 parts by mass of the base resin.

更に、本発明のレジスト材料には、塩基性化合物として含窒素有機化合物を1種又は2種以上配合することができる。
含窒素有機化合物としては、酸発生剤より発生する酸がレジスト膜中に拡散する際の拡散速度を抑制することができる化合物が適している。含窒素有機化合物の配合により、レジスト膜中での酸の拡散速度が抑制されて解像度が向上し、露光後の感度変化を抑制したり、基板や環境依存性を少なくし、露光余裕度やパターンプロファイル等を向上することができる。
Furthermore, the resist material of the present invention may contain one or more nitrogen-containing organic compounds as basic compounds.
As the nitrogen-containing organic compound, a compound capable of suppressing the diffusion rate when the acid generated from the acid generator diffuses into the resist film is suitable. By compounding nitrogen-containing organic compounds, the acid diffusion rate in the resist film is suppressed and resolution is improved, sensitivity change after exposure is suppressed, substrate and environment dependency is reduced, and exposure margins and patterns are reduced. Profiles and the like can be improved.

このような含窒素有機化合物としては、第一級、第二級、第三級の脂肪族アミン類、混成アミン類、芳香族アミン類、複素環アミン類、カルボキシ基を有する含窒素化合物、スルホニル基を有する含窒素化合物、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物、アミド類、イミド類、カーバメート類等が挙げられる。   Such nitrogen-containing organic compounds include primary, secondary and tertiary aliphatic amines, hybrid amines, aromatic amines, heterocyclic amines, nitrogen-containing compounds having a carboxy group, sulfonyl Nitrogen-containing compounds having a group, nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group, alcoholic nitrogen-containing compounds, amides, imides, carbamates and the like.

具体的には、第一級の脂肪族アミン類として、アンモニア、メチルアミン、エチルアミン、n−プロピルアミン、イソプロピルアミン、n−ブチルアミン、イソブチルアミン、sec−ブチルアミン、tert−ブチルアミン、ペンチルアミン、tert−アミルアミン、シクロペンチルアミン、ヘキシルアミン、シクロヘキシルアミン、ヘプチルアミン、オクチルアミン、ノニルアミン、デシルアミン、ドデシルアミン、セチルアミン、メチレンジアミン、エチレンジアミン、テトラエチレンペンタミン等が例示され、第二級の脂肪族アミン類として、ジメチルアミン、ジエチルアミン、ジ−n−プロピルアミン、ジイソプロピルアミン、ジ−n−ブチルアミン、ジイソブチルアミン、ジ−sec−ブチルアミン、ジペンチルアミン、ジシクロペンチルアミン、ジヘキシルアミン、ジシクロヘキシルアミン、ジヘプチルアミン、ジオクチルアミン、ジノニルアミン、ジデシルアミン、ジドデシルアミン、ジセチルアミン、N,N−ジメチルメチレンジアミン、N,N−ジメチルエチレンジアミン、N,N−ジメチルテトラエチレンペンタミン等が例示され、第三級の脂肪族アミン類として、トリメチルアミン、トリエチルアミン、トリ−n−プロピルアミン、トリイソプロピルアミン、トリ−n−ブチルアミン、トリイソブチルアミン、トリ−sec−ブチルアミン、トリペンチルアミン、トリシクロペンチルアミン、トリヘキシルアミン、トリシクロヘキシルアミン、トリヘプチルアミン、トリオクチルアミン、トリノニルアミン、トリデシルアミン、トリドデシルアミン、トリセチルアミン、N,N,N’,N’−テトラメチルメチレンジアミン、N,N,N’,N’−テトラメチルエチレンジアミン、N,N,N’,N’−テトラメチルテトラエチレンペンタミン等が例示される。   Specifically, primary aliphatic amines include ammonia, methylamine, ethylamine, n-propylamine, isopropylamine, n-butylamine, isobutylamine, sec-butylamine, tert-butylamine, pentylamine, tert- Amylamine, cyclopentylamine, hexylamine, cyclohexylamine, heptylamine, octylamine, nonylamine, decylamine, dodecylamine, cetylamine, methylenediamine, ethylenediamine, tetraethylenepentamine, etc. are exemplified as secondary aliphatic amines. Dimethylamine, diethylamine, di-n-propylamine, diisopropylamine, di-n-butylamine, diisobutylamine, di-sec-butylamine, dipentylamine, disi Lopentylamine, dihexylamine, dicyclohexylamine, diheptylamine, dioctylamine, dinonylamine, didecylamine, didodecylamine, dicetylamine, N, N-dimethylmethylenediamine, N, N-dimethylethylenediamine, N, N-dimethyltetraethylenepenta Examples of tertiary aliphatic amines include trimethylamine, triethylamine, tri-n-propylamine, triisopropylamine, tri-n-butylamine, triisobutylamine, tri-sec-butylamine, and tripentylamine. , Tricyclopentylamine, trihexylamine, tricyclohexylamine, triheptylamine, trioctylamine, trinonylamine, tridecylamine, tridodecylamine, Examples include cetylamine, N, N, N ′, N′-tetramethylmethylenediamine, N, N, N ′, N′-tetramethylethylenediamine, N, N, N ′, N′-tetramethyltetraethylenepentamine and the like. Is done.

また、混成アミン類としては、例えばジメチルエチルアミン、メチルエチルプロピルアミン、ベンジルアミン、フェネチルアミン、ベンジルジメチルアミン等が例示される。芳香族アミン類及び複素環アミン類の具体例としては、アニリン誘導体(例えばアニリン、N−メチルアニリン、N−エチルアニリン、N−プロピルアニリン、N,N−ジメチルアニリン、2−メチルアニリン、3−メチルアニリン、4−メチルアニリン、エチルアニリン、プロピルアニリン、トリメチルアニリン、2−ニトロアニリン、3−ニトロアニリン、4−ニトロアニリン、2,4−ジニトロアニリン、2,6−ジニトロアニリン、3,5−ジニトロアニリン、N,N−ジメチルトルイジン等)、ジフェニル(p−トリル)アミン、メチルジフェニルアミン、トリフェニルアミン、フェニレンジアミン、ナフチルアミン、ジアミノナフタレン、ピロール誘導体(例えばピロール、2H−ピロール、1−メチルピロール、2,4−ジメチルピロール、2,5−ジメチルピロール、N−メチルピロール等)、オキサゾール誘導体(例えばオキサゾール、イソオキサゾール等)、チアゾール誘導体(例えばチアゾール、イソチアゾール等)、イミダゾール誘導体(例えばイミダゾール、4−メチルイミダゾール、4−メチル−2−フェニルイミダゾール等)、ピラゾール誘導体、フラザン誘導体、ピロリン誘導体(例えばピロリン、2−メチル−1−ピロリン等)、ピロリジン誘導体(例えばピロリジン、N−メチルピロリジン、ピロリジノン、N−メチルピロリドン等)、イミダゾリン誘導体、イミダゾリジン誘導体、ピリジン誘導体(例えばピリジン、メチルピリジン、エチルピリジン、プロピルピリジン、ブチルピリジン、4−(1−ブチルペンチル)ピリジン、ジメチルピリジン、トリメチルピリジン、トリエチルピリジン、フェニルピリジン、3−メチル−2−フェニルピリジン、4−tert−ブチルピリジン、ジフェニルピリジン、ベンジルピリジン、メトキシピリジン、ブトキシピリジン、ジメトキシピリジン、4−ピロリジノピリジン、2−(1−エチルプロピル)ピリジン、アミノピリジン、ジメチルアミノピリジン等)、ピリダジン誘導体、ピリミジン誘導体、ピラジン誘導体、ピラゾリン誘導体、ピラゾリジン誘導体、ピペリジン誘導体、ピペラジン誘導体、モルホリン誘導体、インドール誘導体、イソインドール誘導体、1H−インダゾール誘導体、インドリン誘導体、キノリン誘導体(例えばキノリン、3−キノリンカルボニトリル等)、イソキノリン誘導体、シンノリン誘導体、キナゾリン誘導体、キノキサリン誘導体、フタラジン誘導体、プリン誘導体、プテリジン誘導体、カルバゾール誘導体、フェナントリジン誘導体、アクリジン誘導体、フェナジン誘導体、1,10−フェナントロリン誘導体、アデニン誘導体、アデノシン誘導体、グアニン誘導体、グアノシン誘導体、ウラシル誘導体、ウリジン誘導体等が例示される。   Examples of hybrid amines include dimethylethylamine, methylethylpropylamine, benzylamine, phenethylamine, and benzyldimethylamine. Specific examples of aromatic amines and heterocyclic amines include aniline derivatives (eg, aniline, N-methylaniline, N-ethylaniline, N-propylaniline, N, N-dimethylaniline, 2-methylaniline, 3- Methylaniline, 4-methylaniline, ethylaniline, propylaniline, trimethylaniline, 2-nitroaniline, 3-nitroaniline, 4-nitroaniline, 2,4-dinitroaniline, 2,6-dinitroaniline, 3,5- Dinitroaniline, N, N-dimethyltoluidine, etc.), diphenyl (p-tolyl) amine, methyldiphenylamine, triphenylamine, phenylenediamine, naphthylamine, diaminonaphthalene, pyrrole derivatives (eg pyrrole, 2H-pyrrole, 1-methylpyrrole, 2,4-dim Lupyrrole, 2,5-dimethylpyrrole, N-methylpyrrole, etc.), oxazole derivatives (eg oxazole, isoxazole etc.), thiazole derivatives (eg thiazole, isothiazole etc.), imidazole derivatives (eg imidazole, 4-methylimidazole, 4 -Methyl-2-phenylimidazole, etc.), pyrazole derivatives, furazane derivatives, pyrroline derivatives (eg pyrroline, 2-methyl-1-pyrroline etc.), pyrrolidine derivatives (eg pyrrolidine, N-methylpyrrolidine, pyrrolidinone, N-methylpyrrolidone etc.) ), Imidazoline derivatives, imidazolidine derivatives, pyridine derivatives (eg pyridine, methylpyridine, ethylpyridine, propylpyridine, butylpyridine, 4- (1-butylpentyl) pyridine, dimethyl) Lysine, trimethylpyridine, triethylpyridine, phenylpyridine, 3-methyl-2-phenylpyridine, 4-tert-butylpyridine, diphenylpyridine, benzylpyridine, methoxypyridine, butoxypyridine, dimethoxypyridine, 4-pyrrolidinopyridine, 2- (1-ethylpropyl) pyridine, aminopyridine, dimethylaminopyridine, etc.), pyridazine derivatives, pyrimidine derivatives, pyrazine derivatives, pyrazoline derivatives, pyrazolidine derivatives, piperidine derivatives, piperazine derivatives, morpholine derivatives, indole derivatives, isoindole derivatives, 1H- Indazole derivatives, indoline derivatives, quinoline derivatives (eg quinoline, 3-quinolinecarbonitrile, etc.), isoquinoline derivatives, cinnoline derivatives, quinazoli Derivatives, quinoxaline derivatives, phthalazine derivatives, purine derivatives, pteridine derivatives, carbazole derivatives, phenanthridine derivatives, acridine derivatives, phenazine derivatives, 1,10-phenanthroline derivatives, adenine derivatives, adenosine derivatives, guanine derivatives, guanosine derivatives, uracil derivatives And uridine derivatives.

更に、カルボキシ基を有する含窒素化合物としては、例えばアミノ安息香酸、インドールカルボン酸、アミノ酸誘導体(例えばニコチン酸、アラニン、アルギニン、アスパラギン酸、グルタミン酸、グリシン、ヒスチジン、イソロイシン、グリシルロイシン、ロイシン、メチオニン、フェニルアラニン、スレオニン、リジン、3−アミノピラジン−2−カルボン酸、メトキシアラニン)等が例示され、スルホニル基を有する含窒素化合物として3−ピリジンスルホン酸、p−トルエンスルホン酸ピリジニウム等が例示され、水酸基を有する含窒素化合物、ヒドロキシフェニル基を有する含窒素化合物、アルコール性含窒素化合物としては、2−ヒドロキシピリジン、アミノクレゾール、2,4−キノリンジオール、3−インドールメタノールヒドレート、モノエタノールアミン、ジエタノールアミン、トリエタノールアミン、N−エチルジエタノールアミン、N,N−ジエチルエタノールアミン、トリイソプロパノールアミン、2,2’−イミノジエタノール、2−アミノエタノ−ル、3−アミノ−1−プロパノール、4−アミノ−1−ブタノール、4−(2−ヒドロキシエチル)モルホリン、2−(2−ヒドロキシエチル)ピリジン、1−(2−ヒドロキシエチル)ピペラジン、1−[2−(2−ヒドロキシエトキシ)エチル]ピペラジン、ピペリジンエタノール、1−(2−ヒドロキシエチル)ピロリジン、1−(2−ヒドロキシエチル)−2−ピロリジノン、3−ピペリジノ−1,2−プロパンジオール、3−ピロリジノ−1,2−プロパンジオール、8−ヒドロキシユロリジン、3−クイヌクリジノール、3−トロパノール、1−メチル−2−ピロリジンエタノール、1−アジリジンエタノール、N−(2−ヒドロキシエチル)フタルイミド、N−(2−ヒドロキシエチル)イソニコチンアミド等が例示される。アミド類としては、ホルムアミド、N−メチルホルムアミド、N,N−ジメチルホルムアミド、アセトアミド、N−メチルアセトアミド、N,N−ジメチルアセトアミド、プロピオンアミド、ベンズアミド、1−シクロヘキシルピロリドン等が例示される。イミド類としては、フタルイミド、サクシンイミド、マレイミド等が例示される。カーバメート類としては、N−t−ブトキシカルボニル−N,N−ジシクロヘキシルアミン、N−t−ブトキシカルボニルベンズイミダゾール、オキサゾリジノン等が例示される。   Furthermore, examples of the nitrogen-containing compound having a carboxy group include aminobenzoic acid, indolecarboxylic acid, amino acid derivatives (for example, nicotinic acid, alanine, arginine, aspartic acid, glutamic acid, glycine, histidine, isoleucine, glycylleucine, leucine, methionine. , Phenylalanine, threonine, lysine, 3-aminopyrazine-2-carboxylic acid, methoxyalanine) and the like, and examples of the nitrogen-containing compound having a sulfonyl group include 3-pyridinesulfonic acid, pyridinium p-toluenesulfonate, and the like. Nitrogen-containing compounds having a hydroxyl group, nitrogen-containing compounds having a hydroxyphenyl group, and alcoholic nitrogen-containing compounds include 2-hydroxypyridine, aminocresol, 2,4-quinolinediol, and 3-indolemethanol. Drate, monoethanolamine, diethanolamine, triethanolamine, N-ethyldiethanolamine, N, N-diethylethanolamine, triisopropanolamine, 2,2'-iminodiethanol, 2-aminoethanol, 3-amino-1-propanol 4-amino-1-butanol, 4- (2-hydroxyethyl) morpholine, 2- (2-hydroxyethyl) pyridine, 1- (2-hydroxyethyl) piperazine, 1- [2- (2-hydroxyethoxy) Ethyl] piperazine, piperidineethanol, 1- (2-hydroxyethyl) pyrrolidine, 1- (2-hydroxyethyl) -2-pyrrolidinone, 3-piperidino-1,2-propanediol, 3-pyrrolidino-1,2-propane Diol, 8-hydroxyuroli , 3-cuincridinol, 3-tropanol, 1-methyl-2-pyrrolidineethanol, 1-aziridineethanol, N- (2-hydroxyethyl) phthalimide, N- (2-hydroxyethyl) isonicotinamide, etc. Illustrated. Examples of amides include formamide, N-methylformamide, N, N-dimethylformamide, acetamide, N-methylacetamide, N, N-dimethylacetamide, propionamide, benzamide, 1-cyclohexylpyrrolidone and the like. Examples of imides include phthalimide, succinimide, maleimide and the like. Examples of carbamates include Nt-butoxycarbonyl-N, N-dicyclohexylamine, Nt-butoxycarbonylbenzimidazole, oxazolidinone, and the like.

更に、下記一般式(B)−1で示される含窒素有機化合物が例示される。
N(X)n(Y)3-n (B)−1
(上式中、nは1、2又は3である。側鎖Xは同一でも異なっていてもよく、下記一般式(X1)〜(X3)で表すことができる。側鎖Yは同一又は異種の、水素原子もしくは直鎖状、分岐状又は環状の炭素数1〜20のアルキル基を示し、エーテル基もしくはヒドロキシル基を含んでもよい。また、X同士が結合して環を形成してもよい。)

Figure 0004849268
Furthermore, the nitrogen-containing organic compound shown by the following general formula (B) -1 is illustrated.
N (X) n (Y) 3-n (B) -1
(In the above formula, n is 1, 2 or 3. The side chain X may be the same or different and can be represented by the following general formulas (X1) to (X3). The side chain Y is the same or different. A hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, which may contain an ether group or a hydroxyl group, and X may be bonded to form a ring. .)
Figure 0004849268

上記一般式(X1)〜(X3)中、R300、R302、R305は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、R301、R304は水素原子、又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、ヒドロキシ基、エーテル基、エステル基、ラクトン環を1個あるいは複数個含んでいてもよい。 In the general formulas (X1) to (X3), R 300 , R 302 and R 305 are linear or branched alkylene groups having 1 to 4 carbon atoms, and R 301 and R 304 are hydrogen atoms or carbon atoms. It is a linear, branched or cyclic alkyl group of 1 to 20, and may contain one or a plurality of hydroxy groups, ether groups, ester groups and lactone rings.

303は単結合、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基であり、R306は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、ヒドロキシ基、エーテル基、エステル基、ラクトン環を1個あるいは複数個含んでいてもよい。 R 303 is a single bond or a linear or branched alkylene group having 1 to 4 carbon atoms, R 306 is a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and a hydroxy group , An ether group, an ester group, or a lactone ring may be contained.

一般式(B)−1で表される化合物として具体的には、トリス(2−メトキシメトキシエチル)アミン、トリス{2−(2−メトキシエトキシ)エチル}アミン、トリス{2−(2−メトキシエトキシメトキシ)エチル}アミン、トリス{2−(1−メトキシエトキシ)エチル}アミン、トリス{2−(1−エトキシエトキシ)エチル}アミン、トリス{2−(1−エトキシプロポキシ)エチル}アミン、トリス[2−{2−(2−ヒドロキシエトキシ)エトキシ}エチル]アミン、4,7,13,16,21,24−ヘキサオキサ−1,10−ジアザビシクロ[8.8.8]ヘキサコサン、4,7,13,18−テトラオキサ−1,10−ジアザビシクロ[8.5.5]エイコサン、1,4,10,13−テトラオキサ−7,16−ジアザビシクロオクタデカン、1−アザ−12−クラウン−4、1−アザ−15−クラウン−5、1−アザ−18−クラウン−6、トリス(2−ホルミルオキシエチル)アミン、トリス(2−アセトキシエチル)アミン、トリス(2−プロピオニルオキシエチル)アミン、トリス(2−ブチリルオキシエチル)アミン、トリス(2−イソブチリルオキシエチル)アミン、トリス(2−バレリルオキシエチル)アミン、トリス(2−ピバロイルオキシエチル)アミン、N,N−ビス(2−アセトキシエチル)2−(アセトキシアセトキシ)エチルアミン、トリス(2−メトキシカルボニルオキシエチル)アミン、トリス(2−tert−ブトキシカルボニルオキシエチル)アミン、トリス[2−(2−オキソプロポキシ)エチル]アミン、トリス[2−(メトキシカルボニルメチル)オキシエチル]アミン、トリス[2−(tert−ブトキシカルボニルメチルオキシ)エチル]アミン、トリス[2−(シクロヘキシルオキシカルボニルメチルオキシ)エチル]アミン、トリス(2−メトキシカルボニルエチル)アミン、トリス(2−エトキシカルボニルエチル)アミン、N,N−ビス(2−ヒドロキシエチル)2−(メトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(メトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(エトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(エトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−メトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−メトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−ヒドロキシエトキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−アセトキシエトキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−[(メトキシカルボニル)メトキシカルボニル]エチルアミン、N,N−ビス(2−アセトキシエチル)2−[(メトキシカルボニル)メトキシカルボニル]エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(2−オキソプロポキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(2−オキソプロポキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(テトラヒドロフルフリルオキシカルボニル)エチルアミン、N,N−ビス(2−アセトキシエチル)2−(テトラヒドロフルフリルオキシカルボニル)エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−[(2−オキソテトラヒドロフラン−3−イル)オキシカルボニル]エチルアミン、N,N−ビス(2−アセトキシエチル)2−[(2−オキソテトラヒドロフラン−3−イル)オキシカルボニル]エチルアミン、N,N−ビス(2−ヒドロキシエチル)2−(4−ヒドロキシブトキシカルボニル)エチルアミン、N,N−ビス(2−ホルミルオキシエチル)2−(4−ホルミルオキシブトキシカルボニル)エチルアミン、N,N−ビス(2−ホルミルオキシエチル)2−(2−ホルミルオキシエトキシカルボニル)エチルアミン、N,N−ビス(2−メトキシエチル)2−(メトキシカルボニル)エチルアミン、N−(2−ヒドロキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−アセトキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−ヒドロキシエチル)ビス[2−(エトキシカルボニル)エチル]アミン、N−(2−アセトキシエチル)ビス[2−(エトキシカルボニル)エチル]アミン、N−(3−ヒドロキシ−1−プロピル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(3−アセトキシ−1−プロピル)ビス[2−(メトキシカルボニル)エチル]アミン、N−(2−メトキシエチル)ビス[2−(メトキシカルボニル)エチル]アミン、N−ブチルビス[2−(メトキシカルボニル)エチル]アミン、N−ブチルビス[2−(2−メトキシエトキシカルボニル)エチル]アミン、N−メチルビス(2−アセトキシエチル)アミン、N−エチルビス(2−アセトキシエチル)アミン、N−メチルビス(2−ピバロイルオキシエチル)アミン、N−エチルビス[2−(メトキシカルボニルオキシ)エチル]アミン、N−エチルビス[2−(tert−ブトキシカルボニルオキシ)エチル]アミン、トリス(メトキシカルボニルメチル)アミン、トリス(エトキシカルボニルメチル)アミン、N−ブチルビス(メトキシカルボニルメチル)アミン、N−ヘキシルビス(メトキシカルボニルメチル)アミン、β−(ジエチルアミノ)−δ−バレロラクトンが例示される。   Specific examples of the compound represented by the general formula (B) -1 include tris (2-methoxymethoxyethyl) amine, tris {2- (2-methoxyethoxy) ethyl} amine, and tris {2- (2-methoxy). Ethoxymethoxy) ethyl} amine, tris {2- (1-methoxyethoxy) ethyl} amine, tris {2- (1-ethoxyethoxy) ethyl} amine, tris {2- (1-ethoxypropoxy) ethyl} amine, tris [2- {2- (2-hydroxyethoxy) ethoxy} ethyl] amine, 4,7,13,16,21,24-hexaoxa-1,10-diazabicyclo [8.8.8] hexacosane, 4,7, 13,18-tetraoxa-1,10-diazabicyclo [8.5.5] eicosane, 1,4,10,13-tetraoxa-7,16-diaza Cyclooctadecane, 1-aza-12-crown-4, 1-aza-15-crown-5, 1-aza-18-crown-6, tris (2-formyloxyethyl) amine, tris (2-acetoxyethyl) Amine, tris (2-propionyloxyethyl) amine, tris (2-butyryloxyethyl) amine, tris (2-isobutyryloxyethyl) amine, tris (2-valeryloxyethyl) amine, tris (2- Pivaloyloxyethyl) amine, N, N-bis (2-acetoxyethyl) 2- (acetoxyacetoxy) ethylamine, tris (2-methoxycarbonyloxyethyl) amine, tris (2-tert-butoxycarbonyloxyethyl) amine , Tris [2- (2-oxopropoxy) ethyl] amine, tris [2 (Methoxycarbonylmethyl) oxyethyl] amine, tris [2- (tert-butoxycarbonylmethyloxy) ethyl] amine, tris [2- (cyclohexyloxycarbonylmethyloxy) ethyl] amine, tris (2-methoxycarbonylethyl) amine, Tris (2-ethoxycarbonylethyl) amine, N, N-bis (2-hydroxyethyl) 2- (methoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (methoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- (ethoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (ethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- ( 2-methoxyethoxycarbonyl) Ethylamine, N, N-bis (2-acetoxyethyl) 2- (2-methoxyethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2- (2-hydroxyethoxycarbonyl) ethylamine, N, N- Bis (2-acetoxyethyl) 2- (2-acetoxyethoxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2-[(methoxycarbonyl) methoxycarbonyl] ethylamine, N, N-bis (2-acetoxy) Ethyl) 2-[(methoxycarbonyl) methoxycarbonyl] ethylamine, N, N-bis (2-hydroxyethyl) 2- (2-oxopropoxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- ( 2-oxopropoxycarbonyl) ethylamine, N, N-bis 2-hydroxyethyl) 2- (tetrahydrofurfuryloxycarbonyl) ethylamine, N, N-bis (2-acetoxyethyl) 2- (tetrahydrofurfuryloxycarbonyl) ethylamine, N, N-bis (2-hydroxyethyl) 2 -[(2-oxotetrahydrofuran-3-yl) oxycarbonyl] ethylamine, N, N-bis (2-acetoxyethyl) 2-[(2-oxotetrahydrofuran-3-yl) oxycarbonyl] ethylamine, N, N- Bis (2-hydroxyethyl) 2- (4-hydroxybutoxycarbonyl) ethylamine, N, N-bis (2-formyloxyethyl) 2- (4-formyloxybutoxycarbonyl) ethylamine, N, N-bis (2- Formyloxyethyl) 2- (2-formyloxye) Xoxycarbonyl) ethylamine, N, N-bis (2-methoxyethyl) 2- (methoxycarbonyl) ethylamine, N- (2-hydroxyethyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-acetoxy) Ethyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-hydroxyethyl) bis [2- (ethoxycarbonyl) ethyl] amine, N- (2-acetoxyethyl) bis [2- (ethoxycarbonyl) Ethyl] amine, N- (3-hydroxy-1-propyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (3-acetoxy-1-propyl) bis [2- (methoxycarbonyl) ethyl] amine, N- (2-methoxyethyl) bis [2- (methoxycarbonyl) ethyl] amine, N-butylbis [ 2- (methoxycarbonyl) ethyl] amine, N-butylbis [2- (2-methoxyethoxycarbonyl) ethyl] amine, N-methylbis (2-acetoxyethyl) amine, N-ethylbis (2-acetoxyethyl) amine, N -Methylbis (2-pivaloyloxyethyl) amine, N-ethylbis [2- (methoxycarbonyloxy) ethyl] amine, N-ethylbis [2- (tert-butoxycarbonyloxy) ethyl] amine, tris (methoxycarbonylmethyl) ) Amine, tris (ethoxycarbonylmethyl) amine, N-butylbis (methoxycarbonylmethyl) amine, N-hexylbis (methoxycarbonylmethyl) amine, β- (diethylamino) -δ-valerolactone.

更に、下記一般式(B)−2に示される環状構造を持つ含窒素有機化合物が例示される。

Figure 0004849268
(上式中、Xは前述の通り、R307は炭素数2〜20の直鎖状又は分岐状のアルキレン基であり、カルボニル基、エーテル基、エステル基、スルフィドを1個あるいは複数個含んでいてもよい。) Furthermore, the nitrogen-containing organic compound which has a cyclic structure shown by the following general formula (B) -2 is illustrated.
Figure 0004849268
(In the above formula, X is as described above, and R 307 is a linear or branched alkylene group having 2 to 20 carbon atoms, including one or more carbonyl groups, ether groups, ester groups, and sulfides. May be.)

一般式(B)−2として具体的には、1−[2−(メトキシメトキシ)エチル]ピロリジン、1−[2−(メトキシメトキシ)エチル]ピペリジン、4−[2−(メトキシメトキシ)エチル]モルホリン、1−[2−[(2−メトキシエトキシ)メトキシ]エチル]ピロリジン、1−[2−[(2−メトキシエトキシ)メトキシ]エチル]ピペリジン、4−[2−[(2−メトキシエトキシ)メトキシ]エチル]モルホリン、酢酸2−(1−ピロリジニル)エチル、酢酸2−ピペリジノエチル、酢酸2−モルホリノエチル、ギ酸2−(1−ピロリジニル)エチル、プロピオン酸2−ピペリジノエチル、アセトキシ酢酸2−モルホリノエチル、メトキシ酢酸2−(1−ピロリジニル)エチル、4−[2−(メトキシカルボニルオキシ)エチル]モルホリン、1−[2−(t−ブトキシカルボニルオキシ)エチル]ピペリジン、4−[2−(2−メトキシエトキシカルボニルオキシ)エチル]モルホリン、3−(1−ピロリジニル)プロピオン酸メチル、3−ピペリジノプロピオン酸メチル、3−モルホリノプロピオン酸メチル、3−(チオモルホリノ)プロピオン酸メチル、2−メチル−3−(1−ピロリジニル)プロピオン酸メチル、3−モルホリノプロピオン酸エチル、3−ピペリジノプロピオン酸メトキシカルボニルメチル、3−(1−ピロリジニル)プロピオン酸2−ヒドロキシエチル、3−モルホリノプロピオン酸2−アセトキシエチル、3−(1−ピロリジニル)プロピオン酸2−オキソテトラヒドロフラン−3−イル、3−モルホリノプロピオン酸テトラヒドロフルフリル、3−ピペリジノプロピオン酸グリシジル、3−モルホリノプロピオン酸2−メトキシエチル、3−(1−ピロリジニル)プロピオン酸2−(2−メトキシエトキシ)エチル、3−モルホリノプロピオン酸ブチル、3−ピペリジノプロピオン酸シクロヘキシル、α−(1−ピロリジニル)メチル−γ−ブチロラクトン、β−ピペリジノ−γ−ブチロラクトン、β−モルホリノ−δ−バレロラクトン、1−ピロリジニル酢酸メチル、ピペリジノ酢酸メチル、モルホリノ酢酸メチル、チオモルホリノ酢酸メチル、1−ピロリジニル酢酸エチル、モルホリノ酢酸2−メトキシエチル、2−メトキシ酢酸2−モルホリノエチル、2−(2−メトキシエトキシ)酢酸2−モルホリノエチル、2−[2−(2−メトキシエトキシ)エトキシ]酢酸2−モルホリノエチル、ヘキサン酸2−モルホリノエチル、オクタン酸2−モルホリノエチル、デカン酸2−モルホリノエチル、ラウリン酸2−モルホリノエチル、ミリスチン酸2−モルホリノエチル、パルミチン酸2−モルホリノエチル、ステアリン酸2−モルホリノエチルが例示される。   Specific examples of the general formula (B) -2 include 1- [2- (methoxymethoxy) ethyl] pyrrolidine, 1- [2- (methoxymethoxy) ethyl] piperidine, 4- [2- (methoxymethoxy) ethyl]. Morpholine, 1- [2-[(2-methoxyethoxy) methoxy] ethyl] pyrrolidine, 1- [2-[(2-methoxyethoxy) methoxy] ethyl] piperidine, 4- [2-[(2-methoxyethoxy) Methoxy] ethyl] morpholine, 2- (1-pyrrolidinyl) ethyl acetate, 2-piperidinoethyl acetate, 2-morpholinoethyl acetate, 2- (1-pyrrolidinyl) ethyl formate, 2-piperidinoethyl propionate, 2-morpholinoethyl acetoxyacetate, 2- (1-pyrrolidinyl) ethyl methoxyacetate, 4- [2- (methoxycarbonyloxy) ethyl] Ruphorin, 1- [2- (t-butoxycarbonyloxy) ethyl] piperidine, 4- [2- (2-methoxyethoxycarbonyloxy) ethyl] morpholine, methyl 3- (1-pyrrolidinyl) propionate, 3-piperidi Methyl nopropionate, methyl 3-morpholinopropionate, methyl 3- (thiomorpholino) propionate, methyl 2-methyl-3- (1-pyrrolidinyl) propionate, ethyl 3-morpholinopropionate, 3-piperidinopropion Methoxycarbonylmethyl acid, 2-hydroxyethyl 3- (1-pyrrolidinyl) propionate, 2-acetoxyethyl 3-morpholinopropionate, 2-oxotetrahydrofuran-3-yl 3- (1-pyrrolidinyl) propionate, 3-morpholino Propionate tetrahydrofurf Glycidyl 3-piperidinopropionate, 2-methoxyethyl 3-morpholinopropionate, 2- (2-methoxyethoxy) ethyl 3- (1-pyrrolidinyl) propionate, butyl 3-morpholinopropionate, 3-pi Cyclohexyl peridinopropionate, α- (1-pyrrolidinyl) methyl-γ-butyrolactone, β-piperidino-γ-butyrolactone, β-morpholino-δ-valerolactone, methyl 1-pyrrolidinyl acetate, methyl piperidinoacetate, methyl morpholinoacetate, Methyl thiomorpholinoacetate, ethyl 1-pyrrolidinyl acetate, 2-methoxyethyl morpholinoacetate, 2-morpholinoethyl 2-methoxyacetate, 2-morpholinoethyl 2- (2-methoxyethoxy) acetate, 2- [2- (2-methoxy Ethoxy) ethoxy] acetic acid 2-morpho Linoethyl, 2-morpholinoethyl hexanoate, 2-morpholinoethyl octoate, 2-morpholinoethyl decanoate, 2-morpholinoethyl laurate, 2-morpholinoethyl myristate, 2-morpholinoethyl palmitate, 2-morpholinoethyl stearate Is exemplified.

更に、一般式(B)−3〜(B)−6で表されるシアノ基を含む含窒素有機化合物が例示される。

Figure 0004849268
(上式中、X、R307、nは前述の通り、R308、R309は同一又は異種の炭素数1〜4の直鎖状又は分岐状のアルキレン基である。) Furthermore, the nitrogen-containing organic compound containing the cyano group represented by general formula (B) -3-(B) -6 is illustrated.
Figure 0004849268
(In the above formula, X, R 307 and n are as described above, and R 308 and R 309 are the same or different linear or branched alkylene groups having 1 to 4 carbon atoms.)

上記一般式(B)−3〜(B)−6で表されるシアノ基を含む含窒素有機化合物として具体的には、3−(ジエチルアミノ)プロピオノニトリル、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオノニトリル、N,N−ビス(2−メトキシエチル)−3−アミノプロピオノニトリル、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−メトキシエチル)−3−アミノプロピオン酸メチル、N−(2−シアノエチル)−N−(2−ヒドロキシエチル)−3−アミノプロピオン酸メチル、N−(2−アセトキシエチル)−N−(2−シアノエチル)−3−アミノプロピオン酸メチル、N−(2−シアノエチル)−N−エチル−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−ヒドロキシエチル)−3−アミノプロピオノニトリル、N−(2−アセトキシエチル)−N−(2−シアノエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−ホルミルオキシエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(2−メトキシエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−[2−(メトキシメトキシ)エチル]−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(3−ヒドロキシ−1−プロピル)−3−アミノプロピオノニトリル、N−(3−アセトキシ−1−プロピル)−N−(2−シアノエチル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−(3−ホルミルオキシ−1−プロピル)−3−アミノプロピオノニトリル、N−(2−シアノエチル)−N−テトラヒドロフルフリル−3−アミノプロピオノニトリル、N,N−ビス(2−シアノエチル)−3−アミノプロピオノニトリル、ジエチルアミノアセトニトリル、N,N−ビス(2−ヒドロキシエチル)アミノアセトニトリル、N,N−ビス(2−アセトキシエチル)アミノアセトニトリル、N,N−ビス(2−ホルミルオキシエチル)アミノアセトニトリル、N,N−ビス(2−メトキシエチル)アミノアセトニトリル、N,N−ビス[2−(メトキシメトキシ)エチル]アミノアセトニトリル、N−シアノメチル−N−(2−メトキシエチル)−3−アミノプロピオン酸メチル、N−シアノメチル−N−(2−ヒドロキシエチル)−3−アミノプロピオン酸メチル、N−(2−アセトキシエチル)−N−シアノメチル−3−アミノプロピオン酸メチル、N−シアノメチル−N−(2−ヒドロキシエチル)アミノアセトニトリル、N−(2−アセトキシエチル)−N−(シアノメチル)アミノアセトニトリル、N−シアノメチル−N−(2−ホルミルオキシエチル)アミノアセトニトリル、N−シアノメチル−N−(2−メトキシエチル)アミノアセトニトリル、N−シアノメチル−N−[2−(メトキシメトキシ)エチル]アミノアセトニトリル、N−(シアノメチル)−N−(3−ヒドロキシ−1−プロピル)アミノアセトニトリル、N−(3−アセトキシ−1−プロピル)−N−(シアノメチル)アミノアセトニトリル、N−シアノメチル−N−(3−ホルミルオキシ−1−プロピル)アミノアセトニトリル、N,N−ビス(シアノメチル)アミノアセトニトリル、1−ピロリジンプロピオノニトリル、1−ピペリジンプロピオノニトリル、4−モルホリンプロピオノニトリル、1−ピロリジンアセトニトリル、1−ピペリジンアセトニトリル、4−モルホリンアセトニトリル、3−ジエチルアミノプロピオン酸シアノメチル、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス(2−メトキシエチル)−3−アミノプロピオン酸シアノメチル、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオン酸シアノメチル、3−ジエチルアミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−ヒドロキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−アセトキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−ホルミルオキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス(2−メトキシエチル)−3−アミノプロピオン酸(2−シアノエチル)、N,N−ビス[2−(メトキシメトキシ)エチル]−3−アミノプロピオン酸(2−シアノエチル)、1−ピロリジンプロピオン酸シアノメチル、1−ピペリジンプロピオン酸シアノメチル、4−モルホリンプロピオン酸シアノメチル、1−ピロリジンプロピオン酸(2−シアノエチル)、1−ピペリジンプロピオン酸(2−シアノエチル)、4−モルホリンプロピオン酸(2−シアノエチル)が例示される。   Specific examples of the nitrogen-containing organic compound containing a cyano group represented by the general formulas (B) -3 to (B) -6 include 3- (diethylamino) propiononitrile, N, N-bis (2-hydroxy). Ethyl) -3-aminopropiononitrile, N, N-bis (2-acetoxyethyl) -3-aminopropiononitrile, N, N-bis (2-formyloxyethyl) -3-aminopropiononitrile, N , N-bis (2-methoxyethyl) -3-aminopropiononitrile, N, N-bis [2- (methoxymethoxy) ethyl] -3-aminopropiononitrile, N- (2-cyanoethyl) -N- Methyl (2-methoxyethyl) -3-aminopropionate, methyl N- (2-cyanoethyl) -N- (2-hydroxyethyl) -3-aminopropionate, N- (2-a Toxiethyl) -N- (2-cyanoethyl) -3-aminopropionate methyl, N- (2-cyanoethyl) -N-ethyl-3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2- Hydroxyethyl) -3-aminopropiononitrile, N- (2-acetoxyethyl) -N- (2-cyanoethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2-formyloxy Ethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (2-methoxyethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- [2- (methoxymethoxy) ) Ethyl] -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (3-hydroxy-1-propyl) -3-aminopropio Nitrile, N- (3-acetoxy-1-propyl) -N- (2-cyanoethyl) -3-aminopropiononitrile, N- (2-cyanoethyl) -N- (3-formyloxy-1-propyl)- 3-aminopropiononitrile, N- (2-cyanoethyl) -N-tetrahydrofurfuryl-3-aminopropiononitrile, N, N-bis (2-cyanoethyl) -3-aminopropiononitrile, diethylaminoacetonitrile, N , N-bis (2-hydroxyethyl) aminoacetonitrile, N, N-bis (2-acetoxyethyl) aminoacetonitrile, N, N-bis (2-formyloxyethyl) aminoacetonitrile, N, N-bis (2- Methoxyethyl) aminoacetonitrile, N, N-bis [2- (methoxymethoxy) ethyl] amino Acetonitrile, methyl N-cyanomethyl-N- (2-methoxyethyl) -3-aminopropionate, methyl N-cyanomethyl-N- (2-hydroxyethyl) -3-aminopropionate, N- (2-acetoxyethyl) -N-cyanomethyl-3-aminopropionate methyl, N-cyanomethyl-N- (2-hydroxyethyl) aminoacetonitrile, N- (2-acetoxyethyl) -N- (cyanomethyl) aminoacetonitrile, N-cyanomethyl-N- (2-formyloxyethyl) aminoacetonitrile, N-cyanomethyl-N- (2-methoxyethyl) aminoacetonitrile, N-cyanomethyl-N- [2- (methoxymethoxy) ethyl] aminoacetonitrile, N- (cyanomethyl) -N -(3-Hydroxy-1-propyl) amino Acetonitrile, N- (3-acetoxy-1-propyl) -N- (cyanomethyl) aminoacetonitrile, N-cyanomethyl-N- (3-formyloxy-1-propyl) aminoacetonitrile, N, N-bis (cyanomethyl) amino Acetonitrile, 1-pyrrolidinepropiononitrile, 1-piperidinepropiononitrile, 4-morpholinepropiononitrile, 1-pyrrolidineacetonitrile, 1-piperidineacetonitrile, 4-morpholineacetonitrile, cyanomethyl 3-diethylaminopropionate, N, N-bis Cyanomethyl (2-hydroxyethyl) -3-aminopropionate, N, N-bis (2-acetoxyethyl) -3-aminopropionate cyanomethyl, N, N-bis (2-formyloxyethyl) -3-aminop Cyanomethyl pionate, cyanomethyl N, N-bis (2-methoxyethyl) -3-aminopropionate, cyanomethyl N, N-bis [2- (methoxymethoxy) ethyl] -3-aminopropionate, 3-diethylaminopropionic acid (2-cyanoethyl), N, N-bis (2-hydroxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis (2-acetoxyethyl) -3-aminopropionic acid (2-cyanoethyl) ), N, N-bis (2-formyloxyethyl) -3-aminopropionic acid (2-cyanoethyl), N, N-bis (2-methoxyethyl) -3-aminopropionic acid (2-cyanoethyl), N , N-bis [2- (methoxymethoxy) ethyl] -3-aminopropionic acid (2-cyanoethyl), 1-pyrrolidine Cyanomethyl propionate, cyanomethyl 1-piperidinepropionate, cyanomethyl 4-morpholine propionate, 1-pyrrolidinepropionic acid (2-cyanoethyl), 1-piperidinepropionic acid (2-cyanoethyl), 4-morpholine propionic acid (2-cyanoethyl) Is exemplified.

更に、下記一般式(B)−7で表されるイミダゾール骨格及び極性官能基を有する含窒素有機化合物が例示される。

Figure 0004849268
(上式中、R310は炭素数2〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としては水酸基、カルボニル基、エステル基、エーテル基、スルフィド基、カーボネート基、シアノ基、アセタール基のいずれかを1個あるいは複数個含む。R311、R312、R313は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、アリール基又はアラルキル基である。) Furthermore, a nitrogen-containing organic compound having an imidazole skeleton and a polar functional group represented by the following general formula (B) -7 is exemplified.
Figure 0004849268
(In the above formula, R 310 is an alkyl group having a linear, branched or cyclic polar functional group having 2 to 20 carbon atoms, and the polar functional group includes a hydroxyl group, a carbonyl group, an ester group, an ether group, a sulfide. 1 or a plurality of any of a group, a carbonate group, a cyano group and an acetal group, wherein R 311 , R 312 and R 313 are a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. An aryl group or an aralkyl group.)

更に、下記一般式(B)−8で示されるベンズイミダゾール骨格及び極性官能基を有する含窒素有機化合物が例示される。

Figure 0004849268
(上式中、R314は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、アリール基、又はアラルキル基である。R315は炭素数1〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としてエステル基、アセタール基、シアノ基のいずれかを一つ以上含み、その他に水酸基、カルボニル基、エーテル基、スルフィド基、カーボネート基のいずれかを一つ以上含んでいてもよい。) Furthermore, a nitrogen-containing organic compound having a benzimidazole skeleton and a polar functional group represented by the following general formula (B) -8 is exemplified.
Figure 0004849268
(In the above formula, R 314 is a hydrogen atom, a linear, branched or cyclic alkyl group, aryl group, or aralkyl group having 1 to 10 carbon atoms. R 315 is a linear structure having 1 to 20 carbon atoms. , An alkyl group having a branched or cyclic polar functional group, which includes at least one of an ester group, an acetal group, and a cyano group as a polar functional group, and in addition, a hydroxyl group, a carbonyl group, an ether group, a sulfide group, (One or more carbonate groups may be contained.)

更に、下記一般式(B)−9及び(B)−10で示される極性官能基を有する含窒素複素環化合物が例示される。

Figure 0004849268
(上式中、Aは窒素原子又は≡C−R322である。Bは窒素原子又は≡C−R323である。R316は炭素数2〜20の直鎖状、分岐状又は環状の極性官能基を有するアルキル基であり、極性官能基としては水酸基、カルボニル基、エステル基、エーテル基、スルフィド基、カーボネート基、シアノ基又はアセタール基を一つ以上含む。R317、R318、R319、R320は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基であるか、又はR317とR318、R319とR320はそれぞれ結合してベンゼン環、ナフタレン環あるいはピリジン環を形成してもよい。R321は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基である。R322、R323は水素原子、炭素数1〜10の直鎖状、分岐状又は環状のアルキル基、又はアリール基である。R321とR323は結合してベンゼン環又はナフタレン環を形成してもよい。) Furthermore, the nitrogen-containing heterocyclic compound which has a polar functional group shown by the following general formula (B) -9 and (B) -10 is illustrated.
Figure 0004849268
(In the above formula, A is a nitrogen atom or ≡C—R 322. B is a nitrogen atom or ≡C—R 323. R 316 is a linear, branched or cyclic polarity having 2 to 20 carbon atoms. An alkyl group having a functional group, and the polar functional group includes one or more of a hydroxyl group, a carbonyl group, an ester group, an ether group, a sulfide group, a carbonate group, a cyano group, or an acetal group R 317 , R 318 , R 319 R 320 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group, or R 317 and R 318 and R 319 and R 320 are bonded to each other to form benzene. A ring, a naphthalene ring or a pyridine ring may be formed, and R 321 is a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group, and R 322 and R 323 are A hydrogen atom, straight-chain, branched or 1 to 10 carbon atoms (It is a cyclic alkyl group or an aryl group. R 321 and R 323 may combine to form a benzene ring or a naphthalene ring.)

更に、下記一般式(B)−11〜(B)−14で示される芳香族カルボン酸エステル構造を有する含窒素有機化合物が例示される。

Figure 0004849268
(上式中、R324は炭素数6〜20のアリール基又は炭素数4〜20のヘテロ芳香族基であって、水素原子の一部又は全部が、ハロゲン原子、炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、炭素数6〜20のアリール基、炭素数7〜20のアラルキル基、炭素数1〜10のアルコキシ基、炭素数1〜10のアシルオキシ基、又は、炭素数1〜10のアルキルチオ基で置換されていてもよい。R325はCO2326、OR327又はシアノ基である。R326は一部のメチレン基が酸素原子で置換されていてもよい炭素数1〜10のアルキル基である。R327は一部のメチレン基が酸素原子で置換されていてもよい炭素数1〜10のアルキル基又はアシル基である。R328は単結合、メチレン基、エチレン基、硫黄原子又は−O(CH2CH2O)n−基である。n=0,1,2,3又は4である。R329は水素原子、メチル基、エチル基又はフェニル基である。Xは窒素原子又はCR330である。Yは窒素原子又はCR331である。Zは窒素原子又はCR332である。R330、R331、R332はそれぞれ独立に水素原子、メチル基又はフェニル基であるか、あるいはR330とR331又はR331とR332が結合して、炭素数6〜20の芳香環又は炭素数2〜20のヘテロ芳香環を形成してもよい。) Furthermore, the nitrogen-containing organic compound which has an aromatic carboxylic acid ester structure shown by the following general formula (B) -11- (B) -14 is illustrated.
Figure 0004849268
(In the above formula, R 324 is an aryl group having 6 to 20 carbon atoms or a heteroaromatic group having 4 to 20 carbon atoms, and a part or all of the hydrogen atoms are halogen atoms, straight carbon atoms having 1 to 20 carbon atoms. A linear, branched or cyclic alkyl group, an aryl group having 6 to 20 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, an alkoxy group having 1 to 10 carbon atoms, an acyloxy group having 1 to 10 carbon atoms, or carbon R 325 is CO 2 R 326 , OR 327 or cyano group R 326 is a carbon in which some methylene groups may be substituted with oxygen atoms R 327 is an alkyl group or acyl group having 1 to 10 carbon atoms in which a part of the methylene group may be substituted with an oxygen atom, R 328 is a single bond or a methylene group. , an ethylene group, a sulfur atom or -O (CH 2 CH 2 O n - .R 329 is .n = 0, 1, 2, 3 or 4 is a radical is a hydrogen atom, a methyl group, an ethyl group or a phenyl group .X is a nitrogen atom or CR 330 .Y nitrogen An atom or CR 331. Z is a nitrogen atom or CR 332. R 330 , R 331 and R 332 are each independently a hydrogen atom, a methyl group or a phenyl group, or R 330 and R 331 or R 331. And R 332 may combine to form an aromatic ring having 6 to 20 carbon atoms or a heteroaromatic ring having 2 to 20 carbon atoms.)

更に、下記一般式(B)−15で示される7−オキサノルボルナン−2−カルボン酸エステル構造を有する含窒素有機化合物が例示される。

Figure 0004849268
(上式中、R333は水素、又は炭素数1〜10の直鎖状、分枝状又は環状のアルキル基である。R334及びR335はそれぞれ独立に、エーテル、カルボニル、エステル、アルコール、スルフィド、ニトリル、アミン、イミン、アミド等の極性官能基を一個又は複数個含んでいてもよい炭素数1〜20のアルキル基、炭素数6〜20のアリール基、又は炭素数7〜20のアラルキル基であって、水素原子の一部がハロゲン原子で置換されていてもよい。R334とR335は互いに結合して、炭素数2〜20のヘテロ環又はヘテロ芳香環を形成してもよい。) Furthermore, a nitrogen-containing organic compound having a 7-oxanorbornane-2-carboxylic acid ester structure represented by the following general formula (B) -15 is exemplified.
Figure 0004849268
(In the above formula, R 333 is hydrogen or a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms. R 334 and R 335 are each independently an ether, carbonyl, ester, alcohol, C1-C20 alkyl group, C6-C20 aryl group, or C7-C20 aralkyl which may contain one or more polar functional groups such as sulfide, nitrile, amine, imine and amide A part of hydrogen atoms may be substituted with a halogen atom, and R 334 and R 335 may be bonded to each other to form a heterocyclic ring or heteroaromatic ring having 2 to 20 carbon atoms; .)

なお、含窒素有機化合物の配合量は、ベース樹脂100質量部に対して0.001〜2質量部、特に0.01〜1質量部が好適である。配合量が0.001質量部以上であれば十分な配合効果が得られ、2質量部以下であれば感度が低下するおそれが少ない。   In addition, the compounding quantity of a nitrogen-containing organic compound is 0.001-2 mass parts with respect to 100 mass parts of base resins, Especially 0.01-1 mass part is suitable. If the amount is 0.001 part by mass or more, a sufficient blending effect is obtained, and if it is 2 parts by mass or less, the sensitivity is less likely to decrease.

本発明のレジスト材料には、上記成分以外に任意成分として塗布性を向上させるために慣用されている界面活性剤を添加することができる。なお、任意成分の添加量は、本発明の効果を妨げない範囲で通常量とすることができる。   In addition to the above components, a surfactant conventionally used for improving the coating property can be added to the resist material of the present invention. In addition, the addition amount of an arbitrary component can be made into a normal amount in the range which does not inhibit the effect of this invention.

ここで、界面活性剤としては非イオン性のものが好ましく、パーフルオロアルキルポリオキシエチレンエタノール、フッ素化アルキルエステル、パーフルオロアルキルアミンオキサイド、パーフルオロアルキルEO付加物、含フッ素オルガノシロキサン系化合物等が挙げられる。例えばフロラード「FC−430」、「FC−431」(いずれも住友スリーエム(株)製)、サーフロン「S−141」、「S−145」、「KH−10」、「KH−20」、「KH−30」、「KH−40」(いずれも旭硝子(株)製)、ユニダイン「DS−401」、「DS−403」、「DS−451」(いずれもダイキン工業(株)製)、メガファック「F−8151」(大日本インキ工業(株)製)、「X−70−092」、「X−70−093」(いずれも信越化学工業(株)製)等を挙げることができる。好ましくは、フロラード「FC−430」(住友スリーエム(株)製)、「KH−20」、「KH−30」(いずれも旭硝子(株)製)、「X−70−093」(信越化学工業(株)製)が挙げられる。   Here, the surfactant is preferably nonionic, such as perfluoroalkyl polyoxyethylene ethanol, fluorinated alkyl ester, perfluoroalkylamine oxide, perfluoroalkyl EO adduct, fluorine-containing organosiloxane compound, and the like. Can be mentioned. For example, Florard “FC-430”, “FC-431” (all manufactured by Sumitomo 3M Limited), Surflon “S-141”, “S-145”, “KH-10”, “KH-20”, “ KH-30 "," KH-40 "(all manufactured by Asahi Glass Co., Ltd.), Unidyne" DS-401 "," DS-403 "," DS-451 "(all manufactured by Daikin Industries, Ltd.), Mega For example, “F-8151” (manufactured by Dainippon Ink Industries, Ltd.), “X-70-092”, “X-70-093” (all manufactured by Shin-Etsu Chemical Co., Ltd.) can be used. Preferably, Florard “FC-430” (manufactured by Sumitomo 3M Limited), “KH-20”, “KH-30” (all manufactured by Asahi Glass Co., Ltd.), “X-70-093” (Shin-Etsu Chemical Co., Ltd.) Product).

本発明のレジスト材料には、必要に応じ、任意成分として更に、溶解制御剤、カルボン酸化合物、アセチレンアルコール誘導体等の他の成分を添加してもよい。なお、任意成分の添加量は、本発明の効果を妨げない範囲で通常量とすることができる。   If necessary, the resist material of the present invention may further contain other components such as a dissolution controller, a carboxylic acid compound, and an acetylene alcohol derivative as optional components. In addition, the addition amount of an arbitrary component can be made into a normal amount in the range which does not inhibit the effect of this invention.

本発明のレジスト材料に添加することができる溶解制御剤としては、重量平均分子量が100〜1,000、好ましくは150〜800で、かつ分子内にフェノール性水酸基を2つ以上有する化合物の該フェノール性水酸基の水素原子を酸不安定基により全体として平均0〜100モル%の割合で置換した化合物又は分子内にカルボキシ基を有する化合物の該カルボキシ基の水素原子を酸不安定基により全体として平均50〜100モル%の割合で置換した化合物を配合する。   As a dissolution control agent that can be added to the resist material of the present invention, the phenol of a compound having a weight average molecular weight of 100 to 1,000, preferably 150 to 800, and having two or more phenolic hydroxyl groups in the molecule. The hydrogen atom of the carboxylic group of the compound having a carboxy group in the molecule or the compound in which the hydrogen atom of the ionic hydroxyl group is substituted with an acid labile group as a whole at a ratio of 0 to 100 mol% as a whole is averaged by the acid labile group as a whole A compound substituted at a ratio of 50 to 100 mol% is blended.

なお、フェノール性水酸基の水素原子の酸不安定基による置換率は、平均でフェノール性水酸基全体の0モル%以上、好ましくは30モル%以上であり、その上限は100モル%、より好ましくは80モル%である。カルボキシ基の水素原子の酸不安定基による置換率は、平均でカルボキシ基全体の50モル%以上、好ましくは70モル%以上であり、その上限は100モル%である。   The substitution rate of the hydrogen atom of the phenolic hydroxyl group by an acid labile group is on average 0 mol% or more, preferably 30 mol% or more of the entire phenolic hydroxyl group, and the upper limit is 100 mol%, more preferably 80 mol%. Mol%. The substitution rate of the hydrogen atom of the carboxy group with an acid labile group is 50 mol% or more, preferably 70 mol% or more of the entire carboxy group on average, and the upper limit is 100 mol%.

この場合、かかるフェノール性水酸基を2つ以上有する化合物又はカルボキシ基を有する化合物としては、下記式(D1)〜(D14)で示されるものが好ましい。   In this case, as the compound having two or more phenolic hydroxyl groups or the compound having a carboxy group, those represented by the following formulas (D1) to (D14) are preferable.

Figure 0004849268
Figure 0004849268

上式中、R201とR202は、それぞれ水素原子、又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基を示し、例えば、水素原子、メチル基、エチル基、ブチル基、プロピル基、エチニル基、シクロヘキシル基が挙げられる。 In the above formula, R 201 and R 202 each represent a hydrogen atom, or a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, such as a hydrogen atom, a methyl group, an ethyl group, or a butyl group. , A propyl group, an ethynyl group, and a cyclohexyl group.

203は、水素原子、又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基、あるいは−(R207hCOOH(式中、R207は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。hは0又は1である。)を示し、例えば、R201、R202と同様なもの、あるいは−COOH、−CH2COOHが挙げられる。 R 203 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or — (R 207 ) h COOH (wherein R 207 is a linear chain having 1 to 10 carbon atoms) And h represents 0 or 1), and examples thereof include those similar to R 201 and R 202 , or —COOH and —CH 2 COOH.

204は、−(CH2i−(i=2〜10)、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示し、例えば、エチレン基、フェニレン基、カルボニル基、スルホニル基、酸素原子、硫黄原子等が挙げられる。
205は、炭素数1〜10のアルキレン基、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示し、例えば、メチレン基、あるいはR204と同様なものが挙げられる。
R 204 represents — (CH 2 ) i — (i = 2 to 10), an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom, such as an ethylene group, a phenylene group, A carbonyl group, a sulfonyl group, an oxygen atom, a sulfur atom, etc. are mentioned.
R 205 represents an alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom, and examples thereof include a methylene group or the same as R 204. It is done.

206は、水素原子、炭素数1〜8の直鎖状又は分岐状のアルキル基、アルケニル基、又はそれぞれ水酸基で置換されたフェニル基又はナフチル基を示し、例えば、水素原子、メチル基、エチル基、ブチル基、プロピル基、エチニル基、シクロヘキシル基、それぞれ水酸基で置換されたフェニル基、ナフチル基等が挙げられる。 R 206 represents a hydrogen atom, a linear or branched alkyl group having 1 to 8 carbon atoms, an alkenyl group, or a phenyl group or a naphthyl group each substituted with a hydroxyl group. For example, a hydrogen atom, a methyl group, an ethyl group Group, butyl group, propyl group, ethynyl group, cyclohexyl group, phenyl group substituted with hydroxyl group, naphthyl group, etc., respectively.

208は、水素原子又は水酸基を示す。
jは0〜5の整数である。u、hは0又は1である。s、t、s’、t’、s’’、t’’はそれぞれs+t=8、s’+t’=5、s’’+t’’=4を満足し、かつ各フェニル骨格中に少なくとも1つの水酸基を有するような数である。αは式(D8)、(D9)の化合物の重量平均分子量を100〜1,000とする数である。
R 208 represents a hydrogen atom or a hydroxyl group.
j is an integer of 0-5. u and h are 0 or 1. s, t, s ′, t ′, s ″, t ″ satisfy s + t = 8, s ′ + t ′ = 5, s ″ + t ″ = 4, respectively, and at least 1 in each phenyl skeleton The number has two hydroxyl groups. α is a number that makes the weight average molecular weight of the compounds of formulas (D8) and (D9) 100 to 1,000.

溶解制御剤の酸不安定基としては、種々用いることができるが、具体的には前記一般式(L1)〜(L4)で示される基、炭素数4〜20の三級アルキル基、各アルキル基の炭素数がそれぞれ1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基等を挙げることができる。なお、それぞれの基の具体例については、先の説明と同様である。   The acid labile group of the dissolution control agent can be variously used. Specifically, the groups represented by the general formulas (L1) to (L4), the tertiary alkyl group having 4 to 20 carbon atoms, and each alkyl Examples thereof include a trialkylsilyl group having 1 to 6 carbon atoms and an oxoalkyl group having 4 to 20 carbon atoms. Note that specific examples of each group are the same as described above.

上記溶解制御剤の配合量は、レジスト材料中のベース樹脂100質量部に対し、0〜50質量部、好ましくは0〜40質量部、より好ましくは0〜30質量部であり、単独又は2種以上を混合して使用できる。配合量が50質量部以下であれば、パターンの膜減りが生じて、解像度が低下するおそれが少ない。   The blending amount of the dissolution control agent is 0 to 50 parts by mass, preferably 0 to 40 parts by mass, more preferably 0 to 30 parts by mass with respect to 100 parts by mass of the base resin in the resist material. The above can be mixed and used. When the blending amount is 50 parts by mass or less, the film thickness of the pattern is reduced, and there is little possibility that the resolution is lowered.

なお、上記のような溶解制御剤は、フェノール性水酸基又はカルボキシ基を有する化合物に対し、有機化学的処方を用いて酸不安定基を導入することにより合成される。   In addition, the above solubility control agents are synthesize | combined by introduce | transducing an acid labile group with respect to the compound which has a phenolic hydroxyl group or a carboxy group using an organic chemical prescription.

本発明のレジスト材料に添加することができるカルボン酸化合物としては、例えば下記[I群]及び[II群]から選ばれる1種又は2種以上の化合物を使用することができるが、これらに限定されるものではない。本成分の配合により、レジストのPED(Post Exposure Delay)安定性が向上し、窒化膜基板上でのエッジラフネスが改善されるのである。   As the carboxylic acid compound that can be added to the resist material of the present invention, for example, one or two or more compounds selected from the following [Group I] and [Group II] can be used, but are not limited thereto. Is not to be done. By blending this component, the PED (Post Exposure Delay) stability of the resist is improved, and the edge roughness on the nitride film substrate is improved.

[I群]
下記一般式(A1)〜(A10)で示される化合物のフェノール性水酸基の水素原子の一部又は全部を−R401−COOH(R401は炭素数1〜10の直鎖状又は分岐状のアルキレン基)により置換してなり、かつ分子中のフェノール性水酸基(C)と≡C−COOHで示される基(D)とのモル比率がC/(C+D)=0.1〜1.0である化合物。
[II群]
下記一般式(A11)〜(A15)で示される化合物。
[Group I]
A part or all of the hydrogen atoms of the phenolic hydroxyl groups of the compounds represented by the following general formulas (A1) to (A10) are converted to —R 401 —COOH (R 401 is a linear or branched alkylene having 1 to 10 carbon atoms). The molar ratio of the phenolic hydroxyl group (C) in the molecule to the group (D) represented by ≡C—COOH is C / (C + D) = 0.1 to 1.0. Compound.
[Group II]
Compounds represented by the following general formulas (A11) to (A15).

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

上式中、R408は水素原子又はメチル基を示す。
402、R403はそれぞれ水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基を示す。R404は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基、あるいは−(R409h−COOR’基(R’は水素原子又は−R409−COOH)を示す。
In the above formula, R 408 represents a hydrogen atom or a methyl group.
R 402 and R 403 each represent a hydrogen atom or a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms. R 404 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or a — (R 409 ) h —COOR ′ group (R ′ represents a hydrogen atom or —R 409 —COOH). Show.

405は−(CH2i−(i=2〜10)、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示す。
406は炭素数1〜10のアルキレン基、炭素数6〜10のアリーレン基、カルボニル基、スルホニル基、酸素原子又は硫黄原子を示す。
407は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基、アルケニル基、それぞれ水酸基で置換されたフェニル基又はナフチル基を示す。
R 405 represents — (CH 2 ) i — (i = 2 to 10), an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom, or a sulfur atom.
R 406 represents an alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, a carbonyl group, a sulfonyl group, an oxygen atom or a sulfur atom.
R 407 represents a hydrogen atom, a linear or branched alkyl group having 1 to 8 carbon atoms, an alkenyl group, a phenyl group or a naphthyl group each substituted with a hydroxyl group.

409は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。
410は水素原子又は炭素数1〜8の直鎖状又は分岐状のアルキル基又はアルケニル基又は−R411−COOH基(式中、R411は炭素数1〜10の直鎖状又は分岐状のアルキレン基を示す。)を示す。
412は水素原子又は水酸基を示す。
R 409 represents a linear or branched alkylene group having 1 to 10 carbon atoms.
R 410 represents a hydrogen atom, a linear or branched alkyl group or alkenyl group having 1 to 8 carbon atoms, or —R 411 —COOH group (wherein R 411 is a linear or branched group having 1 to 10 carbon atoms) Represents an alkylene group.
R 412 represents a hydrogen atom or a hydroxyl group.

jは0〜3の数であり、s1、t1、s2、t2、s3、t3、s4、t4は、それぞれs1+t1=8、s2+t2=5、s3+t3=4、s4+t4=6を満足し、かつ各フェニル骨格中に少なくとも1つの水酸基を有するような数である。
s5、t5は、s5≧0、t5≧0で、s5+t5=5を満足する数である。
uは、1≦u≦4を満足する数であり、hは、1≦h≦4を満足する数である。
j is a number from 0 to 3, and s1, t1, s2, t2, s3, t3, s4, and t4 satisfy s1 + t1 = 8, s2 + t2 = 5, s3 + t3 = 4, s4 + t4 = 6, and each phenyl The number is such that it has at least one hydroxyl group in the skeleton.
s5 and t5 are numbers satisfying s5 + t5 = 5 with s5 ≧ 0 and t5 ≧ 0.
u is a number satisfying 1 ≦ u ≦ 4, and h is a number satisfying 1 ≦ h ≦ 4.

κは式(A6)の化合物を重量平均分子量1,000〜5,000とする数である。
λは式(A7)の化合物を重量平均分子量1,000〜10,000とする数である。
本成分として、具体的には下記一般式(AI−1)〜(AI−14)及び(AII−1)〜(AII−10)で示される化合物を挙げることができるが、これらに限定されるものではない。
κ is a number that makes the compound of formula (A6) a weight average molecular weight of 1,000 to 5,000.
λ is a number that makes the compound of formula (A7) a weight average molecular weight of 1,000 to 10,000.
Specific examples of this component include, but are not limited to, compounds represented by the following general formulas (AI-1) to (AI-14) and (AII-1) to (AII-10). It is not a thing.

Figure 0004849268
Figure 0004849268

Figure 0004849268
(上式中、R’’は水素原子又はCH2COOH基を示し、各化合物においてR’’の10〜100モル%はCH2COOH基である。κとλは上記と同様の意味を示す。)
なお、上記分子内に≡C−COOHで示される基を有する化合物の添加量は、ベース樹脂100質量部に対して0〜5質量部、好ましくは0.1〜5質量部、より好ましくは0.1〜3質量部、更に好ましくは0.1〜2質量部である。5質量部以下であればレジスト材料の解像度が低下するおそれが少ない。
Figure 0004849268
(In the above formula, R ″ represents a hydrogen atom or a CH 2 COOH group, and in each compound, 10 to 100 mol% of R ″ is a CH 2 COOH group. Κ and λ have the same meaning as described above. .)
The amount of the compound having a group represented by ≡C—COOH in the molecule is 0 to 5 parts by mass, preferably 0.1 to 5 parts by mass, and more preferably 0 to 100 parts by mass of the base resin. 0.1-3 parts by mass, more preferably 0.1-2 parts by mass. If it is 5 mass parts or less, there is little possibility that the resolution of a resist material will fall.

本発明のレジスト材料に添加することができるアセチレンアルコール誘導体としては、下記一般式(S1)、(S2)で示されるものを好適に使用することができる。   As the acetylene alcohol derivative that can be added to the resist material of the present invention, those represented by the following general formulas (S1) and (S2) can be preferably used.

Figure 0004849268
(上式中、R501、R502、R503、R504、R505はそれぞれ水素原子、又は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基であり、X、Yは0又は正数を示し、下記値を満足する。0≦X≦30、0≦Y≦30、0≦X+Y≦40である。)
Figure 0004849268
(In the above formula, R 501 , R 502 , R 503 , R 504 , and R 505 are each a hydrogen atom or a linear, branched, or cyclic alkyl group having 1 to 8 carbon atoms, and X and Y are 0 Or it represents a positive number and satisfies the following values: 0 ≦ X ≦ 30, 0 ≦ Y ≦ 30, 0 ≦ X + Y ≦ 40.)

アセチレンアルコール誘導体として好ましくは、サーフィノール61、サーフィノール82、サーフィノール104、サーフィノール104E、サーフィノール104H、サーフィノール104A、サーフィノールTG、サーフィノールPC、サーフィノール440、サーフィノール465、サーフィノール485(Air Products and Chemicals Inc.製)、サーフィノールE1004(日信化学工業(株)製)等が挙げられる。   As acetylene alcohol derivatives, Surfinol 61, Surfinol 82, Surfinol 104, Surfinol 104E, Surfinol 104H, Surfinol 104A, Surfinol TG, Surfinol PC, Surfinol 440, Surfinol 465, Surfinol 485 (Air Products and Chemicals Inc.), Surfynol E1004 (manufactured by Nissin Chemical Industry Co., Ltd.) and the like.

上記アセチレンアルコール誘導体の添加量は、レジスト組成物100質量%中0.01〜2質量%、より好ましくは0.02〜1質量%である。0.01質量%以上であれば塗布性及び保存安定性の改善効果が十分に得られ、2質量%以下であればレジスト材料の解像性が低下するおそれが少ない。   The addition amount of the acetylene alcohol derivative is 0.01 to 2% by mass, more preferably 0.02 to 1% by mass in 100% by mass of the resist composition. If it is 0.01 mass% or more, the improvement effect of applicability | paintability and storage stability is fully acquired, and if it is 2 mass% or less, there is little possibility that the resolution of a resist material will fall.

本発明のレジスト材料は、液浸露光を採用したパターン形成方法に用いることができるが、この場合、フォトレジスト膜上に保護膜を形成し、フォトレジスト膜と液体との間に保護膜を介在して液浸露光を行うことが好ましいが、本発明のレジスト膜上に適用する保護膜としては、α−トリフルオロメチルアルコール基をアルカリ溶解性基として有する高分子化合物をベースとするアルカリ可溶型保護膜が好ましく用いられる。α−トリフルオロメチルアルコール基を有する高分子化合物は、一般式(1)中の繰り返し単位(b−1)を形成するモノマーと同様のモノマー(但し、R6は水素原子である)の重合によって得ることができる。また、水の浸透を防いだり、後退接触角を向上させるために繰り返し単位(b−2)を形成するモノマーと同様のモノマーを共重合してもよい。 The resist material of the present invention can be used in a pattern forming method employing immersion exposure. In this case, a protective film is formed on the photoresist film, and the protective film is interposed between the photoresist film and the liquid. It is preferable to perform immersion exposure, but as a protective film applied on the resist film of the present invention, an alkali-soluble compound based on a polymer compound having an α-trifluoromethyl alcohol group as an alkali-soluble group is used. A mold protective film is preferably used. The polymer compound having an α-trifluoromethyl alcohol group is obtained by polymerizing the same monomer (provided that R 6 is a hydrogen atom) as the monomer forming the repeating unit (b-1) in the general formula (1). Obtainable. Further, a monomer similar to the monomer forming the repeating unit (b-2) may be copolymerized in order to prevent water penetration and improve the receding contact angle.

この場合、α−トリフルオロメチルアルコール基を有するモノマーの使用割合をA、一般式(1)記載の(b−2)で示される撥水性基を有するモノマーの使用割合をBとし、A+B=100モル%とした場合、Aは10〜100モル%、特に30〜100モル%、Bは0〜90モル%、特に0〜70モル%重合させた高分子化合物を保護膜材料とすることが好ましい。   In this case, the use ratio of the monomer having an α-trifluoromethyl alcohol group is A, the use ratio of the monomer having a water repellent group represented by (b-2) described in the general formula (1) is B, and A + B = 100 In the case of mol%, A is 10 to 100 mol%, particularly 30 to 100 mol%, B is preferably 0 to 90 mol%, particularly 0 to 70 mol% polymerized polymer compound is preferably used as the protective film material. .

なお、保護膜の2.38質量%のテトラメチルアンモニウムヒドロキシド水溶液中のアルカリ溶解速度は50nm/秒以上、好ましくは100nm/秒以上である。重量平均分子量は1,000〜100,000の範囲が好ましく用いられる。   In addition, the alkali dissolution rate in the 2.38 mass% tetramethylammonium hydroxide aqueous solution of a protective film is 50 nm / second or more, Preferably it is 100 nm / second or more. The weight average molecular weight is preferably in the range of 1,000 to 100,000.

保護膜用の溶媒としては、特に限定されないが、レジスト層を溶解させる溶媒は好ましくない。例えば、レジスト溶媒として用いられるシクロヘキサノン、メチル−2−n−アミルケトン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert−ブチル、プロピレングリコールモノ−tert−ブチルエーテルアセテート等のエステル類等は好ましくない。   The solvent for the protective film is not particularly limited, but a solvent that dissolves the resist layer is not preferable. For example, ketones such as cyclohexanone and methyl-2-n-amyl ketone used as a resist solvent, 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol Alcohols such as propylene glycol monomethyl ether, ethylene glycol monomethyl ether, propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene glycol monoethyl ether acetate , Ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, 3-ethoxy Ethyl propionate, acetate tert- butyl, tert- butyl propionate, esters such as propylene glycol monobutyl -tert- butyl ether acetate is not preferable.

レジスト層を溶解しない溶媒としては、炭素数4以上の高級アルコール、トルエン、キシレン、アニソール、ヘキサン、シクロヘキサン、エーテル等の非極性溶媒を挙げることができる。特に炭素数4以上の高級アルコールが好ましく用いられ、具体的には1−ブチルアルコール、2−ブチルアルコール、イソブチルアルコール、tert−ブチルアルコール、1−ペンタノール、2−ペンタノール、3−ペンタノール、tert−アミルアルコール、ネオペンチルアルコール、2−メチル−1−ブタノール、3−メチル−1−ブタノール、3−メチル−3−ペンタノール、シクロペンタノール、1−ヘキサノール、2−ヘキサノール、3−ヘキサノール、2,3−ジメチル−2−ブタノール、3,3−ジメチル−1−ブタノール、3,3−ジメチル−2−ブタノール、2−ジエチル−1−ブタノール、2−メチル−1−ペンタノール、2−メチル−2−ペンタノール、2−メチル−3−ペンタノール、3−メチル−1−ペンタノール、3−メチル−2−ペンタノール、3−メチル−3−ペンタノール、4−メチル−1−ペンタノール、4−メチル−2−ペンタノール、4−メチル−3−ペンタノール、シクロヘキサノール、ジイソプロピルエーテル、ジイソブチルエーテル、ジイソペンチルエーテル、ジ−n−ペンチルエーテル、メチルシクロペンチルエーテル、メチルシクロヘキシルエーテルが挙げられる。   Examples of the solvent that does not dissolve the resist layer include non-polar solvents such as higher alcohols having 4 or more carbon atoms, toluene, xylene, anisole, hexane, cyclohexane, and ether. Particularly, higher alcohols having 4 or more carbon atoms are preferably used. Specifically, 1-butyl alcohol, 2-butyl alcohol, isobutyl alcohol, tert-butyl alcohol, 1-pentanol, 2-pentanol, 3-pentanol, tert-amyl alcohol, neopentyl alcohol, 2-methyl-1-butanol, 3-methyl-1-butanol, 3-methyl-3-pentanol, cyclopentanol, 1-hexanol, 2-hexanol, 3-hexanol, 2,3-dimethyl-2-butanol, 3,3-dimethyl-1-butanol, 3,3-dimethyl-2-butanol, 2-diethyl-1-butanol, 2-methyl-1-pentanol, 2-methyl 2-pentanol, 2-methyl-3-pentanol, 3-methyl-1-pentane 3-methyl-2-pentanol, 3-methyl-3-pentanol, 4-methyl-1-pentanol, 4-methyl-2-pentanol, 4-methyl-3-pentanol, cyclohexanol , Diisopropyl ether, diisobutyl ether, diisopentyl ether, di-n-pentyl ether, methylcyclopentyl ether, and methylcyclohexyl ether.

一方、フッ素系の溶媒もレジスト層を溶解しないので好ましく用いることができる。
このようなフッ素置換された溶媒を例示すると、2−フルオロアニソール、3−フルオロアニソール、4−フルオロアニソール、2,3−ジフルオロアニソール、2,4−ジフルオロアニソール、2,5−ジフルオロアニソール、5,8−ジフルオロ−1,4−ベンゾジオキサン、2,3−ジフルオロベンジルアルコール、1,3−ジフルオロ−2−プロパノール、2’,4’−ジフルオロプロピオフェノン、2,4−ジフルオロトルエン、トリフルオロアセトアルデヒドエチルヘミアセタール、トリフルオロアセトアミド、トリフルオロエタノール、2,2,2−トリフルオロエチルブチレート、エチルヘプタフルオロブチレート、エチルヘプタフルオロブチルアセテート、エチルヘキサフルオログルタリルメチル、エチル−3−ヒドロキシ−4,4,4−トリフルオロブチレート、エチル−2−メチル−4,4,4−トリフルオロアセトアセテート、エチルペンタフルオロベンゾエート、エチルペンタフルオロプロピオネート、エチルペンタフルオロプロピニルアセテート、エチルパーフルオロオクタノエート、エチル−4,4,4−トリフルオロアセトアセテート、エチル−4,4,4−トリフルオロブチレート、エチル−4,4,4−トリフルオロクロトネート、エチルトリフルオロスルホネート、エチル−3−(トリフルオロメチル)ブチレート、エチルトリフルオロピルベート、S−エチルトリフルオロアセテート、フルオロシクロヘキサン、2,2,3,3,4,4,4−ヘプタフルオロ−1−ブタノール、1,1,1,2,2,3,3−ヘプタフルオロ−7,7−ジメチル−4,6−オクタンジオン、1,1,1,3,5,5,5−ヘプタフルオロペンタン−2,4−ジオン、3,3,4,4,5,5,5−ヘプタフルオロ−2−ペンタノール、3,3,4,4,5,5,5−ヘプタフルオロ−2−ペンタノン、イソプロピル4,4,4−トリフルオロアセトアセテート、メチルパーフルオロデナノエート、メチルパーフルオロ(2−メチル−3−オキサヘキサノエート)、メチルパーフルオロノナノエート、メチルパーフルオロオクタノエート、メチル−2,3,3,3−テトラフルオロプロピオネート、メチルトリフルオロアセトアセテート、1,1,1,2,2,6,6,6−オクタフルオロ−2,4−ヘキサンジオン、2,2,3,3,4,4,5,5−オクタフルオロ−1−ペンタノール、1H,1H,2H,2H−パーフルオロ−1−デカノール、パーフルオロ(2,5−ジメチル−3,6−ジオキサンアニオニック)酸メチルエステル、2H−パーフルオロ−5−メチル−3,6−ジオキサノナン、1H,1H,2H,3H,3H−パーフルオロノナン−1,2−ジオール、1H,1H,9H−パーフルオロ−1−ノナノール、1H,1H−パーフルオロオクタノール、1H,1H,2H,2H−パーフルオロオクタノール、2H−パーフルオロ−5,8,11,14−テトラメチル−3,6,9,12,15−ペンタオキサオクタデカン、パーフルオロトリブチルアミン、パーフルオロトリヘキシルアミン、パーフルオロ−2,5,8−トリメチル−3,6,9−トリオキサドデカン酸メチルエステル、パーフルオロトリペンチルアミン、パーフルオロトリプロピルアミン、1H,1H,2H,3H,3H−パーフルオロウンデカン−1,2−ジオール、トルフルオロブタノール1,1,1−トリフルオロ−5−メチル−2,4−ヘキサンジオン、1,1,1−トリフルオロ−2−プロパノール、3,3,3−トリフルオロ−1−プロパノール、1,1,1−トリフルオロ−2−プロピルアセテート、パーフルオロブチルテトラヒドロフラン、パーフルオロ(ブチルテトラヒドロフラン)、パーフルオロデカリン、パーフルオロ(1,2−ジメチルシクロヘキサン)、パーフルオロ(1,3−ジメチルシクロヘキサン)、プロピレングリコールトリフルオロメチルエーテルアセテート、プロピレングリコールメチルエーテルトリフルオロメチルアセテート、トリフルオロメチル酢酸ブチル、3−トリフルオロメトキシプロピオン酸メチル、パーフルオロシクロヘキサノン、プロピレングリコールトリフルオロメチルエーテル、トリフルオロ酢酸ブチル、1,1,1−トリフルオロ−5,5−ジメチル−2,4−ヘキサンジオン、1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール、1,1,1,3,3,3−ヘキサフルオロ−2−メチル−2−プロパノール、2,2,3,4,4,4−ヘキサフルオロ−1−ブタノール、2−トリフルオロメチル−2−プロパノール,2,2,3,3−テトラフルオロ−1−プロパノール、3,3,3−トリフルオロ−1−プロパノール、4,4,4−トリフルオロ−1−ブタノール等が挙げられ、これらの1種を単独で又は2種以上を混合して使用することができるが、これらに限定されるものではない。
On the other hand, a fluorine-based solvent can be preferably used because it does not dissolve the resist layer.
Examples of such fluorine-substituted solvents include 2-fluoroanisole, 3-fluoroanisole, 4-fluoroanisole, 2,3-difluoroanisole, 2,4-difluoroanisole, 2,5-difluoroanisole, 5, 8-difluoro-1,4-benzodioxane, 2,3-difluorobenzyl alcohol, 1,3-difluoro-2-propanol, 2 ′, 4′-difluoropropiophenone, 2,4-difluorotoluene, trifluoroacetaldehyde Ethyl hemiacetal, trifluoroacetamide, trifluoroethanol, 2,2,2-trifluoroethyl butyrate, ethyl heptafluorobutyrate, ethyl heptafluorobutyl acetate, ethyl hexafluoroglutaryl methyl, ethyl-3-hydroxy 4,4,4-trifluorobutyrate, ethyl-2-methyl-4,4,4-trifluoroacetoacetate, ethyl pentafluorobenzoate, ethyl pentafluoropropionate, ethyl pentafluoropropynyl acetate, ethyl perfluoroocta Noate, ethyl-4,4,4-trifluoroacetoacetate, ethyl-4,4,4-trifluorobutyrate, ethyl-4,4,4-trifluorocrotonate, ethyltrifluorosulfonate, ethyl-3 -(Trifluoromethyl) butyrate, ethyl trifluoropyruvate, S-ethyl trifluoroacetate, fluorocyclohexane, 2,2,3,3,4,4,4-heptafluoro-1-butanol, 1,1,1 , 2,2,3,3-heptafluoro-7,7-dimethyl Til-4,6-octanedione, 1,1,1,3,5,5,5-heptafluoropentane-2,4-dione, 3,3,4,4,5,5,5-heptafluoro- 2-pentanol, 3,3,4,4,5,5,5-heptafluoro-2-pentanone, isopropyl 4,4,4-trifluoroacetoacetate, methyl perfluorodenanoate, methyl perfluoro (2 -Methyl-3-oxahexanoate), methyl perfluorononanoate, methyl perfluorooctanoate, methyl-2,3,3,3-tetrafluoropropionate, methyl trifluoroacetoacetate, 1,1, 1,2,2,6,6,6-octafluoro-2,4-hexanedione, 2,2,3,3,4,4,5,5-octafluoro-1-pentanol, 1H, H, 2H, 2H-perfluoro-1-decanol, perfluoro (2,5-dimethyl-3,6-dioxane anionic) acid methyl ester, 2H-perfluoro-5-methyl-3,6-dioxanonane, 1H 1H, 2H, 3H, 3H-perfluorononane-1,2-diol, 1H, 1H, 9H-perfluoro-1-nonanol, 1H, 1H-perfluorooctanol, 1H, 1H, 2H, 2H-perfluoro Octanol, 2H-perfluoro-5,8,11,14-tetramethyl-3,6,9,12,15-pentaoxaoctadecane, perfluorotributylamine, perfluorotrihexylamine, perfluoro-2,5 8-trimethyl-3,6,9-trioxadodecanoic acid methyl ester, perfluorotripentyl Min, perfluorotripropylamine, 1H, 1H, 2H, 3H, 3H-perfluoroundecane-1,2-diol, trifluorobutanol 1,1,1-trifluoro-5-methyl-2,4-hexanedione 1,1,1-trifluoro-2-propanol, 3,3,3-trifluoro-1-propanol, 1,1,1-trifluoro-2-propyl acetate, perfluorobutyltetrahydrofuran, perfluoro (butyl Tetrahydrofuran), perfluorodecalin, perfluoro (1,2-dimethylcyclohexane), perfluoro (1,3-dimethylcyclohexane), propylene glycol trifluoromethyl ether acetate, propylene glycol methyl ether trifluoromethyl acetate, trifluorome Butyl butyl acetate, methyl 3-trifluoromethoxypropionate, perfluorocyclohexanone, propylene glycol trifluoromethyl ether, butyl trifluoroacetate, 1,1,1-trifluoro-5,5-dimethyl-2,4-hexanedione 1,1,1,3,3,3-hexafluoro-2-propanol, 1,1,1,3,3,3-hexafluoro-2-methyl-2-propanol, 2,2,3,4 , 4,4-hexafluoro-1-butanol, 2-trifluoromethyl-2-propanol, 2,2,3,3-tetrafluoro-1-propanol, 3,3,3-trifluoro-1-propanol, 4,4,4-trifluoro-1-butanol and the like can be mentioned, and one of these can be used alone or two or more of them can be used in combination. , But it is not limited thereto.

本発明は、上記のレジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法を提供する。このとき、高エネルギー線を波長180〜250nmの範囲のものとすることが好ましい。
また、前記高エネルギー線で露光する工程を液体を介して露光する液浸露光により行うことができ、例えば、180〜250nmの範囲の露光波長を用い、前記レジスト材料を塗布した基板と投影レンズの間に液体を挿入し、該液体を介して前記基板を露光することができる。なお、液浸露光に用いる液体としては、水等が挙げられる。
The present invention provides a pattern forming method comprising a step of applying the resist material on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer. provide. At this time, it is preferable that the high energy ray has a wavelength in the range of 180 to 250 nm.
In addition, the step of exposing with the high energy beam can be performed by immersion exposure through a liquid, for example, using an exposure wavelength in the range of 180 to 250 nm, and using a substrate coated with the resist material and a projection lens. A liquid can be inserted in between, and the substrate can be exposed through the liquid. In addition, water etc. are mentioned as a liquid used for immersion exposure.

本発明のレジスト材料を使用してパターンを形成するには、公知のリソグラフィー技術を採用して行うことができる。
例えば、集積回路製造用の基板(Si,SiO2,SiN,SiON,TiN,WSi,BPSG,SOG,低誘電率膜等)上にスピンコーティング等の手法で膜厚が10〜200nmとなるようにレジスト材料を塗布し、これをホットプレート上で50〜150℃、1〜10分間、好ましくは60〜140℃、1〜5分間プリベークしてフォトレジスト膜を形成する。
In order to form a pattern using the resist material of the present invention, a known lithography technique can be employed.
For example, on a substrate for manufacturing an integrated circuit (Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, low dielectric constant film, etc.) so that the film thickness becomes 10 to 200 nm by a technique such as spin coating. A resist material is applied, and this is prebaked on a hot plate at 50 to 150 ° C. for 1 to 10 minutes, preferably 60 to 140 ° C. for 1 to 5 minutes to form a photoresist film.

集積回路製造用基板とフォトレジストの間に反射防止膜を設けることによって、基板反射を抑えることができる。反射防止膜はアモルファスカーボンやSiONのような無機膜や、スピンコーティングによって成膜する有機膜が挙げられるが、後者が広く用いられている。   By providing an antireflection film between the integrated circuit manufacturing substrate and the photoresist, substrate reflection can be suppressed. Examples of the antireflection film include inorganic films such as amorphous carbon and SiON, and organic films formed by spin coating, but the latter is widely used.

液浸露光によって投影レンズのNAが1を超え、レジスト及び反射防止膜への光の入射角が大きくなることにより従来型の1層の反射防止膜では反射制御が困難になってきており、2層の反射防止膜が提案されている。また、レジスト膜の薄膜化に伴ってエッチングのマージンが低下するため、レジストの下層に珪素を含む膜を成膜し、その下の集積回路製造用の基板上に炭素密度の高い下層膜を成膜する3層プロセスが提案されている。
このようにフォトレジスト下の膜としては多種多様かつ多層の膜が成膜される。
Since the NA of the projection lens exceeds 1 due to immersion exposure and the incident angle of light on the resist and the antireflection film increases, reflection control becomes difficult with the conventional single-layer antireflection film. Layer antireflection coatings have been proposed. In addition, since the margin of etching decreases as the resist film becomes thinner, a film containing silicon is formed as a lower layer of the resist, and a lower layer film having a high carbon density is formed on the substrate for manufacturing the integrated circuit therebelow. A three-layer process for filming has been proposed.
As described above, various and multi-layered films are formed as films under the photoresist.

レジスト膜を形成後、フォトレジスト層の上に非水溶性でかつアルカリ可溶性のレジスト保護膜材料をスピンコート法などで成膜することもできる。保護膜の膜厚は10〜500nmの範囲が好ましい。露光方法はレジスト保護膜と投影レンズの間が空気あるいは窒素などの気体であるドライ露光でもよいが、レジスト保護膜と投影レンズ間が液体で満たされている液浸露光でもよい。液浸露光では水が好ましく用いられる。液浸露光において、ウエハー裏面への水の回り込みや、基板からの溶出を防ぐために、ウエハーエッジや裏面のクリーニングの有無、及びそのクリーニング方法は重要である。例えばレジスト保護膜をスピンコート後に40〜130℃の範囲で10〜300秒間ベークすることによって溶媒を揮発させる。レジスト膜や、ドライ露光の場合はスピンコート時にエッジクリーニングを行うが、液浸露光の場合、親水性の高い基板面が水に接触すると、エッジ部分の基板面に水が残ることがあり、好ましいことではない。そのためレジスト保護膜のスピンコート時にはエッジクリーニングをしない方法も挙げられる。露光後、ポストエクスポージュアベーク(PEB)を行い、アルカリ現像液で10〜300秒間現像を行う。アルカリ現像液は2.38質量%のテトラメチルアンモニウムヒドロキシド水溶液が一般的に広く用いられている。PEB前に、レジスト膜上に水が残っている場合がある。水が残っている状態でPEBを行うと、水がレジスト中の酸を吸い出してしまい、パターン形成ができなくなる。PEB前に保護膜上の水を完全に除去するため、PEB前のスピンドライ、膜表面の乾燥空気や窒素によるパージ、あるいは露光後のポストソーキングによって膜上の水を乾燥あるいは回収する必要がある。   After forming the resist film, a water-insoluble and alkali-soluble resist protective film material can be formed on the photoresist layer by a spin coating method or the like. The thickness of the protective film is preferably in the range of 10 to 500 nm. The exposure method may be dry exposure in which the space between the resist protective film and the projection lens is a gas such as air or nitrogen, but may be immersion exposure in which the space between the resist protective film and the projection lens is filled with liquid. Water is preferably used in the immersion exposure. In immersion exposure, the presence or absence of cleaning of the wafer edge and back surface and the cleaning method are important in order to prevent water from flowing around the wafer back surface and elution from the substrate. For example, after spin-coating the resist protective film, the solvent is volatilized by baking at 40 to 130 ° C. for 10 to 300 seconds. Edge cleaning is performed at the time of spin coating in the case of a resist film or dry exposure, but in the case of immersion exposure, when a highly hydrophilic substrate surface comes into contact with water, water may remain on the substrate surface of the edge portion, which is preferable. Not that. Therefore, there is a method in which edge cleaning is not performed during spin coating of the resist protective film. After exposure, post-exposure baking (PEB) is performed, and development is performed with an alkali developer for 10 to 300 seconds. As the alkali developer, a 2.38 mass% tetramethylammonium hydroxide aqueous solution is generally widely used. Before PEB, water may remain on the resist film. If PEB is performed in a state where water remains, the water absorbs the acid in the resist, and the pattern cannot be formed. In order to completely remove the water on the protective film before PEB, it is necessary to dry or recover the water on the film by spin drying before PEB, purging with dry air or nitrogen on the film surface, or post-soaking after exposure. .

ベースとなるレジスト材料の種類は、特に限定されない。ポジ型でもネガ型でもよく、通常の炭化水素系の単層レジスト材料でもよく、珪素原子等を含んだバイレイヤーレジスト材料でもよい。KrF露光におけるレジスト材料は、ベース樹脂としてポリヒドロキシスチレン又はポリヒドロキシスチレン−(メタ)アクリレート共重合体の、ヒドロキシ基あるいはカルボキシル基の水素原子の一部又は全てが酸不安定基で置換された重合体が好ましく用いられる。   The kind of resist material used as a base is not specifically limited. It may be a positive type or a negative type, and may be an ordinary hydrocarbon-based single layer resist material or a bilayer resist material containing silicon atoms or the like. The resist material used in KrF exposure is a polyhydroxystyrene or polyhydroxystyrene- (meth) acrylate copolymer as a base resin, in which a part or all of the hydrogen atoms of hydroxy groups or carboxyl groups are substituted with acid labile groups. Coalescence is preferably used.

ArF露光におけるレジスト材料は、ベース樹脂としてナフタレン以外の芳香族を含まない構造が必須であり、具体的にはポリアクリル酸及びその誘導体、ノルボルネン誘導体−無水マレイン酸交互重合体及びポリアクリル酸又はその誘導体との3あるいは4元共重合体、テトラシクロドデセン誘導体−無水マレイン酸交互重合体及びポリアクリル酸又はその誘導体との3あるいは4元共重合体、ノルボルネン誘導体−マレイミド交互重合体及びポリアクリル酸又はその誘導体との3あるいは4元共重合体、テトラシクロドデセン誘導体−マレイミド交互重合体及びポリアクリル酸又はその誘導体との3あるいは4元共重合体、及びこれらの2つ以上の、あるいはポリノルボルネン及びメタセシス開環重合体から選択される1種あるいは2種以上の高分子重合体が好ましく用いられる。   The resist material in ArF exposure must have a structure that does not contain aromatics other than naphthalene as the base resin. Specifically, polyacrylic acid and its derivatives, norbornene derivative-maleic anhydride alternating polymer and polyacrylic acid or its Tri- or quaternary copolymers with derivatives, tetracyclododecene derivatives-maleic anhydride alternating polymers and terpolymers with polyacrylic acid or its derivatives, norbornene derivatives-maleimide alternating polymers and polyacrylic Ternary or quaternary copolymers with acids or derivatives thereof, tetracyclododecene derivative-maleimide alternating polymers and ternary or quaternary copolymers with polyacrylic acid or derivatives thereof, and two or more of these, or One or two selected from polynorbornene and metathesis ring-opening polymers High molecular weight polymer above is preferably used.

上述したように、本発明のレジスト材料を用いて形成したフォトレジスト膜は、保護膜に対してミキシング層を形成しづらく、現像後の親水性が高いためにブロッブと呼ばれる残渣などの欠陥の発生がない。   As described above, the photoresist film formed using the resist material of the present invention is difficult to form a mixing layer with respect to the protective film, and because of its high hydrophilicity after development, defects such as residues called blobs are generated. There is no.

マスクブランクス用のレジスト材料としては、ノボラック、ヒドロキシスチレンベースの樹脂が主に用いられる。これらの樹脂のヒドロキシ基を酸不安定基で置換されたものがポジ型として、架橋剤を添加したものがネガ型として用いられる。ヒドロキシスチレンと(メタ)アクリル誘導体、スチレン、ビニルナフタレン、ビニルアントラセン、ビニルピレン、ヒドロキシビニルナフタレン、ヒドロキシビニルアントラセン、インデン、ヒドロキシインデン、アセナフチレン、ノルボルナジエン類を共重合したポリマーをベースとしてもよい。
マスクブランクス用レジスト膜として用いる場合、SiO2、Cr、CrO、CrN、MoSi等のマスクブランクス基板上に本発明のフォトレジスト材料を塗布し、レジスト膜を形成する。フォトレジストとブランクス基板の間にSOG膜と有機下層膜を形成し、3層構造を形成してもよい。
レジスト膜を形成後、電子ビーム描画機で露光する。露光後、ポストエクスポージュアベーク(PEB)を行い、アルカリ現像液で10〜300秒間現像を行う。
As resist materials for mask blanks, novolak and hydroxystyrene-based resins are mainly used. Those obtained by substituting hydroxy groups of these resins with acid labile groups are used as positive types, and those added with a crosslinking agent are used as negative types. A polymer obtained by copolymerizing hydroxystyrene and a (meth) acrylic derivative, styrene, vinylnaphthalene, vinylanthracene, vinylpyrene, hydroxyvinylnaphthalene, hydroxyvinylanthracene, indene, hydroxyindene, acenaphthylene, norbornadiene may be used as a base.
When used as a mask blank resist film, the photoresist material of the present invention is applied onto a mask blank substrate such as SiO 2 , Cr, CrO, CrN, or MoSi to form a resist film. A three-layer structure may be formed by forming an SOG film and an organic underlayer film between a photoresist and a blank substrate.
After forming the resist film, exposure is performed with an electron beam drawing machine. After exposure, post-exposure baking (PEB) is performed, and development is performed with an alkali developer for 10 to 300 seconds.

以下、実施例及び比較例を示して本発明を具体的に説明するが、本発明はこれらの記載によって限定されるものではない。   EXAMPLES Hereinafter, although an Example and a comparative example are shown and this invention is demonstrated concretely, this invention is not limited by these description.

Figure 0004849268
Figure 0004849268

(高分子化合物の調製)
[実施例1]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー1を26.5g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー1とした。
(Preparation of polymer compound)
[Example 1]
To a 200 mL flask, 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 26.5 g of monomer 1 and 40 g of methanol as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was 1 H-NMR, the molecular weight was confirmed by GPC, and Example polymer 1 was obtained.

ポリマー1(Polymer 1)
分子量(Mw)=8,600
分散度(Mw/Mn)=1.81

Figure 0004849268
Polymer 1 (Polymer 1)
Molecular weight (Mw) = 8,600
Dispersity (Mw / Mn) = 1.81
Figure 0004849268

[実施例2]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー1を23.5g、モノマー10を1.6g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー2とした。
[Example 2]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 23.5 g of monomer 1, 1.6 g of monomer 10 and 40 g of methanol as a solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was confirmed by 1 H-NMR, and the molecular weight was confirmed by GPC.

ポリマー2(Polymer 2)
分子量(Mw)=8,100
分散度(Mw/Mn)=1.84

Figure 0004849268
Polymer 2
Molecular weight (Mw) = 8,100
Dispersity (Mw / Mn) = 1.84
Figure 0004849268

[実施例3]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー2を21.3g、モノマー11を2.0g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー3とした。
[Example 3]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 21.3 g of monomer 2, 2.0 g of monomer 11 and 40 g of methanol as solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was confirmed by 1 H-NMR, and the molecular weight was confirmed by GPC.

ポリマー3(Polymer 3)
分子量(Mw)=8,500
分散度(Mw/Mn)=1.67

Figure 0004849268
Polymer 3 (Polymer 3)
Molecular weight (Mw) = 8,500
Dispersity (Mw / Mn) = 1.67
Figure 0004849268

[実施例4]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー3を12.0g、メタクリル酸を4.3g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー4とした。
[Example 4]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 12.0 g of monomer 3, 4.3 g of methacrylic acid, and 40 g of methanol as a solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was confirmed by 1 H-NMR, and the molecular weight was confirmed by GPC.

ポリマー4(Polymer 4)
分子量(Mw)=8,900
分散度(Mw/Mn)=1.88

Figure 0004849268
Polymer 4 (Polymer 4)
Molecular weight (Mw) = 8,900
Dispersity (Mw / Mn) = 1.88
Figure 0004849268

[実施例5]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー4を9.4g、メタクリル酸を4.3g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー5とした。
[Example 5]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 9.4 g of monomer 4, 4.3 g of methacrylic acid, and 40 g of methanol as a solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was confirmed by 1 H-NMR, and the molecular weight was confirmed by GPC.

ポリマー5(Polymer 5)
分子量(Mw)=8,600
分散度(Mw/Mn)=1.81

Figure 0004849268
Polymer 5 (Polymer 5)
Molecular weight (Mw) = 8,600
Dispersity (Mw / Mn) = 1.81
Figure 0004849268

[実施例6]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー5を25.5g、モノマー12を2.0g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー6とした。
[Example 6]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 25.5 g of monomer 5, 2.0 g of monomer 12 and 40 g of methanol as solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was confirmed by 1 H-NMR, and the molecular weight was confirmed by GPC.

ポリマー6(Polymer 6)
分子量(Mw)=6,800
分散度(Mw/Mn)=1.54

Figure 0004849268
Polymer 6 (Polymer 6)
Molecular weight (Mw) = 6,800
Dispersity (Mw / Mn) = 1.54
Figure 0004849268

[実施例7]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー6を25.7g、モノマー12を2.0g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー7とした。
[Example 7]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 25.7 g of monomer 6, 2.0 g of monomer 12 and 40 g of methanol as solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was 1 H-NMR, the molecular weight was confirmed by GPC, and Example polymer 7 was obtained.

ポリマー7(Polymer 7)
分子量(Mw)=8,700
分散度(Mw/Mn)=1.87

Figure 0004849268
Polymer 7 (Polymer 7)
Molecular weight (Mw) = 8,700
Dispersity (Mw / Mn) = 1.87
Figure 0004849268

[実施例8]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー7を26.9g、モノマー12を2.0g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー8とした。
[Example 8]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 26.9 g of monomer 7, 2.0 g of monomer 12 and 40 g of methanol as solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was 1 H-NMR, the molecular weight was confirmed by GPC, and Example polymer 8 was obtained.

ポリマー8(Polymer 8)
分子量(Mw)=8,900
分散度(Mw/Mn)=1.84

Figure 0004849268
Polymer 8 (Polymer 8)
Molecular weight (Mw) = 8,900
Dispersity (Mw / Mn) = 1.84
Figure 0004849268

[実施例9]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー8を26.9g、モノマー12を2.0g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー9とした。
[Example 9]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 26.9 g of monomer 8, 2.0 g of monomer 12 and 40 g of methanol as solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was confirmed by 1 H-NMR, the molecular weight was confirmed by GPC, and Example polymer 9 was obtained.

ポリマー9(Polymer 9)
分子量(Mw)=8,600
分散度(Mw/Mn)=1.81

Figure 0004849268
Polymer 9 (Polymer 9)
Molecular weight (Mw) = 8,600
Dispersity (Mw / Mn) = 1.81
Figure 0004849268

[実施例10]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー9を30.4g、モノマー12を2.0g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー10とした。
[Example 10]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 30.4 g of monomer 9, 2.0 g of monomer 12 and 40 g of methanol as solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was confirmed by 1 H-NMR, and the molecular weight was confirmed by GPC.

ポリマー10(Polymer 10)
分子量(Mw)=8,300
分散度(Mw/Mn)=1.85

Figure 0004849268
Polymer 10 (Polymer 10)
Molecular weight (Mw) = 8,300
Dispersity (Mw / Mn) = 1.85
Figure 0004849268

[実施例11]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー13を23.5g、モノマー10を1.6g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー11とした。
[Example 11]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 23.5 g of monomer 13, 1.6 g of monomer 10 and 40 g of methanol as solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was confirmed by 1 H-NMR, and the molecular weight was confirmed by GPC.

ポリマー11(Polymer 11)
分子量(Mw)=7,900
分散度(Mw/Mn)=1.87

Figure 0004849268
Polymer 11 (Polymer 11)
Molecular weight (Mw) = 7,900
Dispersity (Mw / Mn) = 1.87
Figure 0004849268

[実施例12]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー14を29.3g、モノマー10を1.6g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー12とした。
[Example 12]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 29.3 g of monomer 14, 1.6 g of monomer 10 and 40 g of methanol as solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was confirmed by 1 H-NMR, and the molecular weight was confirmed by GPC.

ポリマー12(Polymer 12)
分子量(Mw)=7,900
分散度(Mw/Mn)=1.87

Figure 0004849268
Polymer 12 (Polymer 12)
Molecular weight (Mw) = 7,900
Dispersity (Mw / Mn) = 1.87
Figure 0004849268

[実施例13]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー15を25.3g、モノマー10を1.6g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー13とした。
[Example 13]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 25.3 g of monomer 15, 1.6 g of monomer 10 and 40 g of methanol as solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was confirmed by 1 H-NMR, and the molecular weight was confirmed by GPC.

ポリマー13(Polymer 13)
分子量(Mw)=6,900
分散度(Mw/Mn)=1.68

Figure 0004849268
Polymer 13 (Polymer 13)
Molecular weight (Mw) = 6,900
Dispersity (Mw / Mn) = 1.68
Figure 0004849268

[実施例14]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー16を40.5g、モノマー10を1.6g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー14とした。
[Example 14]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 40.5 g of monomer 16, 1.6 g of monomer 10 and 40 g of methanol as solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was confirmed by 1 H-NMR, the molecular weight was confirmed by GPC, and Example polymer 14 was obtained.

ポリマー14(Polymer 14)
分子量(Mw)=8,600
分散度(Mw/Mn)=1.77

Figure 0004849268
Polymer 14 (Polymer 14)
Molecular weight (Mw) = 8,600
Dispersity (Mw / Mn) = 1.77
Figure 0004849268

[実施例15]
200mLのフラスコにトリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−2−ヒドロキシプロパンスルホネートを5.6g、モノマー17を23.6g、モノマー10を1.6g、溶媒としてメタノールを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素フローを3回繰り返した。室温まで昇温後、重合開始剤として2,2’−アゾビス(2,4−ジメチルバレロニトリル)を0.8g加え、65℃まで昇温後、25時間反応させた。この反応溶液をヘキサンに晶出させて樹脂を単離した。得られた樹脂の組成は1H−NMR、分子量はGPCで確認し、実施例ポリマー15とした。
[Example 15]
Add 5.6 g of triphenylsulfonium 1,1,3,3,3-pentafluoro-2-hydroxypropanesulfonate, 23.6 g of monomer 17, 1.6 g of monomer 10 and 40 g of methanol as solvent to a 200 mL flask. did. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen flow were repeated three times. After raising the temperature to room temperature, 0.8 g of 2,2′-azobis (2,4-dimethylvaleronitrile) was added as a polymerization initiator, and the temperature was raised to 65 ° C., followed by reaction for 25 hours. The reaction solution was crystallized from hexane to isolate the resin. The composition of the obtained resin was 1 H-NMR, the molecular weight was confirmed by GPC, and Example polymer 15 was obtained.

ポリマー15(Polymer 15)
分子量(Mw)=8,300
分散度(Mw/Mn)=1.71

Figure 0004849268
Polymer 15 (Polymer 15)
Molecular weight (Mw) = 8,300
Dispersity (Mw / Mn) = 1.71
Figure 0004849268

(レジスト材料の調製)
下記に示した組成で、ベース樹脂、光酸発生剤、塩基性化合物及び有機溶剤を混合、溶解後にそれらをテフロン(登録商標)製フィルター(孔径0.2μm)で濾過し、レジスト材料(レジスト1)を調製した。
(Preparation of resist material)
In the composition shown below, the base resin, photoacid generator, basic compound and organic solvent were mixed and dissolved, and then filtered through a Teflon (registered trademark) filter (pore size 0.2 μm) to obtain a resist material (resist 1 ) Was prepared.

レジスト1
混合組成:ベース樹脂1(100質量部)、光酸発生剤(5質量部)、塩基性化合物(1質量部)、有機溶剤1(1,330質量部)、有機溶剤2(570質量部)
ベース樹脂1(下記構造式参照)

Figure 0004849268
分子量(Mw)=7,600
分散度(Mw/Mn)=1.76
光酸発生剤(PAG1):ノナフルオロブタンスルホン酸トリフェニルスルホニウム
塩基性化合物(クエンチャー1):2−シクロヘキシルカルボキシエチルモルホリン
有機溶剤1:酢酸1−メトキシイソプロピル
有機溶剤2:シクロヘキサノン Resist 1
Mixed composition: base resin 1 (100 parts by mass), photoacid generator (5 parts by mass), basic compound (1 part by mass), organic solvent 1 (1,330 parts by mass), organic solvent 2 (570 parts by mass)
Base resin 1 (see the structural formula below)
Figure 0004849268
Molecular weight (Mw) = 7,600
Dispersity (Mw / Mn) = 1.76
Photoacid generator (PAG1): Nonafluorobutanesulfonic acid triphenylsulfonium basic compound (quencher 1): 2-cyclohexylcarboxyethylmorpholine organic solvent 1: acetic acid 1-methoxyisopropyl organic solvent 2: cyclohexanone

[実施例1〜15、比較例1]
上記の母材となるレジスト材料に前記調製した高分子化合物(ポリマー1〜15)を任意の比率で配合し、レジスト溶液1〜15を調製した。下記表1に高分子化合物と母材レジスト材料の組み合わせ、及び配合比率を示す。なお、高分子化合物の配合比率はレジストのベース樹脂100質量部に対する混合質量部で表記する。
[Examples 1 to 15, Comparative Example 1]
Resist solutions 1 to 15 were prepared by blending the prepared polymer compound (polymers 1 to 15) in an arbitrary ratio with the resist material as the base material. Table 1 below shows combinations and blending ratios of the polymer compound and the base material resist material. The blending ratio of the polymer compound is expressed as a mixed mass part with respect to 100 parts by mass of the resist base resin.

(ラインエッジラフネスの評価)
Si基板上に作製した日産化学工業(株)製反射防止膜ARC−29Aの80nm膜厚上にレジスト溶液を塗布し、110℃で60秒間ベークして膜厚120nmのレジスト膜を作製した。(株)ニコン製ArFスキャナーS307E(NA0.85 σ0.93 4/5輪帯照明、6%ハーフトーン位相シフトマスク)で露光し、110℃で60秒間ポストエクスポージュアベーク(PEB)を行い、2.38質量%TMAH現像液で60秒間現像を行った。(株)日立製作所製測長SEM(S−9380)を用いて、70nmラインアンドスペースのラインエッジラフネス(LWR)を測定した。
比較例1としては、本発明の添加剤を添加しない場合での露光を行った。
(Evaluation of line edge roughness)
A resist solution was applied on an 80 nm film thickness of an anti-reflective film ARC-29A manufactured by Nissan Chemical Industries, Ltd. produced on a Si substrate, and baked at 110 ° C. for 60 seconds to prepare a resist film having a film thickness of 120 nm. Expose with Nikon ArF scanner S307E (NA0.85 σ0.93 4/5 annular illumination, 6% halftone phase shift mask), perform post-exposure bake (PEB) at 110 ° C. for 60 seconds, 2 Developed with a 38% by mass TMAH developer for 60 seconds. Using a length measuring SEM (S-9380) manufactured by Hitachi, Ltd., the line edge roughness (LWR) of 70 nm line and space was measured.
As Comparative Example 1, exposure was performed when the additive of the present invention was not added.

Figure 0004849268
Figure 0004849268

(保護膜材料の調製)
下記に示した組成で、ベース樹脂(TC用ポリマー1)、有機溶剤を混合、溶解後にそれらをテフロン(登録商標)製フィルター(孔径0.2μm)で濾過し、保護膜材料(TC1)を調製した。
TC1
混合組成:TCポリマー1(100質量部)、有機溶剤3(2600質量部)、有機溶剤4(260質量部)
(Preparation of protective film material)
In the composition shown below, base resin (TC polymer 1) and organic solvent are mixed, dissolved, and then filtered through a Teflon (registered trademark) filter (pore size 0.2 μm) to prepare a protective film material (TC1). did.
TC1
Mixed composition: TC polymer 1 (100 parts by mass), organic solvent 3 (2600 parts by mass), organic solvent 4 (260 parts by mass)

TCポリマー1(下記構造式参照)

Figure 0004849268
有機溶剤3:イソアミルエーテル
有機溶剤4:2−メチル−1−ブタノール TC polymer 1 (see the structural formula below)
Figure 0004849268
Organic solvent 3: Isoamyl ether Organic solvent 4: 2-methyl-1-butanol

実施例2と比較例1のレジスト溶液を、0.02ミクロンサイズの高密度ポリエチレンフィルターで精密濾過した。TC−1も同様に精密濾過した。
8インチのSi基板上に作製した日産化学工業(株)製反射防止膜ARC−29Aの80nm膜厚上にレジスト溶液を塗布し、110℃で60秒間ベークして膜厚120nmのレジスト膜を作製した。その上にレジスト保護膜TC−1を塗布し、100℃で60秒間ベークした。(株)ニコン製ArFスキャナーS307E(NA0.85 σ0.93、6%ハーフトーン位相シフトマスク)でウエハー全面を20mm角の面積でオープンフレームの露光部と未露光部を交互に露光するチェッカーフラッグ露光を行い、110℃で60秒間ポストエクスポージュアベーク(PEB)を行い、2.38質量%TMAH現像液で30秒間現像を行った。
チェッカーフラッグの未露光部分の欠陥個数を(株)東京精密製欠陥検査装置WinWin−50−1200を用いてピクセルサイズ0.125ミクロンで計測した。結果を表2に示す。
The resist solutions of Example 2 and Comparative Example 1 were microfiltered with a high density polyethylene filter of 0.02 micron size. TC-1 was similarly microfiltered.
A resist solution is applied on an 80 nm film thickness of an anti-reflective film ARC-29A manufactured by Nissan Chemical Industries, Ltd. produced on an 8-inch Si substrate, and baked at 110 ° C. for 60 seconds to produce a resist film having a film thickness of 120 nm. did. A resist protective film TC-1 was applied thereon and baked at 100 ° C. for 60 seconds. A checkered flag exposure that exposes the exposed and unexposed areas of the open frame alternately with a 20mm square area on the entire surface of the wafer by using Nikon ArF scanner S307E (NA0.85 σ0.93, 6% halftone phase shift mask). And post-exposure baking (PEB) at 110 ° C. for 60 seconds, followed by development with a 2.38 mass% TMAH developer for 30 seconds.
The number of defects in the unexposed portion of the checker flag was measured at a pixel size of 0.125 microns using a defect inspection apparatus WinWin-50-1200 manufactured by Tokyo Seimitsu Co., Ltd. The results are shown in Table 2.

Figure 0004849268
Figure 0004849268

上記表2から明らかなように、本発明による高分子化合物を配合したレジストは、保護膜を適用したときの現像後欠陥数が劇的に少なくなった。   As can be seen from Table 2 above, the resist compounded with the polymer compound according to the present invention dramatically reduced the number of defects after development when the protective film was applied.

(ダークブライトパターン差測定)
実施例2と比較例1のレジスト溶液を、0.02ミクロンサイズの高密度ポリエチレンフィルターで濾過した。
8インチのSi基板上に作製した日産化学工業(株)製反射防止膜ARC−29Aの80nm膜厚上にレジスト溶液を塗布し、110℃で60秒間ベークして膜厚120nmのレジスト膜を作製した。(株)ニコン製ArFスキャナーS307E(NA0.85 σ0.93 4/5輪帯照明、6%ハーフトーン位相シフトマスク)で75nmラインアンドスペースが100本並んで、ラインアンドスペース部の外側が遮光されているダークパターンを、ノッチを手前にしてウエハーの右端に、75nmラインアンドスペースが100本並んでラインアンドスペース部の外側1000ミクロンがスペース部分になっているブライトパターン左端に露光し、110℃で60秒間ポストエクスポージュアベーク(PEB)を行い、2.38質量%TMAH現像液で30秒間現像を行った。
(株)日立製作所製測長SEM(S−9380)を用いて、75nmラインアンドスペースパターンのライン寸法を測定した。
ダークパターンの寸法からブライトパターンの寸法を引いてダークブライト差とした。結果を表3に示す。
(Dark Bright Pattern Difference Measurement)
The resist solutions of Example 2 and Comparative Example 1 were filtered through a high density polyethylene filter of 0.02 micron size.
A resist solution is applied on an 80 nm film thickness of an anti-reflective film ARC-29A manufactured by Nissan Chemical Industries, Ltd. produced on an 8-inch Si substrate, and baked at 110 ° C. for 60 seconds to produce a resist film having a film thickness of 120 nm. did. Nikon ArF scanner S307E (NA0.85 σ0.93 4/5 ring illumination, 6% halftone phase shift mask) has 100 lines of 75 nm line and space, and the outside of the line and space part is shielded from light. The dark pattern is exposed at the right edge of the wafer with the notch at the front, and the left edge of the bright pattern in which 100 microns of the 75 nm line and space are arranged and the outside 1000 μm of the line and space portion is the space portion, at 110 ° C. Post-exposure bake (PEB) was performed for 60 seconds, and development was performed with a 2.38 mass% TMAH developer for 30 seconds.
Using a length measuring SEM (S-9380) manufactured by Hitachi, Ltd., the line dimensions of the 75 nm line and space pattern were measured.
The bright pattern difference was obtained by subtracting the bright pattern dimension from the dark pattern dimension. The results are shown in Table 3.

Figure 0004849268
Figure 0004849268

電子ビーム描画評価
描画評価では、ラジカル重合で合成した下記EB用ポリマーを用いて、下記表3に示される組成で溶解させたプロピレングリコールモノメチルエーテルアセテート(PGMEA)、乳酸エチル(EL)溶液を0.2μmサイズのフィルターで濾過してポジ型レジスト材料を調製した。
得られたポジ型レジスト材料を直径6インチφのSi基板上に、クリーントラックMark 5(東京エレクトロン(株)製)を用いてスピンコートし、ホットプレート上で、110℃で60秒間プリベークして200nmのレジスト膜を作製した。これに、(株)日立製作所製HL−800Dを用いてHV電圧50keVで真空チャンバー内描画を行った。その後真空チャンバー内に20時間放置し、描画場所を変えて更に追加で描画を行った。
描画後、直ちにクリーントラックMark 5(東京エレクトロン(株)製)を用いてホットプレート上で、90℃で60秒間ポストエクスポージュアベーク(PEB)を行い、2.38質量%のTMAH水溶液で30秒間パドル現像を行い、ポジ型のパターンを得た。
得られたレジストパターンを次のように評価した。
(株)日立製作所製測長SEM(S−7280)を用いて現像直前に露光した場所において、0.12μmのラインアンドスペースを1:1で解像する露光量における0.12μmのラインアンドスペースのライン寸法を測定し、これから20時間前に露光した場所の同一露光量の0.12μmラインアンドスペースのライン寸法を引いて真空放置における寸法変動量を求めた。寸法変動量において、プラスは真空中放置によってレジスト感度が高感度化、マイナスは低感度化に変動であることを示す。結果を表4に示す。
Electron beam drawing evaluation In the drawing evaluation, propylene glycol monomethyl ether acetate (PGMEA) and ethyl lactate (EL) solution dissolved in the composition shown in Table 3 below using a polymer for EB synthesized by radical polymerization in an amount of 0. A positive resist material was prepared by filtration through a 2 μm size filter.
The obtained positive resist material was spin-coated on a Si substrate having a diameter of 6 inches φ using a clean track Mark 5 (manufactured by Tokyo Electron Ltd.) and pre-baked on a hot plate at 110 ° C. for 60 seconds. A 200 nm resist film was produced. To this, drawing in a vacuum chamber was performed at an HV voltage of 50 keV using HL-800D manufactured by Hitachi, Ltd. Thereafter, the sample was left in a vacuum chamber for 20 hours, and drawing was performed by changing the drawing place.
Immediately after drawing, post-exposure baking (PEB) was performed at 90 ° C. for 60 seconds on a hot plate using a clean truck Mark 5 (manufactured by Tokyo Electron Co., Ltd.) for 30 seconds with a 2.38 mass% TMAH aqueous solution. Paddle development was performed to obtain a positive pattern.
The obtained resist pattern was evaluated as follows.
0.12 μm line and space at an exposure amount for resolving 0.12 μm line and space 1: 1 at a place exposed immediately before development using a length measuring SEM (S-7280) manufactured by Hitachi, Ltd. The line dimension of 0.12 μm line-and-space at the same exposure amount at the place exposed 20 hours ago was subtracted to determine the amount of dimensional variation when left in a vacuum. In the dimension fluctuation amount, plus indicates that the resist sensitivity is increased by being left in vacuum, and minus indicates that the sensitivity is decreased. The results are shown in Table 4.

Figure 0004849268
Figure 0004849268

Figure 0004849268
Figure 0004849268

なお、本発明は、上記実施形態に限定されるものではない。上記実施形態は、例示であり、本発明の特許請求の範囲に記載された技術的思想と実質的に同一な構成を有し、同様な作用効果を奏するものは、いかなるものであっても本発明の技術的範囲に包含される。   The present invention is not limited to the above embodiment. The above-described embodiment is an exemplification, and the present invention has substantially the same configuration as the technical idea described in the claims of the present invention, and any device that exhibits the same function and effect is the present invention. It is included in the technical scope of the invention.

Claims (13)

酸によってアルカリ溶解性が向上するベース樹脂となる高分子化合物と、下記一般式(1)で示される高分子材料とを含有することを特徴とするレジスト材料。
Figure 0004849268
(式中、R1、R3、R7はそれぞれ独立に水素原子又はメチル基を示す。nは1又は2であり、n=1の場合、Y1は単結合、−O−R9−、−C(=O)−O−R9−又は−C(=O)−NH−R9−、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基、又はフェニレン基であり、R9は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、炭素数6〜10のアリーレン基、又は炭素数7〜20のアルケニレン基であり、エステル基又はエーテル基を有していてもよい。n=2の場合、Y1は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、炭素数6〜10のアリーレン基、又は炭素数7〜20のアルケニレン基から水素原子が1個脱離した3価の基である。mは1又は2であり、m=1の場合、Y2はフェニレン基、フッ素化されたフェニレン基、−O−R10−、−C(=O)−O−R10−又は−C(=O)−NH−R10−、又は炭素数1〜4の直鎖状又は分岐状のアルキレン基、又はフェニレン基であり、フッ素原子を有していても良く、R10は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、炭素数6〜10のアリーレン基、又は炭素数7〜20のアルケニレン基であり、エステル基又はエーテル基を有していてもよい。m=2の場合、Y2はフェニレン基、−O−R10−、−C(=O)−O−R10−又は−C(=O)−NH−R10−(但し、R10は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、又はフェニレン基)から水素原子が1個脱離した3価の基であり、R2は同一又は異種の炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、炭素数2〜20のアルケニル基、又は炭素数6〜20のアリール基であり、ヒドロキシ基、エーテル基、エステル基、シアノ基、アミノ基、2重結合、又はハロゲン原子を有していてもよく、R2同士が結合してこれらが結合する硫黄原子と共に炭素数3〜20の環を形成してもよい。R4は単結合、又は炭素数1〜12の直鎖状、分岐状又は環状のアルキレン基であり、R5は水素原子、フッ素原子、メチル基、トリフルオロメチル基又はジフルオロメチル基、又はR4と結合してこれらが結合する炭素原子と共に炭素数3〜10の非芳香環を形成してもよく、環の中にエーテル基、フッ素で置換されたアルキレン基又はトリフルオロメチル基を有していてもよい。R6は水素原子又は酸不安定基である。R8はフッ素原子又は炭素数1〜20の直鎖状、分岐状又は環状のアルキル基であり、該アルキル基はその水素原子の少なくとも1個がフッ素原子で置換されており、またエーテル基、エステル基、又はスルホンアミド基を有していてもよい。a、b−1、b−2は、0<a<1.0、0≦(b−1)<1.0、0≦(b−2)<1.0、0<(b−1)+(b−2)<1.0、0.5≦a+(b−1)+(b−2)≦1.0である。)
A resist material comprising a polymer compound serving as a base resin whose alkali solubility is improved by an acid, and a polymer material represented by the following general formula (1).
Figure 0004849268
(In the formula, R 1 , R 3 and R 7 each independently represent a hydrogen atom or a methyl group. N is 1 or 2, and when n = 1, Y 1 is a single bond, —O—R 9 —. , -C (= O) -O- R 9 - or -C (= O) -NH-R 9 -, or a linear or branched alkylene group of 1 to 4 carbon atoms, or a phenylene group, R 9 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, or an alkenylene group having 7 to 20 carbon atoms, and has an ester group or an ether group. When n = 2, Y 1 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, an arylene group having 6 to 10 carbon atoms, or an alkenylene group having 7 to 20 carbon atoms. A trivalent group in which one hydrogen atom is removed from m, m is 1 or 2, and when m = 1, Y 2 is a phenylene group, A fluorinated phenylene group, —O—R 10 —, —C (═O) —O—R 10 — or —C (═O) —NH—R 10 —, or a straight chain having 1 to 4 carbon atoms Or a branched alkylene group or a phenylene group, which may have a fluorine atom, and R 10 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, or a carbon number having 6 to 10 carbon atoms. An arylene group or an alkenylene group having 7 to 20 carbon atoms, which may have an ester group or an ether group, and when m = 2, Y 2 represents a phenylene group, —O—R 10 —, —C ( ═O) —O—R 10 — or —C (═O) —NH—R 10 — (wherein R 10 is a linear, branched or cyclic alkylene group having 1 to 10 carbon atoms, or a phenylene group). Is a trivalent group in which one hydrogen atom is removed from R 2 , and R 2 is the same or different, linear, branched or ring having 1 to 20 carbon atoms. An alkyl group, an alkenyl group having 2 to 20 carbon atoms, or an aryl group having 6 to 20 carbon atoms having a hydroxy group, an ether group, an ester group, a cyano group, an amino group, a double bond, or a halogen atom. R 2 may be bonded to each other to form a ring having 3 to 20 carbon atoms together with the sulfur atom to which R 2 is bonded, and R 4 is a single bond or a straight chain having 1 to 12 carbon atoms. A branched or cyclic alkylene group, and R 5 is a hydrogen atom, a fluorine atom, a methyl group, a trifluoromethyl group, a difluoromethyl group, or a carbon atom having 3 to 3 carbon atoms together with a carbon atom to which R 4 is bonded Ten non-aromatic rings may be formed, and the ring may have an ether group, an alkylene group substituted with fluorine, or a trifluoromethyl group. R 6 is a hydrogen atom or an acid labile group. R 8 is a fluorine atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms, and at least one hydrogen atom of the alkyl group is substituted with a fluorine atom, and an ether group, It may have an ester group or a sulfonamide group. a, b-1, and b-2 are 0 <a <1.0, 0 ≦ (b-1) <1.0, 0 ≦ (b-2) <1.0, and 0 <(b-1). + (B-2) <1.0, 0.5 ≦ a + (b−1) + (b−2) ≦ 1.0. )
上記一般式(1)で示される繰り返しに加えて、アミノ基を有する繰り返し単位を含むことを特徴とする請求項1記載のレジスト材料。   The resist material according to claim 1, further comprising a repeating unit having an amino group in addition to the repeating represented by the general formula (1). アミノ基を有する繰り返し単位cが下記一般式(2)で示されることを特徴とする請求項2記載のレジスト材料。
Figure 0004849268
(式中、R11は水素原子又はメチル基を示す。Zは単結合、フェニレン基、−O−R15−、−C(=O)−O−R15−又は−C(=O)−NH−R15−、炭素数1〜4の直鎖状又は分岐状のアルキレン基、又はフェニレン基であり、フッ素原子を有していても良く、R15は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基であり、エステル基又はエーテル基を有していてもよい。R13、R14は水素原子、炭素数1〜20の直鎖状、分岐状又は環状のアルキル基、又は−SO2−R16で、R14とR13が結合してこれらが結合する窒素原子と共に炭素数3〜10の環を形成していても良く、R16は炭素数1〜10の直鎖状、分岐状又は環状のアルキル基であり、フッ素で置換されていても良い。0<c<1.0である。)
The resist material according to claim 2, wherein the repeating unit c having an amino group is represented by the following general formula (2).
Figure 0004849268
(In the formula, R 11 represents a hydrogen atom or a methyl group. Z represents a single bond, a phenylene group, —O—R 15 —, —C (═O) —O—R 15 — or —C (═O) —. NH-R 15 -, a linear or branched alkylene group of 1 to 4 carbon atoms, or a phenylene group which may have a fluorine atom, R 15 represents a linear 1 to 10 carbon atoms A branched or cyclic alkylene group, which may have an ester group or an ether group, wherein R 13 and R 14 are a hydrogen atom, a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. Or —SO 2 —R 16 , R 14 and R 13 may be bonded together to form a ring having 3 to 10 carbon atoms together with the nitrogen atom to which these are bonded, and R 16 may have 1 to 10 carbon atoms. (It is a linear, branched or cyclic alkyl group which may be substituted with fluorine. 0 <c <1.0.)
化学増幅ポジ型レジスト材料であることを特徴とする請求項1乃至3のいずれか1項に記載のレジスト材料。   The resist material according to claim 1, which is a chemically amplified positive resist material. 前記ベース樹脂としての高分子化合物が、酸不安定基を有する繰り返し単位、及びヒドロキシ基及び/又はラクトン環の密着性基を有する繰り返し単位を含む高分子化合物であることを特徴とする請求項1乃至4のいずれか1項に記載のレジスト材料。   2. The polymer compound as the base resin is a polymer compound including a repeating unit having an acid labile group and a repeating unit having a hydroxy group and / or an adhesive group of a lactone ring. 5. The resist material according to any one of items 1 to 4. 更に、有機溶剤、塩基性化合物、溶解制御剤及び界面活性剤のいずれか1つ以上を含有するものであることを特徴とする請求項1乃至5のいずれか1項に記載のレジスト材料。   The resist material according to any one of claims 1 to 5, further comprising any one or more of an organic solvent, a basic compound, a dissolution controller, and a surfactant. 請求項1乃至6のいずれか1項に記載のレジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法。   It includes a step of applying the resist material according to any one of claims 1 to 6 on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer. A characteristic pattern forming method. 前記高エネルギー線を波長180〜250nmの範囲のものとすることを特徴とする請求項7記載のパターン形成方法。   The pattern forming method according to claim 7, wherein the high energy ray has a wavelength in the range of 180 to 250 nm. 前記高エネルギー線で露光する工程を液体を介して露光する液浸露光により行うことを特徴とする請求項7又は8記載のパターン形成方法。   9. The pattern forming method according to claim 7, wherein the step of exposing with the high energy beam is performed by immersion exposure in which exposure is performed through a liquid. 前記液浸露光において、フォトレジスト膜と液体の間に保護膜を設けることを特徴とする請求項9記載のパターン形成方法。   The pattern forming method according to claim 9, wherein a protective film is provided between the photoresist film and the liquid in the immersion exposure. 前記液浸露光において、フォトレジスト膜と液体の間に設ける保護膜として、α−トリフルオロメチルヒドロキシ基を有する高分子化合物をベースとするアルカリ可溶型保護膜を用いることを特徴とする請求項10記載のパターン形成方法。   The alkali-soluble protective film based on a polymer compound having an α-trifluoromethylhydroxy group is used as a protective film provided between the photoresist film and the liquid in the immersion exposure. 10. The pattern forming method according to 10. 前記液浸露光において、180〜250nmの範囲の露光波長を用い、前記レジスト材料と保護膜を塗布した基板と投影レンズの間に液体を挿入し、該液体を介して前記基板を露光することを特徴とする請求項9乃至11のいずれか1項に記載のパターン形成方法。   In the immersion exposure, using an exposure wavelength in the range of 180 to 250 nm, inserting a liquid between the substrate coated with the resist material and the protective film and the projection lens, and exposing the substrate through the liquid The pattern forming method according to claim 9, wherein the pattern forming method is a pattern forming method. 前記液体として水を用いることを特徴とする請求項9乃至12のいずれか1項に記載のパターン形成方法。   The pattern forming method according to claim 9, wherein water is used as the liquid.
JP2007271429A 2007-10-18 2007-10-18 Resist material and pattern forming method using the same Active JP4849268B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007271429A JP4849268B2 (en) 2007-10-18 2007-10-18 Resist material and pattern forming method using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007271429A JP4849268B2 (en) 2007-10-18 2007-10-18 Resist material and pattern forming method using the same

Publications (2)

Publication Number Publication Date
JP2009098509A JP2009098509A (en) 2009-05-07
JP4849268B2 true JP4849268B2 (en) 2012-01-11

Family

ID=40701555

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007271429A Active JP4849268B2 (en) 2007-10-18 2007-10-18 Resist material and pattern forming method using the same

Country Status (1)

Country Link
JP (1) JP4849268B2 (en)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4998746B2 (en) * 2008-04-24 2012-08-15 信越化学工業株式会社 Polymer compound containing sulfonium salt, resist material, and pattern forming method
EP2189844A3 (en) * 2008-11-19 2010-07-28 Rohm and Haas Electronic Materials LLC Compositions comprising sulfonamide material and processes for photolithography
JP5520488B2 (en) * 2009-01-07 2014-06-11 富士フイルム株式会社 Lithographic substrate coating method and actinic ray-sensitive or radiation-sensitive resin composition used in the method
JP5746829B2 (en) * 2009-04-06 2015-07-08 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition and pattern forming method using the same
JP5512431B2 (en) * 2009-07-17 2014-06-04 住友化学株式会社 Polymer, photoresist composition and pattern production method
JP5318697B2 (en) * 2009-08-11 2013-10-16 信越化学工業株式会社 Resist material and pattern forming method using the same
JP5231357B2 (en) * 2009-08-12 2013-07-10 信越化学工業株式会社 Resist material and pattern forming method using the same
JP5470053B2 (en) * 2010-01-05 2014-04-16 東京応化工業株式会社 Positive resist composition and resist pattern forming method
JP5548473B2 (en) * 2010-02-18 2014-07-16 富士フイルム株式会社 Method for producing actinic ray-sensitive or radiation-sensitive resin, resin obtained by this method, composition containing this resin, and resist film and pattern forming method using this composition
JP2011215428A (en) * 2010-03-31 2011-10-27 Jsr Corp Radiation-sensitive resin composition and polymer used therein
JP5618625B2 (en) 2010-05-25 2014-11-05 富士フイルム株式会社 Pattern forming method and actinic ray-sensitive or radiation-sensitive resin composition
JP5733167B2 (en) * 2011-11-17 2015-06-10 信越化学工業株式会社 Negative pattern forming method and negative resist composition
JP6024496B2 (en) * 2012-02-07 2016-11-16 住友化学株式会社 Resin, resist composition and method for producing resist pattern
JP6175959B2 (en) * 2012-08-01 2017-08-09 住友化学株式会社 Salt, resist composition and method for producing resist pattern
KR20160014596A (en) * 2013-06-05 2016-02-11 제이에스알 가부시끼가이샤 Colored composition, colored cured film, and display element
JP5923544B2 (en) * 2014-04-14 2016-05-24 富士フイルム株式会社 Actinic ray-sensitive or radiation-sensitive resin composition and resist film
JP6968273B2 (en) * 2018-05-22 2021-11-17 富士フイルム株式会社 Photosensitive transfer material, resin pattern manufacturing method, circuit wiring manufacturing method, and touch panel manufacturing method.

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3613491B2 (en) * 1996-06-04 2005-01-26 富士写真フイルム株式会社 Photosensitive composition
JP4425776B2 (en) * 2004-12-24 2010-03-03 信越化学工業株式会社 Resist material and pattern forming method using the same
KR101337766B1 (en) * 2005-05-11 2013-12-06 제이에스알 가부시끼가이샤 Novel compound, polymer and radiation-sensitive resin composition
JP5061612B2 (en) * 2005-12-27 2012-10-31 住友化学株式会社 Acid amplification resin for chemically amplified positive resist composition
JP4725427B2 (en) * 2006-06-06 2011-07-13 Jsr株式会社 PATTERN FORMING METHOD, RADIATION-SENSITIVE RESIN COMPOSITION USED FOR THE METHOD, AND RADIATION-SENSITIVE ACID GENERATING GROUP-CONTAINING RESIN
JP4893580B2 (en) * 2006-10-27 2012-03-07 信越化学工業株式会社 Sulfonium salt and polymer compound having polymerizable anion, resist material and pattern forming method

Also Published As

Publication number Publication date
JP2009098509A (en) 2009-05-07

Similar Documents

Publication Publication Date Title
JP4288520B2 (en) Resist material and pattern forming method using the same
JP4771974B2 (en) Resist material and pattern forming method using the same
JP5035560B2 (en) Resist material and pattern forming method using the same
JP4900603B2 (en) Resist material and pattern forming method using the same
JP4435196B2 (en) Resist material and pattern forming method using the same
JP4993138B2 (en) Resist material and pattern forming method using the same
JP4849268B2 (en) Resist material and pattern forming method using the same
JP4849267B2 (en) Resist material and pattern forming method using the same
JP5071658B2 (en) Resist material, resist protective film material, and pattern forming method
JP4842844B2 (en) Resist material and pattern forming method using the same
JP5131461B2 (en) Polymer compound, resist material, and pattern forming method
JP4858714B2 (en) Polymer compound, resist material, and pattern forming method
JP4650644B2 (en) Resist material and pattern forming method
JP4857208B2 (en) Pattern forming method using resist material
JP2008088343A (en) Polymeric compound, resist material, and pattern forming method
JP4680944B2 (en) Pattern formation method
JP4753043B2 (en) Pattern formation method
JP4844756B2 (en) Pattern formation method
JP4831360B2 (en) Positive resist composition and pattern forming method
JP2008129389A (en) Positive resist material and pattern forming method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091027

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110916

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110921

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111004

R150 Certificate of patent or registration of utility model

Ref document number: 4849268

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141028

Year of fee payment: 3