JP2011128298A - Positive type resist material and pattern forming method using the same - Google Patents

Positive type resist material and pattern forming method using the same Download PDF

Info

Publication number
JP2011128298A
JP2011128298A JP2009285525A JP2009285525A JP2011128298A JP 2011128298 A JP2011128298 A JP 2011128298A JP 2009285525 A JP2009285525 A JP 2009285525A JP 2009285525 A JP2009285525 A JP 2009285525A JP 2011128298 A JP2011128298 A JP 2011128298A
Authority
JP
Japan
Prior art keywords
group
resist material
positive resist
repeating unit
polymer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009285525A
Other languages
Japanese (ja)
Other versions
JP5290947B2 (en
Inventor
Jun Hatakeyama
畠山  潤
Takeshi Watanabe
武 渡辺
Seiichiro Tachibana
誠一郎 橘
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Shin Etsu Chemical Co Ltd
Original Assignee
Shin Etsu Chemical Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Shin Etsu Chemical Co Ltd filed Critical Shin Etsu Chemical Co Ltd
Priority to JP2009285525A priority Critical patent/JP5290947B2/en
Publication of JP2011128298A publication Critical patent/JP2011128298A/en
Application granted granted Critical
Publication of JP5290947B2 publication Critical patent/JP5290947B2/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

<P>PROBLEM TO BE SOLVED: To provide a positive type resist material which has an extremely high alkaline dissolution-rate contrast before and after exposure, a high resolution, a high sensitivity, and good roughness (LWR) after exposure, and further which suppresses acid diffusion rate, in particular, a positive type resist material using a high molecular compound suitable as a base resin of a chemical amplification positive type resist material, and to provide a pattern forming method. <P>SOLUTION: The positive type resist material contains a high molecular compound including a repeating unit (a) having a group represented by a general formula (1) and a repeating unit (b) having a carboxyl group having hydrogen atom substituted for an acid labile group. <P>COPYRIGHT: (C)2011,JPO&INPIT

Description

本発明は、ポジ型レジスト材料、特に化学増幅ポジ型レジスト材料のベース樹脂として好適な高分子化合物を用いたポジ型レジスト材料、及びこれを用いたパターン形成方法に関する。   The present invention relates to a positive resist material, particularly a positive resist material using a polymer compound suitable as a base resin for a chemically amplified positive resist material, and a pattern forming method using the same.

LSIの高集積化と高速度化に伴い、パターンルールの微細化が急速に進んでいる。特にフラッシュメモリー市場の拡大と記憶容量の増大化が微細化を牽引している。微細化の細線はArFリソグラフィーによる65nmノードのデバイスの量産が行われており、次世代のArF液浸リソグラフィーによる45nmノードの量産立ち上げが進行中である。次世代の32nmノードとしては、水よりも高屈折率の液体と高屈折率レンズ、高屈折率レジスト膜を組み合わせた超高NAレンズによる液浸リソグラフィー、波長13.5nmの真空紫外光(EUV)リソグラフィー、ArFリソグラフィーの2重露光(ダブルパターニングリソグラフィー)などが候補であり、検討が進められている。   With the high integration and high speed of LSI, pattern rule miniaturization is progressing rapidly. In particular, the expansion of the flash memory market and the increase in storage capacity are leading to miniaturization. As for the fine line, the 65 nm node device is mass-produced by ArF lithography, and the mass production of 45 nm node by the next generation ArF immersion lithography is in progress. Next generation 32nm node includes immersion lithography with ultra high NA lens combining liquid with higher refractive index than water, high refractive index lens and high refractive index resist film, vacuum ultraviolet light (EUV) with wavelength of 13.5nm Lithography, double exposure of ArF lithography (double patterning lithography), and the like are candidates and are being studied.

EBやX線などの非常に短波長な高エネルギー線においてはレジスト材料に用いられている炭化水素のような軽元素は吸収がほとんどなく、ポリヒドロキシスチレンベースのレジスト材料が検討されている。
EB用レジスト材料は、実用的にはマスク描画用途に用いられてきた。近年、マスク製作技術が問題視されるようになってきた。露光に用いられる光がg線の時代から縮小投影露光装置が用いられており、その縮小倍率は1/5であったが、チップサイズの拡大と、投影レンズの大口径化と共に1/4倍率が用いられるようになってきたため、マスクの寸法ズレがウエハー上のパターンの寸法変化に与える影響が問題になっている。パターンの微細化と共に、マスクの寸法ズレの値よりもウエハー上の寸法ズレの方が大きくなってきていることが指摘されている。マスク寸法変化を分母、ウエハー上の寸法変化を分子として計算されたMask Error Enhancement Factor(MEEF)が求められている。45nm級のパターンでは、MEEFが4を超えることも珍しくない。縮小倍率が1/4でMEEFが4であれば、マスク制作において実質等倍マスクと同等の精度が必要であることがいえる。
マスク製作用露光装置は線幅の精度を上げるため、レーザービームによる露光装置から電子ビーム(EB)による露光装置が用いられてきた。更にEBの電子銃における加速電圧を上げることによってよりいっそうの微細化が可能になることから、10keVから30keV、最近は50keVが主流であり、100keVの検討も進められている。
Light elements such as hydrocarbons used in resist materials are hardly absorbed by high energy rays such as EB and X-rays, and polyhydroxystyrene-based resist materials are being studied.
The resist material for EB has been practically used for mask drawing. In recent years, mask manufacturing techniques have become a problem. A reduction projection exposure apparatus has been used since the light used for exposure was g-line, and its reduction magnification was 1/5. However, the magnification is 1/4 with the enlargement of the chip size and the enlargement of the projection lens. Therefore, the influence of the dimensional deviation of the mask on the dimensional change of the pattern on the wafer has become a problem. It has been pointed out that with the miniaturization of the pattern, the dimensional deviation on the wafer has become larger than the value of the dimensional deviation of the mask. There is a need for a mask error enhancement factor (MEEF) calculated using a mask dimensional change as a denominator and a dimensional change on a wafer as a numerator. It is not uncommon for MEEF to exceed 4 for 45 nm-class patterns. If the reduction ratio is 1/4 and the MEEF is 4, it can be said that the mask production requires the same accuracy as the substantially equal-size mask.
In order to increase the accuracy of the line width in the mask manufacturing exposure apparatus, an exposure apparatus using an electron beam (EB) has been used from an exposure apparatus using a laser beam. Furthermore, since further miniaturization is possible by increasing the acceleration voltage in the electron gun of EB, 10 keV to 30 keV, and recently 50 keV is the mainstream, and studies of 100 keV are also underway.

ここで、加速電圧の上昇と共に、レジスト膜の低感度化が問題になってきた。加速電圧が向上すると、レジスト膜内での前方散乱の影響が小さくなるため、電子描画エネルギーのコントラストが向上して解像度や寸法制御性が向上するが、レジスト膜内を素抜けの状態で電子が通過するため、レジスト膜の感度が低下する。マスク露光機は直描の一筆書きで露光するため、レジスト膜の感度低下は生産性の低下につながり好ましいことではない。高感度化の要求から、化学増幅型レジスト材料が検討されている。   Here, as the acceleration voltage increases, lowering the sensitivity of the resist film has become a problem. When the acceleration voltage is improved, the influence of forward scattering in the resist film is reduced, so that the contrast of the electron drawing energy is improved and the resolution and dimensional controllability are improved. Since it passes, the sensitivity of the resist film decreases. Since the mask exposure machine exposes by direct drawing with a single stroke, a decrease in sensitivity of the resist film leads to a decrease in productivity, which is not preferable. In view of the demand for higher sensitivity, chemically amplified resist materials are being studied.

マスク製作用EBリソグラフィーのパターンの微細化と共に、高アスペクト比による現像時のパターン倒れ防止のためにレジスト膜の薄膜化が進行している。光リソグラフィーの場合、レジスト膜の薄膜化が解像力向上に大きく寄与している。これはCMPなどの導入により、デバイスの平坦化が進行したためである。マスク作製の場合、基板は平坦であり、加工すべき基板(例えばCr、MoSi、SiO)の膜厚は遮光率や位相差制御のために決まってしまっている。薄膜化するためにはレジスト膜のドライエッチング耐性を向上させる必要がある。
ここで、一般的にはレジスト膜の炭素の密度とドライエッチング耐性について相関があるといわれている。吸収の影響を受けないEB描画においては、エッチング耐性に優れるノボラックポリマーをベースとしたレジスト材料が開発されている。
With the miniaturization of patterns in mask manufacturing EB lithography, the resist film is becoming thinner in order to prevent pattern collapse during development with a high aspect ratio. In the case of photolithography, the thinning of the resist film greatly contributes to the improvement of the resolution. This is because the planarization of the device has progressed with the introduction of CMP or the like. In the case of mask production, the substrate is flat, and the thickness of the substrate to be processed (for example, Cr, MoSi, SiO 2 ) is determined for light shielding rate and phase difference control. In order to reduce the thickness, it is necessary to improve the dry etching resistance of the resist film.
Here, it is generally said that there is a correlation between the carbon density of the resist film and the dry etching resistance. In EB drawing that is not affected by absorption, a resist material based on a novolak polymer having excellent etching resistance has been developed.

また、F露光と並んで70nm、あるいはそれ以降の微細加工における露光方法として期待される波長5〜20nmの軟X線(EUV)露光において、炭素原子の吸収が少ないことが報告されている。炭素密度を上げることがドライエッチング耐性の向上だけでなく、軟X線波長領域における透過率向上にも効果的である。 Further, it has been reported that the absorption of carbon atoms is small in soft X-ray (EUV) exposure at a wavelength of 5 to 20 nm, which is expected as an exposure method in fine processing of 70 nm or later along with F 2 exposure. Increasing the carbon density is effective not only for improving dry etching resistance but also for improving transmittance in the soft X-ray wavelength region.

微細化の進行と共に、酸の拡散による像のぼけが問題になっている。寸法サイズ45nm以降の微細パターンでの解像性を確保するためには、従来提案されている溶解コントラストの向上だけでなく、酸拡散の制御が重要であることが提案されている。しかしながら、化学増幅型レジスト材料は、酸の拡散によって感度とコントラストを上げているため、ポストエクスポジュアーベーク(PEB)温度や時間を短くして酸拡散を極限まで抑えようとすると感度とコントラストが著しく低下する。   As miniaturization progresses, image blur due to acid diffusion has become a problem. In order to ensure the resolution in a fine pattern having a dimension size of 45 nm or more, it is proposed that not only the improvement of dissolution contrast conventionally proposed but also the control of acid diffusion is important. However, chemically amplified resist materials have increased sensitivity and contrast due to acid diffusion. Therefore, reducing the post-exposure bake temperature (PEB) temperature and time to limit acid diffusion results in sensitivity and contrast. It drops significantly.

感度と解像度とラフネスのトライアングルトレードオフの関係が示されている。高感度レジストは解像度とラフネスが劣化し、露光マージン拡大のためには酸拡散を抑えることが必要であるが、酸拡散距離が50nm以下になると急激にラフネスが劣化することが報告されている。   The relationship between sensitivity, resolution and roughness triangle trade-off is shown. High-sensitivity resists have degraded resolution and roughness, and it is necessary to suppress acid diffusion in order to increase the exposure margin. However, it has been reported that roughness deteriorates rapidly when the acid diffusion distance is 50 nm or less.

バルキーな酸が発生する酸発生剤を添加して酸拡散を抑えることは有効である。そこで、ベースポリマーに、重合性オレフィンを有するオニウム塩の酸発生剤を共重合することが提案されている。   It is effective to suppress acid diffusion by adding an acid generator that generates a bulky acid. Thus, it has been proposed to copolymerize an acid generator of an onium salt having a polymerizable olefin with a base polymer.

電子ビーム露光における酸発生機構として、露光によるポリマー励起によってPAGに電子が移動し、酸が放出される機構が提案されている。EB、EUVのどちらもイオン化ポテンシャルエネルギーの閾値10eVよりも高く、ベースポリマーが容易にイオン化することが推定される。   As an acid generation mechanism in electron beam exposure, a mechanism has been proposed in which electrons move to the PAG due to polymer excitation by exposure and acid is released. Both EB and EUV are higher than the ionization potential energy threshold of 10 eV, and it is estimated that the base polymer is easily ionized.

ポリ−4−ヒドロキシスチレンがポリ−4−メトキシスチレンよりもEB露光における酸発生効率が高いことが示され、ポリ−4−ヒドロキシスチレンがEBの照射によって効率よくPAGに電子を移動させていることが示唆されている。   It is shown that poly-4-hydroxystyrene has higher acid generation efficiency in EB exposure than poly-4-methoxystyrene, and poly-4-hydroxystyrene efficiently moves electrons to the PAG by EB irradiation. Has been suggested.

そこで、電子移動による酸発生効率を高めるためにヒドロキシスチレン、酸拡散を小さく押さえるためにスルホン酸がポリマー主鎖に直結したPAGのメタクリレート、酸不安定基を有するメタクリレートを共重合した材料が提案されている。
ビニルナフタレン共重合ポリマーをベースとしたArFレジスト材料が提案されている(非特許文献1、特許文献1及び2等参照)。ナフトールを有するメタクリルエステルをベースとしたレジスト材料も提案されている(特許文献3等参照)。ヒドロキシル基を有するナフタレン環はフェノールのような弱酸性を示すために現像時の膨潤防止に対して有効であると期待されている。更に、ナフタレン環はエッチング耐性が高いメリットもある。
Therefore, a material was proposed in which hydroxystyrene was copolymerized to increase acid generation efficiency by electron transfer, PAG methacrylate with sulfonic acid directly attached to the polymer main chain to suppress acid diffusion, and methacrylate with acid labile groups. ing.
ArF resist materials based on vinyl naphthalene copolymer have been proposed (see Non-Patent Document 1, Patent Documents 1 and 2, etc.). A resist material based on methacrylic ester having naphthol has also been proposed (see Patent Document 3). The naphthalene ring having a hydroxyl group is expected to be effective for preventing swelling during development because it exhibits weak acidity such as phenol. Furthermore, the naphthalene ring has an advantage of high etching resistance.

ArF液浸リソグラフィーを用いたダブルパターニングプロセス用レジストが検討されている。ダブルパターニングプロセスにおいて、2回の露光で形成されたレジストパターンを1回のドライエッチングで下地基板を加工する為に、1回目のレジストパターンを2回目のフォトレジスト溶媒とアルカリ現像液に不溶化させる為のフリージング技術が求められている。
フリージングする為のレジストとして、熱で架橋させる物や、光照射によって不溶化させる材料、およびこれらのハイブリッドプロセス用の材料が提案されている。
フェノール性水酸基は、紫外線の照射により架橋する性質があり、波長193nmのArFエキシマレーザーに比較的透明なナフトールを有するレジスト材料が検討されている。
フェノール性水酸基は、現像中の膨潤を低減させて、エッジラフネスを低減させる効果もある。
ナフトールは波長193nmでの透明性が比較的高く、ArF露光で使うことができる。
A resist for a double patterning process using ArF immersion lithography has been studied. In order to insolubilize the first resist pattern in the second photoresist solvent and alkali developer in order to process the base substrate in the double patterning process by the dry etching of the resist pattern formed in the second exposure. Freezing technology is required.
As resists for freezing, materials that are crosslinked by heat, materials that are insolubilized by light irradiation, and materials for these hybrid processes have been proposed.
A phenolic hydroxyl group has a property of cross-linking when irradiated with ultraviolet rays, and a resist material having a naphthol relatively transparent to an ArF excimer laser having a wavelength of 193 nm has been studied.
The phenolic hydroxyl group also has an effect of reducing edge roughness by reducing swelling during development.
Naphthol has a relatively high transparency at a wavelength of 193 nm and can be used for ArF exposure.

特開2004−163877号公報JP 2004-163877 A 特開2002−107933号公報JP 2002-107933 A 特開2007−114728号公報JP 2007-114728 A

J.Photopolym.Sci.Technol.,Vol.11,No.3,p489(1998)J. et al. Photopolym. Sci. Technol. , Vol. 11, no. 3, p489 (1998)

本発明は上記事情に鑑みなされたもので、露光前後のアルカリ溶解速度コントラストが大幅に高く、高解像性を有し、高感度で、露光後のラフネス(LWR)が良好であり、その上特に酸拡散速度を抑制できるポジ型レジスト材料、特に化学増幅ポジ型レジスト材料のベース樹脂として好適な高分子化合物を用いたポジ型レジスト材料、特にはArFエキシマレーザー、EB、EUV露光に好適なポジ型レジスト材料、及びこれを用いたパターン形成方法を提供することを目的とする。   The present invention has been made in view of the above circumstances. The alkali dissolution rate contrast before and after exposure is significantly high, the resolution is high, the sensitivity is high, and the roughness (LWR) after exposure is good. In particular, a positive resist material capable of suppressing the acid diffusion rate, particularly a positive resist material using a polymer compound suitable as a base resin of a chemically amplified positive resist material, particularly a positive resist suitable for ArF excimer laser, EB and EUV exposure. An object of the present invention is to provide a mold resist material and a pattern forming method using the same.

上記課題を解決するため、本発明は、少なくとも、下記一般式(1)で示される基を有する繰り返し単位aと、水素原子が酸不安定基で置換されたカルボキシル基を有する繰り返し単位bとを含む高分子化合物を含有することを特徴とするポジ型レジスト材料を提供する。

Figure 2011128298
(式中、mは1〜3の整数である。) In order to solve the above problems, the present invention comprises at least a repeating unit a having a group represented by the following general formula (1) and a repeating unit b having a carboxyl group in which a hydrogen atom is substituted with an acid labile group. Provided is a positive resist material characterized by containing a polymer compound.
Figure 2011128298
(In the formula, m is an integer of 1 to 3.)

この場合、前記繰り返し単位aが、下記一般式(2)で示されるものであることが好ましい。

Figure 2011128298
(式中、mは前述と同様である。Rは水素原子又はメチル基である。Xは単結合、エステル基、ラクトン環を有する炭素数1〜12の連結基、フェニレン基、ナフチレン基のいずれかである。aは0<a<1.0である。) In this case, it is preferable that the repeating unit a is represented by the following general formula (2).
Figure 2011128298
(In the formula, m is the same as described above. R 1 is a hydrogen atom or a methyl group. X is a single bond, an ester group, a linking group having 1 to 12 carbon atoms having a lactone ring, a phenylene group, or a naphthylene group. A is 0 <a <1.0.)

またこの場合、前記繰り返し単位aと前記繰り返し単位bが、下記一般式(3)に示されるものであることが好ましい。

Figure 2011128298
(式中、R、X、mは前述と同様である。Rは水素原子又はメチル基、Rは酸不安定基を表す。Yは単結合、エステル基、ラクトン環を有する炭素数1〜12の連結基、フェニレン基、ナフチレン基のいずれかである。aは0<a<1.0、bは0<b<1.0、a+bは0.1≦a+b≦1.0の範囲である。) In this case, the repeating unit a and the repeating unit b are preferably those represented by the following general formula (3).
Figure 2011128298
(In the formula, R 1 , X and m are the same as described above. R 2 represents a hydrogen atom or a methyl group, R 3 represents an acid labile group. Y represents a carbon number having a single bond, an ester group or a lactone ring. Any one of 1 to 12 linking groups, a phenylene group, and a naphthylene group, a is 0 <a <1.0, b is 0 <b <1.0, and a + b is 0.1 ≦ a + b ≦ 1.0. Range.)

このような本発明のポジ型レジスト材料を用いれば、露光前後のアルカリ溶解速度コントラストが大幅に高く、高解像性を有し、高感度で、露光後のラフネス(LWR)が良好であり、その上特に酸拡散速度を抑制できる。   By using such a positive resist material of the present invention, the alkali dissolution rate contrast before and after exposure is significantly high, the resolution is high, the sensitivity is high, and the roughness (LWR) after exposure is good, In addition, the acid diffusion rate can be suppressed.

また、前記繰り返し単位aと前記繰り返し単位bに加えて、更に、ヒドロキシル基、ラクトン環、エーテル基、エステル基、カルボニル基、及びシアノ基から選ばれる密着性基を有する繰り返し単位cを含む高分子化合物(ここで0<a<1.0、0<b<1.0、0<c≦0.9、0.2≦a+b+c≦1.0の範囲である。)を含有するものとすることができる。   In addition to the repeating unit a and the repeating unit b, a polymer containing a repeating unit c having an adhesive group selected from a hydroxyl group, a lactone ring, an ether group, an ester group, a carbonyl group, and a cyano group. A compound (where 0 <a <1.0, 0 <b <1.0, 0 <c ≦ 0.9, 0.2 ≦ a + b + c ≦ 1.0). Can do.

このように、ポジ型レジスト材料に含有される高分子化合物が、繰り返し単位aと繰り返し単位bに加えて、密着性基を有する繰り返し単位cを含むものであれば、密着性を更に高めることができる。   Thus, if the polymer compound contained in the positive resist material contains a repeating unit c having an adhesive group in addition to the repeating unit a and the repeating unit b, the adhesion can be further improved. it can.

また、前記ポジ型レジスト材料が、化学増幅ポジ型レジスト材料であることが好ましい。
このように、前記高分子化合物を含むポジ型レジスト材料は、例えば酸発生剤から発生する酸により、繰り返し単位bの酸不安定基が脱離し、レジスト露光部を現像液に溶解させるように変換することで、極めて高精度なパターンが得られる化学増幅ポジ型レジスト材料とすることができる。
The positive resist material is preferably a chemically amplified positive resist material.
Thus, the positive resist material containing the polymer compound is converted so that the acid labile group of the repeating unit b is eliminated by the acid generated from the acid generator, for example, and the resist exposed portion is dissolved in the developer. By doing so, it is possible to obtain a chemically amplified positive resist material from which a highly accurate pattern can be obtained.

また、前記ポジ型レジスト材料が、有機溶剤、溶解制御剤、酸発生剤、塩基性化合物、及び界面活性剤のいずれか1つ以上を含有するものとすることができる。   The positive resist material may contain any one or more of an organic solvent, a dissolution controller, an acid generator, a basic compound, and a surfactant.

このように、有機溶剤を配合することによって、例えば、レジスト材料の基板等への塗布性を向上させることができる。また、溶解制御剤を配合することによって、露光部と未露光部との溶解速度の差を一層大きくすることができ、解像度を一層向上させることができる。また、酸発生剤を配合することによって、より高感度のものとすることができる。塩基性化合物を配合することによってレジスト膜中での酸の拡散速度を抑制し、解像度を一層向上させることができる。さらに、界面活性剤を配合することによって、レジスト材料の塗布性を一層向上あるいは制御することができる。   Thus, by mix | blending an organic solvent, the applicability | paintability to the board | substrate etc. of a resist material can be improved, for example. Moreover, by mix | blending a dissolution control agent, the difference of the dissolution rate of an exposed part and an unexposed part can be enlarged further, and the resolution can be improved further. Further, by adding an acid generator, it can be made more sensitive. By compounding the basic compound, the acid diffusion rate in the resist film can be suppressed, and the resolution can be further improved. Furthermore, the application | coating property of a resist material can further be improved or controlled by mix | blending surfactant.

このような本発明のポジ型レジスト材料は、少なくとも、前記ポジ型レジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを行うことによって、半導体基板やマスク基板等にパターン形成する方法として用いることができる。   Such a positive resist material of the present invention includes at least a step of applying the positive resist material on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer. Can be used as a method for forming a pattern on a semiconductor substrate, a mask substrate, or the like.

もちろん、露光後加熱処理を加えた後に現像してもよいし、エッチング工程、レジスト除去工程、洗浄工程等その他の各種の工程が行われてもよいことは言うまでもない。   Needless to say, development may be performed after the post-exposure heat treatment, and various other processes such as an etching process, a resist removal process, and a cleaning process may be performed.

この場合、前記高エネルギー線で露光する工程において、電子ビーム、波長3〜15nmの軟X線、及び波長180〜250nmの範囲の紫外線のいずれかを光源として用いることができる。   In this case, in the step of exposing with the high energy beam, any one of an electron beam, a soft X-ray having a wavelength of 3 to 15 nm, and an ultraviolet ray having a wavelength of 180 to 250 nm can be used as a light source.

このように、本発明のポジ型レジスト材料は、電子ビーム、波長3〜15nmの軟X線、及び波長180〜250nmの範囲の紫外線のいずれかを光源とする、微細なパターンを形成するのに特に好適に用いることができる。   Thus, the positive resist material of the present invention forms a fine pattern using one of an electron beam, soft X-ray with a wavelength of 3 to 15 nm, and ultraviolet light with a wavelength of 180 to 250 nm as a light source. It can be particularly preferably used.

以上説明したように、本発明のポジ型レジスト材料は、露光前後のアルカリ溶解速度コントラストが大幅に高く、高解像性を有し、高感度で、露光後のラフネス(LWR)が良好であり、その上特に酸拡散速度を抑制できる。従って、特に超LSI製造用あるいはフォトマスクの微細パターン形成材料、EB、EUV、ArFエキシマレーザー露光用のパターン形成材料として好適なポジ型レジスト材料、特には化学増幅ポジ型レジスト材料を得ることができる。
また、このような本発明のポジ型レジスト材料、特には化学増幅ポジ型レジスト材料の用途としては、例えば、半導体回路形成におけるリソグラフィーだけでなく、マスク回路パターンの形成、あるいはマイクロマシーン、薄膜磁気ヘッド回路形成にも応用することができる。
As described above, the positive resist material of the present invention has a significantly high alkali dissolution rate contrast before and after exposure, high resolution, high sensitivity, and good roughness (LWR) after exposure. In addition, the acid diffusion rate can be particularly suppressed. Therefore, a positive resist material, particularly a chemically amplified positive resist material, which is particularly suitable as a fine pattern forming material for VLSI manufacturing or photomask fine pattern formation, EB, EUV, and ArF excimer laser exposure can be obtained. .
The positive resist material of the present invention, particularly the chemically amplified positive resist material, is used not only for lithography in semiconductor circuit formation, but also for mask circuit pattern formation, micromachines, thin film magnetic heads, etc. It can also be applied to circuit formation.

以下、本発明につき更に詳しく説明する。
上述のように、LSIの高集積化と高速度化に伴い、パターンルールの微細化が進むなか、酸拡散を抑えて解像性を確保しつつ、高感度でコントラストも良好であり、なおかつ、ラフネス(LWR)が小さいポジ型レジスト材料が求められていた。
Hereinafter, the present invention will be described in more detail.
As described above, with the high integration and high speed of LSI, while miniaturization of the pattern rule is progressing, the acid diffusion is suppressed and the resolution is ensured, and the sensitivity is high and the contrast is good. There has been a demand for a positive resist material having a low roughness (LWR).

本発明者らは、上記のような近年要望されるポジ型レジスト材料を得るべく鋭意検討を重ねた結果、これには特定の繰り返し単位を含むポリマーをポジ型レジスト材料、特に化学増幅ポジ型レジスト材料のベース樹脂として用いれば極めて有効であることを知見した。   As a result of intensive investigations to obtain the above-described positive resist materials that have been recently requested, the present inventors have found that polymers containing specific repeating units include positive resist materials, particularly chemically amplified positive resists. It has been found that it is extremely effective when used as a base resin for materials.

より具体的には、本発明者らは、酸拡散を抑えて溶解コントラストを向上させるためにカルボキシル基の水素原子が酸不安定基で置換されている繰り返し単位と、下記一般式(1)で示されるナフトール基がアミド結合で連結された密着性基を有する繰り返し単位との共重合により得られるポリマーをポジ型レジスト材料、特に化学増幅ポジ型レジスト材料のベース樹脂として用いることにより、露光前後のアルカリ溶解速度コントラストが大幅に高く、酸拡散を抑える効果が高く、高解像性を有し、露光後のラフネス(LWR)が良好である、特に超LSI製造用あるいはフォトマスクの微細パターン形成材料として好適なポジ型レジスト材料、特には化学増幅ポジ型レジスト材料が得られることを知見し、本発明を完成させたものである。   More specifically, the present inventors have represented a repeating unit in which a hydrogen atom of a carboxyl group is substituted with an acid labile group in order to suppress acid diffusion and improve dissolution contrast, and the following general formula (1): By using a polymer obtained by copolymerization with a repeating unit having an adhesive group in which the naphthol group shown is linked by an amide bond as a base resin of a positive resist material, particularly a chemically amplified positive resist material, Alkali dissolution rate contrast is significantly high, the effect of suppressing acid diffusion is high, high resolution, and good roughness (LWR) after exposure, especially for ultra-LSI manufacturing or photomask fine pattern forming material As a result, the present invention has been completed with the knowledge that a positive resist material, particularly a chemically amplified positive resist material, can be obtained.

即ち、本発明のポジ型レジスト材料は、少なくとも、下記一般式(1)で示される基を有する繰り返し単位aと、水素原子が酸不安定基で置換されたカルボキシル基を有する繰り返し単位bとを含む高分子化合物を含有していることを特徴とするレジスト材料である。

Figure 2011128298
(式中、mは1〜3の整数である。) That is, the positive resist material of the present invention comprises at least a repeating unit a having a group represented by the following general formula (1) and a repeating unit b having a carboxyl group in which a hydrogen atom is substituted with an acid labile group. A resist material characterized by containing a polymer compound.
Figure 2011128298
(In the formula, m is an integer of 1 to 3.)

ナフトール基はフェノール基と同等の酸性を有し、アルカリ溶解特性を向上させる密着性基としての機能がある。本発明のナフトール基がアミド結合で連結された密着性基は、アミド基の親水性とナフトールのアルカリ溶解性の相乗効果によって優れた膨潤低減効果、密着性を発揮するだけでなく、弱塩基性を帯びているアミド基によって、アミド基の換わりにエステル基で結合している場合よりも酸拡散を抑える効果が高く、これによって解像性を高めることができる。   A naphthol group has the same acidity as a phenol group, and has a function as an adhesive group that improves alkali solubility. The adhesive group in which the naphthol group of the present invention is linked by an amide bond is not only effective in reducing swelling and adhesion due to the synergistic effect of the hydrophilicity of the amide group and the alkali solubility of naphthol, but also weakly basic. The amide group bearing a higher effect of suppressing acid diffusion than the case of bonding with an ester group in place of the amide group can improve the resolution.

このような本発明のポジ型レジスト材料は、特に、レジスト膜の溶解コントラストが高く、酸拡散を抑える効果が高く、高解像性を有し、高感度で、露光後のラフネス(LWR)が良好である。従って、これらの優れた特性を有することから実用性が極めて高く、超LSI用レジスト材料及びマスクパターン形成材料として非常に有効である。   Such a positive resist material of the present invention has a particularly high dissolution contrast of the resist film, a high effect of suppressing acid diffusion, a high resolution, a high sensitivity, and a roughness (LWR) after exposure. It is good. Therefore, since it has these excellent characteristics, it is very practical and is very effective as a resist material for VLSI and a mask pattern forming material.

上記一般式(1)で示される基を有する繰り返し単位aとしては、好ましくは、下記一般式(2)で示すことができる。

Figure 2011128298
(式中、mは前述と同様である。Rは水素原子又はメチル基である。Xは単結合、エステル基、ラクトン環を有する炭素数1〜12の連結基、フェニレン基、又はナフチレン基である。aは0<a<1.0である。) The repeating unit a having a group represented by the general formula (1) can be preferably represented by the following general formula (2).
Figure 2011128298
(In the formula, m is the same as described above. R 1 is a hydrogen atom or a methyl group. X is a single bond, an ester group, a linking group having 1 to 12 carbon atoms having a lactone ring, a phenylene group, or a naphthylene group. A is 0 <a <1.0.)

また、特にベース樹脂としては、少なくとも下記一般式(3)で示される高分子化合物が好ましい。

Figure 2011128298
(式中、R、X、mは前述と同様である。Rは水素原子又はメチル基、Rは酸不安定基を表す。Yは単結合、エステル基、ラクトン環を有する炭素数1〜12の連結基、フェニレン基、又はナフチレン基である。aは0<a<1.0、bは0<b<1.0、a+bは0.1≦a+b≦1.0の範囲である。) In particular, the base resin is preferably a polymer compound represented by at least the following general formula (3).
Figure 2011128298
(In the formula, R 1 , X and m are the same as described above. R 2 represents a hydrogen atom or a methyl group, R 3 represents an acid labile group. Y represents a carbon number having a single bond, an ester group or a lactone ring. 1 to 12 linking groups, phenylene groups, or naphthylene groups, a is 0 <a <1.0, b is 0 <b <1.0, and a + b is in the range of 0.1 ≦ a + b ≦ 1.0. is there.)

上記一般式(2)、(3)に示される繰り返し単位aを得るためのモノマーは、具体的には下記に例示することができる。

Figure 2011128298
Specific examples of the monomer for obtaining the repeating unit a represented by the general formulas (2) and (3) are shown below.
Figure 2011128298

Figure 2011128298
(式中、Rは前述と同様である。)
Figure 2011128298
(Wherein R 1 is the same as described above.)

本発明のポジ型レジスト材料中の繰り返し単位aとしては、アミド基で連結したナフトール基を繰り返し単位に有することを特徴とする。このアミド基で連結したナフトール基は、アミノナフトールをアミド化することにより得ることが出来る。アミド基、およびナフトール基はどちらも親水性が高く、密着性基として優れた特性を示す。更にはアミド基、およびナフトール基は酸拡散を抑える効果も高く、これら2つの基を1つの繰り返し単位に有する繰り返し単位を共重合することによって優れた密着性および酸拡散制御性能を有することが出来るのである。   The repeating unit a in the positive resist composition of the present invention is characterized by having a naphthol group linked by an amide group in the repeating unit. The naphthol group linked by this amide group can be obtained by amidating aminonaphthol. Both amide groups and naphthol groups are highly hydrophilic and exhibit excellent properties as adhesive groups. Furthermore, the amide group and naphthol group have a high effect of suppressing acid diffusion, and can have excellent adhesion and acid diffusion control performance by copolymerizing a repeating unit having these two groups in one repeating unit. It is.

上記一般式(3)中の酸不安定基を有する繰り返し単位bを得るためのモノマーとしては、下記一般式(4)で示すことができる。

Figure 2011128298
(式中、R、R、Yは前述と同様である。) The monomer for obtaining the repeating unit b having an acid labile group in the general formula (3) can be represented by the following general formula (4).
Figure 2011128298
(Wherein R 2 , R 3 and Y are the same as described above.)

この場合、X、Y中、ラクトン環を有する炭素数1〜12の連結基としては、下記のものを例示することができる。

Figure 2011128298
In this case, the following can be illustrated as a C1-C12 coupling group which has a lactone ring in X and Y.
Figure 2011128298

上記一般式(3)及び(4)中のRの酸不安定基は、種々選定されるが、同一でも異なっていてもよく、特に下記式(A−1)〜(A−3)で置換された基で示されるものが挙げられる。 The acid labile groups of R 3 in the general formulas (3) and (4) are variously selected, but may be the same or different, and are particularly the following formulas (A-1) to (A-3): The thing shown by the substituted group is mentioned.

Figure 2011128298
Figure 2011128298

上記式(A−1)において、R30は炭素数4〜20、好ましくは4〜15の三級アルキル基、各アルキル基がそれぞれ炭素数1〜6のトリアルキルシリル基、炭素数4〜20のオキソアルキル基又は上記式(A−3)で示される基を示し、三級アルキル基として具体的には、tert−ブチル基、tert−アミル基、1,1−ジエチルプロピル基、1−エチルシクロペンチル基、1−ブチルシクロペンチル基、1−エチルシクロヘキシル基、1−ブチルシクロヘキシル基、1−エチル−2−シクロペンテニル基、1−エチル−2−シクロヘキセニル基、2−メチル−2−アダマンチル基等が挙げられ、トリアルキルシリル基として具体的には、トリメチルシリル基、トリエチルシリル基、ジメチル−tert−ブチルシリル基等が挙げられ、オキソアルキル基として具体的には、3−オキソシクロヘキシル基、4−メチル−2−オキソオキサン−4−イル基、5−メチル−2−オキソオキソラン−5−イル基等が挙げられる。a1は0〜6の整数である。 In the above formula (A-1), R 30 is a tertiary alkyl group having 4 to 20 carbon atoms, preferably 4 to 15 carbon atoms, each alkyl group is a trialkylsilyl group having 1 to 6 carbon atoms, and 4 to 20 carbon atoms. Or a group represented by the above formula (A-3). Specific examples of the tertiary alkyl group include a tert-butyl group, a tert-amyl group, a 1,1-diethylpropyl group, and 1-ethyl. Cyclopentyl group, 1-butylcyclopentyl group, 1-ethylcyclohexyl group, 1-butylcyclohexyl group, 1-ethyl-2-cyclopentenyl group, 1-ethyl-2-cyclohexenyl group, 2-methyl-2-adamantyl group, etc. Specific examples of the trialkylsilyl group include trimethylsilyl group, triethylsilyl group, dimethyl-tert-butylsilyl group, and the like. Specific examples of the oxoalkyl group include a 3-oxocyclohexyl group, a 4-methyl-2-oxooxan-4-yl group, and a 5-methyl-2-oxooxolan-5-yl group. a1 is an integer of 0-6.

上記式(A−1)の酸不安定基としては、具体的にはtert−ブトキシカルボニル基、tert−ブトキシカルボニルメチル基、tert−アミロキシカルボニル基、tert−アミロキシカルボニルメチル基、1,1−ジエチルプロピルオキシカルボニル基、1,1−ジエチルプロピルオキシカルボニルメチル基、1−エチルシクロペンチルオキシカルボニル基、1−エチルシクロペンチルオキシカルボニルメチル基、1−エチル−2−シクロペンテニルオキシカルボニル基、1−エチル−2−シクロペンテニルオキシカルボニルメチル基、1−エトキシエトキシカルボニルメチル基、2−テトラヒドロピラニルオキシカルボニルメチル基、2−テトラヒドロフラニルオキシカルボニルメチル基等が例示できる。   Specific examples of the acid labile group of the above formula (A-1) include tert-butoxycarbonyl group, tert-butoxycarbonylmethyl group, tert-amyloxycarbonyl group, tert-amyloxycarbonylmethyl group, 1,1 -Diethylpropyloxycarbonyl group, 1,1-diethylpropyloxycarbonylmethyl group, 1-ethylcyclopentyloxycarbonyl group, 1-ethylcyclopentyloxycarbonylmethyl group, 1-ethyl-2-cyclopentenyloxycarbonyl group, 1-ethyl Examples include 2-cyclopentenyloxycarbonylmethyl group, 1-ethoxyethoxycarbonylmethyl group, 2-tetrahydropyranyloxycarbonylmethyl group, 2-tetrahydrofuranyloxycarbonylmethyl group and the like.

更に、下記式(A−1)−1〜(A−1)−10で示される置換基を挙げることもできる。

Figure 2011128298
Furthermore, the substituent shown by following formula (A-1) -1-(A-1) -10 can also be mentioned.
Figure 2011128298

ここで、R37は互いに同一又は異種の炭素数1〜10の直鎖状、分岐状もしくは環状のアルキル基、又は炭素数6〜20のアリール基、R38は水素原子、又は炭素数1〜10の直鎖状、分岐状もしくは環状のアルキル基である。
また、R39は互いに同一又は異種の炭素数2〜10の直鎖状、分岐状もしくは環状のアルキル基、又は炭素数6〜20のアリール基である。
a1は前述と同様である。
Here, R 37 is the same or different from each other, a linear, branched or cyclic alkyl group having 1 to 10 carbon atoms, or an aryl group having 6 to 20 carbon atoms, R 38 is a hydrogen atom, or 1 to 1 carbon atoms. 10 linear, branched or cyclic alkyl groups.
R 39 is a linear, branched or cyclic alkyl group having 2 to 10 carbon atoms, or an aryl group having 6 to 20 carbon atoms, which are the same or different from each other.
a1 is the same as described above.

上記式(A−2)において、R31、R32はそれぞれ独立に、水素原子又は炭素数1〜18、好ましくは1〜10の直鎖状、分岐状もしくは環状のアルキル基を示し、具体的にはメチル基、エチル基、プロピル基、イソプロピル基、n−ブチル基、sec−ブチル基、tert−ブチル基、シクロペンチル基、シクロヘキシル基、2−エチルヘキシル基、n−オクチル基等を例示できる。R33は炭素数1〜18、好ましくは1〜10の酸素原子等のヘテロ原子を有してもよい1価の炭化水素基を示し、直鎖状、分岐状もしくは環状のアルキル基、これらの水素原子の一部が水酸基、アルコキシ基、オキソ基、アミノ基、アルキルアミノ基等に置換されたものを挙げることができ、具体的には下記の置換アルキル基等が例示できる。 In the above formula (A-2), R 31 and R 32 each independently represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms. Examples include methyl group, ethyl group, propyl group, isopropyl group, n-butyl group, sec-butyl group, tert-butyl group, cyclopentyl group, cyclohexyl group, 2-ethylhexyl group, and n-octyl group. R 33 represents a monovalent hydrocarbon group which may have a hetero atom such as an oxygen atom having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, a linear, branched or cyclic alkyl group, Examples include those in which a part of hydrogen atoms are substituted with a hydroxyl group, an alkoxy group, an oxo group, an amino group, an alkylamino group, and the like, and specific examples include the following substituted alkyl groups.

Figure 2011128298
Figure 2011128298

31とR32、R31とR33、R32とR33とは結合してこれらが結合する炭素原子と共に環を形成してもよく、環を形成する場合には環の形成に関与するR31、R32、R33はそれぞれ炭素数1〜18、好ましくは1〜10の直鎖状又は分岐状のアルキレン基を示し、好ましくは環の炭素数は3〜10、特に4〜10である。 R 31 and R 32 , R 31 and R 33 , R 32 and R 33 may be combined to form a ring together with the carbon atom to which they are bonded, and in forming a ring, it is involved in the formation of the ring R 31 , R 32 and R 33 each represent a linear or branched alkylene group having 1 to 18 carbon atoms, preferably 1 to 10 carbon atoms, and preferably the ring has 3 to 10 carbon atoms, particularly 4 to 10 carbon atoms. is there.

上記式(A−2)で示される酸不安定基のうち、直鎖状又は分岐状のものとしては、下記式(A−2)−1〜(A−2)−35のものを例示することができる。   Of the acid labile groups represented by the above formula (A-2), examples of the linear or branched groups include those of the following formulas (A-2) -1 to (A-2) -35. be able to.

Figure 2011128298
Figure 2011128298

Figure 2011128298
Figure 2011128298

上記式(A−2)で示される酸不安定基のうち、環状のものとしては、テトラヒドロフラン−2−イル基、2−メチルテトラヒドロフラン−2−イル基、テトラヒドロピラン−2−イル基、2−メチルテトラヒドロピラン−2−イル基等が挙げられる。   Among the acid labile groups represented by the above formula (A-2), the cyclic ones include tetrahydrofuran-2-yl group, 2-methyltetrahydrofuran-2-yl group, tetrahydropyran-2-yl group, 2- Examples thereof include a methyltetrahydropyran-2-yl group.

また、下記式(A−2a)あるいは(A−2b)で表される酸不安定基によってベース樹脂が分子間あるいは分子内架橋されていてもよい。   Further, the base resin may be intermolecularly or intramolecularly crosslinked by an acid labile group represented by the following formula (A-2a) or (A-2b).

Figure 2011128298
Figure 2011128298

式中、R40、R41はそれぞれ独立に、水素原子又は炭素数1〜8の直鎖状、分岐状もしくは環状のアルキル基を示す。又は、R40とR41は結合してこれらが結合する炭素原子と共に環を形成してもよく、環を形成する場合にはR40、R41は炭素数1〜8の直鎖状又は分岐状のアルキレン基を示す。R42は炭素数1〜10の直鎖状、分岐状又は環状のアルキレン基、b1、d10は0又は1〜10、好ましくは0又は1〜5の整数、c1は1〜7の整数である。Aは、(c1+1)価の炭素数1〜50の脂肪族もしくは脂環式飽和炭化水素基、芳香族炭化水素基又はヘテロ環基を示し、これらの基はヘテロ原子を介在してもよく、又はその炭素原子に結合する水素原子の一部が水酸基、カルボキシル基、カルボニル基又はフッ素原子によって置換されていてもよい。Bは−CO−O−、−NHCO−O−又は−NHCONH−を示す。 In the formula, R 40 and R 41 each independently represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms. Alternatively, R 40 and R 41 may combine to form a ring together with the carbon atom to which they are bonded, and when forming a ring, R 40 and R 41 are linear or branched having 1 to 8 carbon atoms. -Like alkylene group. R 42 is a straight-chain having 1 to 10 carbon atoms, branched or cyclic alkylene group, b1, d10 is 0 or 1 to 10, preferably 0 or an integer of 1 to 5, c1 is an integer of 1-7 . A represents a (c1 + 1) -valent aliphatic or alicyclic saturated hydrocarbon group having 1 to 50 carbon atoms, an aromatic hydrocarbon group or a heterocyclic group, and these groups may intervene a hetero atom, Alternatively, a part of hydrogen atoms bonded to the carbon atom may be substituted with a hydroxyl group, a carboxyl group, a carbonyl group, or a fluorine atom. B represents —CO—O—, —NHCO—O— or —NHCONH—.

この場合、好ましくは、Aは2〜4価の炭素数1〜20の直鎖状、分岐状もしくは環状のアルキレン基、アルキルトリイル基、アルキルテトライル基、炭素数6〜30のアリーレン基であり、これらの基はヘテロ原子を介在していてもよく、またその炭素原子に結合する水素原子の一部が水酸基、カルボキシル基、アシル基又はハロゲン原子によって置換されていてもよい。また、c1は好ましくは1〜3の整数である。   In this case, preferably, A is a divalent to tetravalent linear, branched or cyclic alkylene group having 1 to 20 carbon atoms, an alkyltriyl group, an alkyltetrayl group, or an arylene group having 6 to 30 carbon atoms. In these groups, a hetero atom may be interposed, and a part of hydrogen atoms bonded to the carbon atom may be substituted with a hydroxyl group, a carboxyl group, an acyl group, or a halogen atom. C1 is preferably an integer of 1 to 3.

上記式(A−2a)、(A−2b)で示される架橋型アセタール基は、具体的には下記式(A−2)−36〜(A−2)−43のものが挙げられる。   Specific examples of the crosslinked acetal groups represented by the above formulas (A-2a) and (A-2b) include those represented by the following formulas (A-2) -36 to (A-2) -43.

Figure 2011128298
Figure 2011128298

次に、上記式(A−3)においてR34、R35、R36はそれぞれ独立に、水素原子又は炭素数1〜20の直鎖状、分岐状もしくは環状のアルキル基等の1価炭化水素基であり、酸素、硫黄、窒素、フッ素などのヘテロ原子を含んでもよく、R34とR35、R34とR36、R35とR36とは互いに結合してこれらが結合する炭素原子と共に、炭素数3〜20の脂環を形成してもよい。 Next, in the formula (A-3), R 34 , R 35 and R 36 are each independently a monovalent hydrocarbon such as a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. R 34 and R 35 , R 34 and R 36 , R 35 and R 36 are bonded to each other, and together with the carbon atom to which they are bonded, may include heteroatoms such as oxygen, sulfur, nitrogen, fluorine, etc. An alicyclic ring having 3 to 20 carbon atoms may be formed.

上記式(A−3)に示される三級アルキル基としては、tert−ブチル基、トリエチルカルビル基、1−エチルノルボニル基、1−メチルシクロヘキシル基、1−エチルシクロペンチル基、2−(2−メチル)アダマンチル基、2−(2−エチル)アダマンチル基、tert−アミル基等を挙げることができる。   The tertiary alkyl group represented by the above formula (A-3) includes a tert-butyl group, a triethylcarbyl group, a 1-ethylnorbornyl group, a 1-methylcyclohexyl group, a 1-ethylcyclopentyl group, 2- (2 -Methyl) adamantyl group, 2- (2-ethyl) adamantyl group, tert-amyl group and the like.

また、三級アルキル基としては、下記式(A−3)−1〜(A−3)−18を具体的に挙げることもできる。

Figure 2011128298
Moreover, as a tertiary alkyl group, following formula (A-3) -1-(A-3) -18 can also be specifically mentioned.
Figure 2011128298

上記式(A−3)−1〜(A−3)−18中、R43は同一又は異種の炭素数1〜8の直鎖状、分岐状もしくは環状のアルキル基、又は炭素数6〜20のフェニル基等のアリール基を示す。R44、R46は水素原子又は炭素数1〜20の直鎖状、分岐状もしくは環状のアルキル基を示す。R45は炭素数6〜20のフェニル基等のアリール基を示す。 Among the above formula (A-3) -1~ (A -3) -18, R 43 is identical or C1-8 independently a straight, branched or cyclic alkyl group, or a carbon number 6 to 20 An aryl group such as a phenyl group of R 44 and R 46 represent a hydrogen atom or a linear, branched or cyclic alkyl group having 1 to 20 carbon atoms. R 45 represents an aryl group such as a phenyl group having 6 to 20 carbon atoms.

更に、下記式(A−3)−19、(A−3)−20に示すように、2価以上のアルキレン基、アリーレン基であるR47を含んで、ポリマーの分子内あるいは分子間が架橋されていてもよい。

Figure 2011128298
Further, as shown in the following formulas (A-3) -19 and (A-3) -20, the polymer contains a divalent or higher valent alkylene group and R 47 which is an arylene group, and the polymer within or between the molecules is crosslinked. May be.
Figure 2011128298

上記式(A−3)−19、(A−3)−20中、R43は前述と同様、R47は炭素数1〜20の直鎖状、分岐状もしくは環状のアルキレン基、又はフェニレン基等のアリーレン基を示し、酸素原子や硫黄原子、窒素原子などのヘテロ原子を含んでいてもよい。e1は1〜3の整数である。 In the above formulas (A-3) -19 and (A-3) -20, R 43 is the same as described above, and R 47 is a linear, branched or cyclic alkylene group having 1 to 20 carbon atoms, or a phenylene group. An arylene group such as an oxygen atom, a sulfur atom, or a nitrogen atom. e1 is an integer of 1 to 3.

特に、上記式(A−3)の酸不安定基で(メタ)アクリル酸を置換した繰り返し単位としては、下記式(A−3)−21に示されるエキソ体構造を有する繰り返し単位が好ましく挙げられる。   In particular, as the repeating unit in which (meth) acrylic acid is substituted with the acid labile group of the above formula (A-3), a repeating unit having an exo structure represented by the following formula (A-3) -21 is preferable. It is done.

Figure 2011128298
(式中、R、bは前述の通り、Rc3は炭素数1〜8の直鎖状、分岐状又は環状のアルキル基又は炭素数6〜20の置換されていてもよいアリール基を示す。Rc4〜Rc9及びRc12、Rc13はそれぞれ独立に水素原子又は炭素数1〜15のヘテロ原子を含んでもよい1価の炭化水素基を示し、Rc10、Rc11は水素原子を示す。あるいは、Rc4とRc5、Rc6とRc8、Rc6とRc9、Rc7とRc9、Rc7とRc13、Rc8とRc12、Rc10とRc11又はRc11とRc12は互いに環を形成していてもよく、その場合には炭素数1〜15のヘテロ原子を含んでもよい2価の炭化水素基を示す。またRc4とRc13、Rc10とRc13又はRc6とRc8は隣接する炭素に結合するもの同士で何も介さずに結合し、二重結合を形成してもよい。また、本式により、鏡像体も表す。)
Figure 2011128298
(In the formula, R 2 and b are as described above, and R c3 represents a linear, branched or cyclic alkyl group having 1 to 8 carbon atoms or an optionally substituted aryl group having 6 to 20 carbon atoms. R c4 to R c9 and R c12 and R c13 each independently represent a hydrogen atom or a monovalent hydrocarbon group that may contain a hetero atom having 1 to 15 carbon atoms, and R c10 and R c11 represent a hydrogen atom. Alternatively, R c4 and R c5 , R c6 and R c8 , R c6 and R c9 , R c7 and R c9 , R c7 and R c13 , R c8 and R c12 , R c10 and R c11, or R c11 and R c12 Represents a divalent hydrocarbon group which may form a ring with each other and may contain a hetero atom having 1 to 15 carbon atoms, R c4 and R c13 , R c10 and R c13 or R carbon c6 and R c8 is adjacent Nothing binds not through with each other that bind, may form a double bond. The formula also represents enantiomer.)

このような、上記式(A−3)−21に示すエキソ構造を有する繰り返し単位を得るためのエステル体のモノマーとしては、例えば、特開2000−327633号公報に示されているものが挙げられる。具体的には下記に示すものを挙げることができるが、これらに限定されることはない。   Examples of such an ester monomer for obtaining a repeating unit having an exo structure represented by the above formula (A-3) -21 include those described in JP-A No. 2000-327633. . Specific examples include the following, but are not limited thereto.

Figure 2011128298
Figure 2011128298

更に、上記式(A−3)の酸不安定基で(メタ)アクリル酸を置換した繰り返し単位として、下記式(A−3)−22に示されるフランジイル、テトラヒドロフランジイル又はオキサノルボルナンジイルを有する繰り返し単位を好ましく挙げることができる。   Furthermore, as a repeating unit in which (meth) acrylic acid is substituted with an acid labile group of the above formula (A-3), frangyl, tetrahydrofurandiyl or oxanorbornanediyl represented by the following formula (A-3) -22 is included. A repeating unit can be preferably mentioned.

Figure 2011128298
(式中、R、bは前述の通りである。Rc14、Rc15はそれぞれ独立に炭素数1〜10の直鎖状、分岐状又は環状の1価炭化水素基を示す。又は、Rc14、Rc15は互いに結合してこれらが結合する炭素原子と共に脂肪族炭化水素環を形成してもよい。Rc16はフランジイル、テトラヒドロフランジイル又はオキサノルボルナンジイルから選ばれる2価の基を示す。Rc17は水素原子又はヘテロ原子を含んでもよい炭素数1〜10の直鎖状、分岐状又は環状の1価炭化水素基を示す。)
Figure 2011128298
(Wherein R 2 and b are as described above. R c14 and R c15 each independently represent a linear, branched or cyclic monovalent hydrocarbon group having 1 to 10 carbon atoms. c14 and R c15 may be bonded to each other to form an aliphatic hydrocarbon ring together with the carbon atom to which they are bonded, and R c16 represents a divalent group selected from flangedyl , tetrahydrofurandiyl or oxanorbornanediyl . R c17 represents a linear, branched or cyclic monovalent hydrocarbon group having 1 to 10 carbon atoms which may contain a hydrogen atom or a hetero atom.

このような、上記式(A−3)−22に示す、フランジイル、テトラヒドロフランジイル又はオキサノルボルナンジイルを有する酸不安定基で置換された繰り返し単位を得るためのモノマーは、具体的には下記に例示される。なお、下記式中、Acはアセチル基、Meはメチル基を示す。   The monomer for obtaining a repeating unit substituted with an acid labile group having frangiyl, tetrahydrofurandiyl or oxanorbornanediyl represented by the above formula (A-3) -22 is specifically shown below. Illustrated. In the following formulae, Ac represents an acetyl group, and Me represents a methyl group.

Figure 2011128298
Figure 2011128298

Figure 2011128298
Figure 2011128298

また、本発明のポジ型レジスト材料は、繰り返し単位aに密着性基を有しているが、この上記一般式(1)に示される密着性基は、フェノールに比べると炭素数が4つも多く脂溶性が増しているため、密着性をより高めるために、ベース樹脂は、上記一般式(1)で示される基を有する繰り返し単位a、好ましくは上記一般式(2)、(3)の繰り返し単位aと、カルボキシル基の水酸基が酸不安定基で置換された繰り返し単位b、好ましくは上記一般式(3)の繰り返し単位bに加えて、ヒドロキシル基、ラクトン環、エーテル基、エステル基、カルボニル基、及びシアノ基から選ばれる密着性基を有する繰り返し単位cを含む高分子化合物(0<a<1.0、0<b<1.0、0<c≦0.9、0.2≦a+b+c≦1.0の範囲である。)を含有することが好ましい。   Further, the positive resist material of the present invention has an adhesive group in the repeating unit a, but the adhesive group represented by the general formula (1) has 4 carbon atoms more than phenol. Since the fat solubility is increased, the base resin has a repeating unit a having a group represented by the above general formula (1), preferably a repetition of the above general formulas (2) and (3), in order to further improve the adhesion. In addition to the unit a and the repeating unit b in which the hydroxyl group of the carboxyl group is substituted with an acid labile group, preferably the repeating unit b of the general formula (3), a hydroxyl group, lactone ring, ether group, ester group, carbonyl And a polymer compound containing a repeating unit c having an adhesive group selected from a cyano group (0 <a <1.0, 0 <b <1.0, 0 <c ≦ 0.9, 0.2 ≦ a + b + c ≦ 1.0. ) Preferably contains a.

ヒドロキシル基、ラクトン環、エーテル基、エステル基、カルボニル基、及びシアノ基のいずれかを密着性基とする繰り返し単位cを得るためのモノマーとしては、具体的には下記に例示することができる。   Specific examples of the monomer for obtaining the repeating unit c having any one of a hydroxyl group, a lactone ring, an ether group, an ester group, a carbonyl group, and a cyano group as an adhesive group can be exemplified below.

Figure 2011128298
Figure 2011128298

Figure 2011128298
Figure 2011128298

Figure 2011128298
Figure 2011128298

Figure 2011128298
Figure 2011128298

Figure 2011128298
Figure 2011128298

Figure 2011128298
Figure 2011128298

ヒドロキシル基を有するモノマーの場合、重合時にヒドロキシル基をエトキシエトキシ基などの酸によって脱保護し易いアセタールで置換しておいて重合後に弱酸と水によって脱保護を行ってもよいし、アセチル基、ホルミル基、ピバロイル基等で置換しておいて重合後にアルカリ加水分解を行ってもよい。   In the case of a monomer having a hydroxyl group, the hydroxyl group may be replaced with an acetal that can be easily deprotected with an acid such as an ethoxyethoxy group at the time of polymerization, and then deprotected with a weak acid and water after the polymerization. It may be substituted with a group, a pivaloyl group or the like and subjected to alkali hydrolysis after polymerization.

また、下記一般式(5)に示されるインデンd1、アセナフチレンd2、クロモンd3、クマリンd4、ノルボルナジエンd5などの繰り返し単位dを共重合することもできる。   In addition, repeating units d such as indene d1, acenaphthylene d2, chromone d3, coumarin d4, norbornadiene d5 represented by the following general formula (5) can also be copolymerized.

Figure 2011128298
(式中、R〜Rはそれぞれ独立に、水素原子、炭素数1〜30のアルキル基、一部又は全てがハロゲン原子で置換されたアルキル基、ヒドロキシル基、アルコキシ基、アルカノイル基又はアルコキシカルボニル基、又は炭素数6〜10のアリール基、ハロゲン原子、又は1,1,1,3,3,3−ヘキサフルオロ−2−プロパノール基である。Qはメチレン基、酸素原子、又は硫黄原子である。d1は0≦d1≦0.4、d2は0≦d2≦0.4、d3は0≦d3≦0.4、d4は0≦d4≦0.4、d5は0≦d5≦0.4、0≦d1+d2+d3+d4+d5≦0.4である。)
Figure 2011128298
Wherein R 4 to R 8 are each independently a hydrogen atom, an alkyl group having 1 to 30 carbon atoms, an alkyl group partially or entirely substituted with a halogen atom, a hydroxyl group, an alkoxy group, an alkanoyl group or an alkoxy group. A carbonyl group, an aryl group having 6 to 10 carbon atoms, a halogen atom, or a 1,1,1,3,3,3-hexafluoro-2-propanol group, Q is a methylene group, an oxygen atom, or a sulfur atom; D1 is 0 ≦ d1 ≦ 0.4, d2 is 0 ≦ d2 ≦ 0.4, d3 is 0 ≦ d3 ≦ 0.4, d4 is 0 ≦ d4 ≦ 0.4, and d5 is 0 ≦ d5 ≦ 0. .4, 0 ≦ d1 + d2 + d3 + d4 + d5 ≦ 0.4.

下記一般式(6)に示される繰り返し単位eを追加共重合することもできる。

Figure 2011128298
(式中、Rは水素原子又はメチル基を表し、R10は酸不安定基である。qは1又は2である。eは0≦e≦0.4である。) The repeating unit e represented by the following general formula (6) can be additionally copolymerized.
Figure 2011128298
(In the formula, R 9 represents a hydrogen atom or a methyl group, R 10 is an acid labile group, q is 1 or 2, and e is 0 ≦ e ≦ 0.4.)

繰り返し単位a、b、c、d、e以外に共重合できる繰り返し単位fとしては、スチレン、ビニルナフタレン、ビニルアントラセン、ビニルピレン、メチレンインダンなどが挙げられる。   Examples of the repeating unit f that can be copolymerized in addition to the repeating units a, b, c, d, and e include styrene, vinyl naphthalene, vinyl anthracene, vinyl pyrene, and methylene indan.

さらに、重合性オレフィンを有するオニウム塩の酸発生剤gを共重合することもでき、例えば、特開平4−230645号公報、特開2005−84365号公報、特開2006−045311号公報には、特定のスルホン酸が発生する重合性オレフィンを有するスルホニウム塩、ヨードニウム塩が提案されている。また、例えば、特開2006−178317号公報には、スルホン酸が主鎖に直結したスルホニウム塩が提案されている。   Furthermore, an onium salt acid generator g having a polymerizable olefin can be copolymerized. For example, JP-A-4-230645, JP-A-2005-84365, JP-A-2006-045311 A sulfonium salt and an iodonium salt having a polymerizable olefin that generates a specific sulfonic acid have been proposed. Further, for example, JP 2006-178317 A proposes a sulfonium salt in which a sulfonic acid is directly bonded to the main chain.

これらの中でも、本発明では、下記一般式(7)で示されるスルホニウム塩を持つ繰り返し単位g1、g2、g3を共重合することが好ましい。

Figure 2011128298
(式中、R20、R24、R28はそれぞれ独立に、水素原子又はメチル基、R21は単結合、フェニレン基、−O−R−、又は−C(=O)−W−R−である。Wは酸素原子又はNH、Rは炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、フェニレン基又はアルケニレン基であり、カルボニル基、エステル基、エーテル基又はヒドロキシル基を含んでいてもよい。R22、R23、R25、R26、R27、R29、R300、R310は同一又は異種の炭素数1〜12の直鎖状、分岐状又は環状のアルキル基であり、カルボニル基、エステル基又はエーテル基を含んでいてもよく、又は炭素数6〜12のアリール基、炭素数7〜20のアラルキル基又はチオフェニル基を表す。Zは単結合、メチレン基、エチレン基、フェニレン基、フッ素化されたフェニレン基、−O−R320−、又は−C(=O)−Z−R320−である。Zは酸素原子又はNH、R320は炭素数1〜6の直鎖状、分岐状又は環状のアルキレン基、フェニレン基又はアルケニレン基であり、その炭素原子に結合する水素原子がフッ素原子等によって置換されていてもよく、カルボニル基、エステル基、エーテル基又はヒドロキシル基を含んでいてもよい。Mは非求核性対向イオンを表す。g1は0≦g1≦0.3、g2は0≦g2≦0.3、g3は0≦g3≦0.3、0≦g1+g2+g3≦0.3である。) Among these, in the present invention, it is preferable to copolymerize repeating units g1, g2, and g3 having a sulfonium salt represented by the following general formula (7).
Figure 2011128298
(Wherein R 20 , R 24 and R 28 are each independently a hydrogen atom or a methyl group, R 21 is a single bond, a phenylene group, —O—R—, or —C (═O) —W—R—. W is an oxygen atom or NH, R is a linear, branched or cyclic alkylene group, a phenylene group or an alkenylene group having 1 to 6 carbon atoms, and a carbonyl group, an ester group, an ether group or a hydroxyl group. R 22 , R 23 , R 25 , R 26 , R 27 , R 29 , R 300 , R 310 are the same or different linear, branched or cyclic alkyl having 1 to 12 carbon atoms. A carbonyl group, an ester group or an ether group, or an aryl group having 6 to 12 carbon atoms, an aralkyl group having 7 to 20 carbon atoms, or a thiophenyl group, Z 0 is a single bond, methylene Group, Ethile Group, phenylene group, fluorinated phenylene group, —O—R 320 —, or —C (═O) —Z 1 —R 320 —, wherein Z 1 is an oxygen atom or NH, and R 320 is the number of carbon atoms. 1 to 6 linear, branched or cyclic alkylene group, phenylene group or alkenylene group, the hydrogen atom bonded to the carbon atom may be substituted by a fluorine atom or the like, a carbonyl group, an ester group, It may contain an ether group or a hydroxyl group, M represents a non-nucleophilic counter ion, g1 is 0 ≦ g1 ≦ 0.3, g2 is 0 ≦ g2 ≦ 0.3, g3 is 0 ≦ g3 ≦. 0.3, 0 ≦ g1 + g2 + g3 ≦ 0.3.)

の非求核性対向イオンとしては、塩化物イオン、臭化物イオン等のハライドイオン、トリフレート、1,1,1−トリフルオロエタンスルホネート、ノナフルオロブタンスルホネート等のフルオロアルキルスルホネート、トシレート、ベンゼンスルホネート、4−フルオロベンゼンスルホネート、1,2,3,4,5−ペンタフルオロベンゼンスルホネート等のアリールスルホネート、メシレート、ブタンスルホネート等のアルキルスルホネート、ビス(トリフルオロメチルスルホニル)イミド、ビス(パーフルオロエチルスルホニル)イミド、ビス(パーフルオロブチルスルホニル)イミド等のイミド酸、トリス(トリフルオロメチルスルホニル)メチド、トリス(パーフルオロエチルスルホニル)メチドなどのメチド酸を挙げることができる。 Examples of non-nucleophilic counter ions of M include halide ions such as chloride ions and bromide ions, triflate, fluoroalkyl sulfonates such as 1,1,1-trifluoroethanesulfonate, nonafluorobutanesulfonate, tosylate, and benzene. Sulfonate, 4-fluorobenzene sulfonate, aryl sulfonate such as 1,2,3,4,5-pentafluorobenzene sulfonate, alkyl sulfonate such as mesylate and butane sulfonate, bis (trifluoromethylsulfonyl) imide, bis (perfluoroethyl) Mention acid such as imide) such as sulfonyl) imide, bis (perfluorobutylsulfonyl) imide, tris (trifluoromethylsulfonyl) methide, tris (perfluoroethylsulfonyl) methide Can do.

このように、ポリマー主鎖に酸発生剤を結合させたものを用いることによって酸拡散を小さくし、酸拡散のぼけによる解像性の低下を防止できる。また、酸発生剤が均一に分散することによってラインエッジラフネス(LER)やラインウィドスラフネス(LWR)が改善される。   As described above, by using a polymer main chain having an acid generator bonded thereto, acid diffusion can be reduced, and degradation of resolution due to blurring of acid diffusion can be prevented. Further, the line edge roughness (LER) and the line width roughness (LWR) are improved by uniformly dispersing the acid generator.

これら高分子化合物を合成するには、1つの方法としては、繰り返し単位a、bを与えるモノマーと、c〜gを与えるモノマーのうち所望のモノマーとを、有機溶剤中、ラジカル重合開始剤を加え加熱重合を行い、共重合体の高分子化合物を得ることができる。   In order to synthesize these polymer compounds, one method is to add a monomer that gives repeating units a and b and a desired monomer among monomers that give c to g, and add a radical polymerization initiator in an organic solvent. A polymer compound of a copolymer can be obtained by performing heat polymerization.

重合時に使用する有機溶剤としてはトルエン、ベンゼン、テトラヒドロフラン、ジエチルエーテル、ジオキサン等が例示できる。重合開始剤としては、2,2’−アゾビスイソブチロニトリル(AIBN)、2,2’−アゾビス(2,4−ジメチルバレロニトリル)、ジメチル2,2−アゾビス(2−メチルプロピオネート)、ベンゾイルパーオキシド、ラウロイルパーオキシド等が例示でき、好ましくは50〜80℃に加熱して重合できる。反応時間としては2〜100時間、好ましくは5〜20時間である。   Examples of the organic solvent used at the time of polymerization include toluene, benzene, tetrahydrofuran, diethyl ether, dioxane and the like. As polymerization initiators, 2,2′-azobisisobutyronitrile (AIBN), 2,2′-azobis (2,4-dimethylvaleronitrile), dimethyl 2,2-azobis (2-methylpropionate) ), Benzoyl peroxide, lauroyl peroxide and the like, and preferably polymerized by heating to 50 to 80 ° C. The reaction time is 2 to 100 hours, preferably 5 to 20 hours.

ヒドロキシスチレン、ヒドロキシビニルナフタレンを共重合する場合は、ヒドロキシスチレン、ヒドロキシビニルナフタレンの代わりにアセトキシスチレン、アセトキシビニルナフタレンを用い、重合後上記アルカリ加水分解によってアセトキシ基を脱保護してポリヒドロキシスチレン、ヒドロキシポリビニルナフタレンにする方法もある。   When copolymerizing hydroxystyrene and hydroxyvinylnaphthalene, acetoxystyrene and acetoxyvinylnaphthalene are used in place of hydroxystyrene and hydroxyvinylnaphthalene, and after polymerization, the acetoxy group is deprotected by the above alkaline hydrolysis to produce polyhydroxystyrene and hydroxyhydroxyl. There is also a method of making polyvinyl naphthalene.

アルカリ加水分解時の塩基としては、アンモニア水、トリエチルアミン等が使用できる。また反応温度としては−20〜100℃、好ましくは0〜60℃であり、反応時間としては0.2〜100時間、好ましくは0.5〜20時間である。   Ammonia water, triethylamine, etc. can be used as the base during the alkali hydrolysis. The reaction temperature is −20 to 100 ° C., preferably 0 to 60 ° C., and the reaction time is 0.2 to 100 hours, preferably 0.5 to 20 hours.

ここで、繰り返し単位a〜cの割合は、0<a<1.0、0<b<1.0、0≦c≦0.9であり、好ましくは0<c≦0.9、0.2≦a+b+c≦1.0、特に好ましくは0.05≦a≦0.9、0.1≦b≦0.8、0.1≦c≦0.8、0.3≦a+b+c≦1.0、より好ましくは0.1≦a≦0.8、0.15≦b≦0.7、0.15≦c≦0.7、0.4≦a+b+c≦1.0である。
この場合、繰り返し単位d〜gの割合は、0≦d+e+f+g≦0.8、特に0≦d+e+f+g≦0.7であることが好ましく、a+b+c+d+e+f+g=1である。
Here, the ratio of the repeating units a to c is 0 <a <1.0, 0 <b <1.0, 0 ≦ c ≦ 0.9, preferably 0 <c ≦ 0.9, 0. 2 ≦ a + b + c ≦ 1.0, particularly preferably 0.05 ≦ a ≦ 0.9, 0.1 ≦ b ≦ 0.8, 0.1 ≦ c ≦ 0.8, 0.3 ≦ a + b + c ≦ 1.0 More preferably, 0.1 ≦ a ≦ 0.8, 0.15 ≦ b ≦ 0.7, 0.15 ≦ c ≦ 0.7, and 0.4 ≦ a + b + c ≦ 1.0.
In this case, the ratio of the repeating units d to g is preferably 0 ≦ d + e + f + g ≦ 0.8, particularly preferably 0 ≦ d + e + f + g ≦ 0.7, and a + b + c + d + e + f + g = 1.

なお、例えば、a+b+c=1とは、繰り返し単位a、b、cを含む高分子化合物において、繰り返し単位a、b、cの合計量が全繰り返し単位の合計量に対して100モル%であることを示し、a+b+c<1とは、繰り返し単位a、b、cの合計量が全繰り返し単位の合計量に対して100モル%未満でa、b、c以外に他の繰り返し単位を有していることを示す。   For example, a + b + c = 1 means that in a polymer compound containing repeating units a, b, and c, the total amount of repeating units a, b, and c is 100 mol% with respect to the total amount of all repeating units. A + b + c <1 means that the total amount of the repeating units a, b and c is less than 100 mol% with respect to the total amount of all the repeating units and has other repeating units in addition to a, b and c. It shows that.

本発明のポジ型レジスト材料に用いられる高分子化合物は、それぞれ重量平均分子量が1,000〜500,000、好ましくは2,000〜30,000である。重量平均分子量が1,000以上であれば、レジスト材料が耐熱性に優れたものとなり、500,000以下であれば、アルカリ溶解性が低下することもなく、パターン形成後に裾引き現象が生じる恐れもない。
なお、重量平均分子量(Mw)はゲルパーミエーションクロマトグラフィー(GPC)を用いたポリスチレン換算による測定値である。
The polymer compound used in the positive resist material of the present invention has a weight average molecular weight of 1,000 to 500,000, preferably 2,000 to 30,000. If the weight average molecular weight is 1,000 or more, the resist material is excellent in heat resistance, and if it is 500,000 or less, the alkali solubility is not lowered, and a trailing phenomenon may occur after pattern formation. Nor.
The weight average molecular weight (Mw) is a measured value in terms of polystyrene using gel permeation chromatography (GPC).

更に、本発明のポジ型レジスト材料に用いられる高分子化合物においては、多成分共重合体の分子量分布(Mw/Mn)が広い場合は低分子量や高分子量のポリマーが存在するために、露光後、パターン上に異物が見られたり、パターンの形状が悪化したりする。それ故、パターンルールが微細化するに従ってこのような分子量、分子量分布の影響が大きくなり易いことから、微細なパターン寸法に好適に用いられるレジスト材料を得るには、使用する多成分共重合体の分子量分布は1.0〜2.0、特に1.0〜1.5と狭分散であることが好ましい。
また、組成比率や分子量分布や分子量が異なる2つ以上のポリマーをブレンドすることも可能である。
Furthermore, in the high molecular compound used in the positive resist material of the present invention, when the molecular weight distribution (Mw / Mn) of the multi-component copolymer is wide, there is a low molecular weight or high molecular weight polymer. Foreign matter is seen on the pattern or the shape of the pattern is deteriorated. Therefore, since the influence of such molecular weight and molecular weight distribution tends to increase as the pattern rule becomes finer, in order to obtain a resist material suitably used for fine pattern dimensions, the multi-component copolymer used is used. The molecular weight distribution is preferably 1.0 to 2.0, particularly preferably 1.0 to 1.5 and narrow dispersion.
It is also possible to blend two or more polymers having different composition ratios, molecular weight distributions, and molecular weights.

本発明に用いられる高分子化合物は、ポジ型レジスト材料のベース樹脂として好適で、このような高分子化合物をベース樹脂とし、これに有機溶剤、酸発生剤、溶解制御剤、塩基性化合物、界面活性剤等を目的に応じ適宜組み合わせて配合してポジ型レジスト材料を構成することによって、露光部では前記高分子化合物が触媒反応により現像液に対する溶解速度が加速されるので、極めて高感度のポジ型レジスト材料とすることができ、レジスト膜の溶解コントラスト及び解像性が高く、露光余裕度があり、プロセス適応性に優れ、露光後のパターン形状が良好でありながら、より優れたエッチング耐性を示し、特に酸拡散を抑制できることから粗密寸法差が小さく、これらのことから実用性が高く、超LSI用レジスト材料として非常に有効なものとすることができる。   The polymer compound used in the present invention is suitable as a base resin for a positive resist material. Such a polymer compound is used as a base resin, and an organic solvent, an acid generator, a dissolution controller, a basic compound, an interface By combining the activator and the like appropriately in accordance with the purpose to form a positive resist material, the dissolution rate of the polymer compound in the developing solution is accelerated by a catalytic reaction in the exposed area, so that a highly sensitive positive resist is formed. Resist film has high dissolution contrast and resolution, exposure margin, excellent process adaptability, good pattern shape after exposure, and better etching resistance In particular, since the acid diffusion can be suppressed, the difference in density between the dense and dense layers is small. It can be such things.

特に、酸発生剤を含有させ、酸触媒反応を利用した化学増幅ポジ型レジスト材料とすると、より高感度のものとすることができると共に、諸特性が一層優れたものとなり極めて有用なものとなる。   In particular, when a chemically amplified positive resist material containing an acid generator and utilizing an acid catalyzed reaction is used, the sensitivity can be increased, and various characteristics are further improved and extremely useful. .

また、ポジ型レジスト材料に溶解制御剤を配合することによって、露光部と未露光部との溶解速度の差を一層大きくすることができ、解像度を一層向上させることができる。
更に、塩基性化合物を添加することによって、例えばレジスト膜中での酸の拡散速度を抑制し解像度を一層向上させることができるし、界面活性剤を添加することによってレジスト材料の塗布性を一層向上あるいは制御することができる
In addition, by adding a dissolution control agent to the positive resist material, the difference in dissolution rate between the exposed area and the unexposed area can be further increased, and the resolution can be further improved.
Furthermore, by adding a basic compound, for example, the acid diffusion rate in the resist film can be suppressed to further improve the resolution, and by adding a surfactant, the coatability of the resist material can be further improved. Or can be controlled

本発明のポジ型レジスト材料には、本発明のパターン形成方法に用いる化学増幅ポジ型レジスト材料を機能させるために、例えば、活性光線又は放射線に感応して酸を発生する化合物(光酸発生剤)を含有することができる。光酸発生剤の成分としては、高エネルギー線照射により酸を発生する化合物であればいずれでも構わない。好適な光酸発生剤としてはスルホニウム塩、ヨードニウム塩、スルホニルジアゾメタン、N−スルホニルオキシイミド、オキシム−O−スルホネート型酸発生剤等がある。以下に詳述するが、これらは単独であるいは2種以上混合して用いることができる。
酸発生剤の具体例としては、例えば、特開2008−111103号公報の段落[0122]〜[0142]に記載されている。
In order to make the positive resist material of the present invention function as a chemically amplified positive resist material used in the pattern forming method of the present invention, for example, a compound that generates an acid in response to actinic rays or radiation (photoacid generator) ) Can be contained. The component of the photoacid generator may be any compound that generates an acid upon irradiation with high energy rays. Suitable photoacid generators include sulfonium salts, iodonium salts, sulfonyldiazomethane, N-sulfonyloxyimide, oxime-O-sulfonate type acid generators, and the like. Although described in detail below, these can be used alone or in admixture of two or more.
Specific examples of the acid generator are described, for example, in paragraphs [0122] to [0142] of JP-A-2008-111103.

また、本発明のポジ型レジスト材料に配合することができる有機溶剤の具体例としては、例えば、特開2008−111103号公報の段落[0144]〜[0145]記載のシクロヘキサノン、メチル−2−n−アミルケトン等のケトン類、3−メトキシブタノール、3−メチル−3−メトキシブタノール、1−メトキシ−2−プロパノール、1−エトキシ−2−プロパノール等のアルコール類、プロピレングリコールモノメチルエーテル、エチレングリコールモノメチルエーテル、プロピレングリコールモノエチルエーテル、エチレングリコールモノエチルエーテル、プロピレングリコールジメチルエーテル、ジエチレングリコールジメチルエーテル等のエーテル類、プロピレングリコールモノメチルエーテルアセテート、プロピレングリコールモノエチルエーテルアセテート、乳酸エチル、ピルビン酸エチル、酢酸ブチル、3−メトキシプロピオン酸メチル、3−エトキシプロピオン酸エチル、酢酸tert−ブチル、プロピオン酸tert−ブチル、プロピレングリコールモノtert−ブチルエーテルアセテート等のエステル類、γ−ブチロラクトン等のラクトン類及びその混合溶剤が挙げられ、塩基性化合物としては段落[0146]〜[0164]記載の1級、2級、3級のアミン化合物、特にはヒドロキシ基、エーテル、エステル基、ラクトン環、シアノ基、スルホン酸エステル基を有するアミン化合物あるいは特許3790649号記載のカルバメート基を有する化合物を挙げることが出来、界面活性剤は段落[0165]〜[0166]、溶解制御剤としては特開2008−122932号公報の段落[0155]〜[0178]に記載されている。   Specific examples of the organic solvent that can be blended in the positive resist material of the present invention include, for example, cyclohexanone and methyl-2-n described in paragraphs [0144] to [0145] of JP-A-2008-111103. -Ketones such as amyl ketone, alcohols such as 3-methoxybutanol, 3-methyl-3-methoxybutanol, 1-methoxy-2-propanol, 1-ethoxy-2-propanol, propylene glycol monomethyl ether, ethylene glycol monomethyl ether , Ethers such as propylene glycol monoethyl ether, ethylene glycol monoethyl ether, propylene glycol dimethyl ether, diethylene glycol dimethyl ether, propylene glycol monomethyl ether acetate, propylene group Cole monoethyl ether acetate, ethyl lactate, ethyl pyruvate, butyl acetate, methyl 3-methoxypropionate, ethyl 3-ethoxypropionate, tert-butyl acetate, tert-butyl propionate, propylene glycol mono tert-butyl ether acetate, etc. Examples include esters, lactones such as γ-butyrolactone, and mixed solvents thereof. Examples of basic compounds include primary, secondary, and tertiary amine compounds described in paragraphs [0146] to [0164], particularly hydroxy groups, An amine compound having an ether, ester group, lactone ring, cyano group, sulfonic acid ester group or a compound having a carbamate group described in Japanese Patent No. 3790649 can be exemplified, and the surfactants are dissolved in paragraphs [0165] to [0166]. Special control agent It is described in paragraphs [0155] to [0178] of Kaikai 2008-122932.

さらに、特開2008−239918号記載のポリマー型のクエンチャーを添加することもできる。また、必要に応じ更なる任意成分として、アセチレンアルコール類を添加することもでき、アセチレンアルコール類の具体例としては段落[0179]〜[0182]に記載されている。   Furthermore, a polymer type quencher described in JP-A-2008-239918 can also be added. Moreover, acetylene alcohols can also be added as a further optional component as required, and specific examples of acetylene alcohols are described in paragraphs [0179] to [0182].

これらのものは、コート後のレジスト表面に配向することによってパターン後のレジストの矩形性を高める。ポリマー型クエンチャーは、液浸露光用の保護膜を適用したときのパターンの膜減りやパターントップのラウンディングを防止する効果もある。   These enhance the rectangularity of the resist after patterning by being oriented on the resist surface after coating. The polymer quencher also has an effect of preventing pattern film loss and pattern top rounding when a protective film for immersion exposure is applied.

なお、酸発生剤の配合量は、ベース樹脂100質量部に対し0.01〜100質量部、特に0.1〜80質量部とすることが好ましく、有機溶剤の配合量は、ベース樹脂100質量部に対し50〜10,000質量部、特に100〜5,000質量部であることが好ましい。また、ベース樹脂100質量部に対し、溶解制御剤は0〜50質量部、特に0〜40質量部、塩基性化合物は0〜100質量部、特に0.001〜50質量部、界面活性剤は0〜10質量部、特に0.0001〜5質量部の配合量とすることが好ましい。   In addition, it is preferable that the compounding quantity of an acid generator shall be 0.01-100 mass parts with respect to 100 mass parts of base resins, especially 0.1-80 mass parts, and the compounding quantity of an organic solvent is 100 mass of base resins. The amount is preferably 50 to 10,000 parts by mass, particularly 100 to 5,000 parts by mass with respect to parts. Moreover, 0-100 mass parts, especially 0-40 mass parts, a basic compound are 0-100 mass parts with respect to 100 mass parts of base resins, especially 0.001-50 mass parts, surfactant is a surfactant. The blending amount is preferably 0 to 10 parts by mass, particularly 0.0001 to 5 parts by mass.

また、本発明は、少なくとも、前記ポジ型レジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法を提供する。   In addition, the present invention includes at least a step of applying the positive resist material on a substrate, a step of exposing to high energy rays after heat treatment, and a step of developing using a developer. A pattern forming method is provided.

この場合、前記高エネルギー線で露光する工程において、光源は特に限定されないが、電子ビーム、波長3〜15nmの軟X線や、波長180〜250nmの範囲の紫外線を光源として用いることができる。   In this case, in the step of exposing with the high energy beam, the light source is not particularly limited, but an electron beam, soft X-ray with a wavelength of 3 to 15 nm, or ultraviolet light with a wavelength of 180 to 250 nm can be used as the light source.

本発明のポジ型レジスト材料、例えば、上記一般式(1)で示される密着性基を有する繰り返し単位aと、酸脱離基を有する繰り返し単位bを含む高分子化合物と、酸発生剤、有機溶剤、塩基性化合物を含む化学増幅ポジ型レジスト材料を種々の集積回路製造に用いる場合は、特に限定されないが公知のリソグラフィー技術を適用することができる。   The positive resist material of the present invention, for example, a polymer compound containing a repeating unit a having an adhesive group represented by the general formula (1) and a repeating unit b having an acid leaving group, an acid generator, an organic When a chemically amplified positive resist material containing a solvent and a basic compound is used for manufacturing various integrated circuits, a known lithography technique can be applied although it is not particularly limited.

例えば、本発明のポジ型レジスト材料を、集積回路製造用の基板(Si、SiO、SiN、SiON、TiN、WSi、BPSG、SOG、有機反射防止膜等)あるいはマスク回路製造用の基板(Cr、CrO、CrON、MoSi等)上にスピンコート、ロールコート、フローコート、ディップコート、スプレーコート、ドクターコート等の適当な塗布方法により塗布膜厚が0.1〜2.0μmとなるように塗布する。これをホットプレート上で60〜150℃、10秒〜30分間、好ましくは80〜120℃、30秒〜20分間プリベークする。次いで、紫外線、遠紫外線、電子線、X線、エキシマレーザー、γ線、シンクロトロン放射線、真空紫外線(軟X線)等の高エネルギー線から選ばれる光源で目的とするパターンを所定のマスクを通じてもしくは直接露光を行う。露光量は1〜200mJ/cm程度、好ましくは10〜100mJ/cm、又は0.1〜100μC、好ましくは0.5〜50μC程度となるように露光することが好ましい。次に、ホットプレート上で60〜150℃、10秒〜30分間、好ましくは80〜120℃、30秒〜20分間ポストエクスポージャベーク(PEB)する。 For example, the positive resist material of the present invention is applied to a substrate for manufacturing an integrated circuit (Si, SiO 2 , SiN, SiON, TiN, WSi, BPSG, SOG, organic antireflection film, etc.) or a substrate for manufacturing a mask circuit (Cr , CrO, CrON, MoSi, etc.) by a suitable coating method such as spin coating, roll coating, flow coating, dip coating, spray coating, doctor coating, etc., so that the coating film thickness is 0.1 to 2.0 μm. To do. This is pre-baked on a hot plate at 60 to 150 ° C. for 10 seconds to 30 minutes, preferably 80 to 120 ° C. for 30 seconds to 20 minutes. Next, a target pattern is passed through a predetermined mask with a light source selected from high energy rays such as ultraviolet rays, far ultraviolet rays, electron beams, X-rays, excimer lasers, γ rays, synchrotron radiation, vacuum ultraviolet rays (soft X-rays) or the like. Direct exposure is performed. It is preferable to expose so that the exposure amount is about 1 to 200 mJ / cm 2 , preferably 10 to 100 mJ / cm 2 , or 0.1 to 100 μC, preferably about 0.5 to 50 μC. Next, post-exposure baking (PEB) is performed on a hot plate at 60 to 150 ° C. for 10 seconds to 30 minutes, preferably 80 to 120 ° C. for 30 seconds to 20 minutes.

更に、0.1〜5質量%、好ましくは2〜10質量%のテトラメチルアンモニウムヒドロキシド(TMAH)、テトラエチルアンモニウムヒドロキシド(TEAH)、テトラプロピルアンモニウムヒドロキシド(TPAH)、テトラブチルアンモニウムヒドロキシド(TBAH)等のアルカリ水溶液の現像液を用い、3秒〜3分間、好ましくは5秒〜2分間、浸漬(dip)法、パドル(puddle)法、スプレー(spray)法等の常法により現像することにより、光を照射した部分は現像液に溶解し、露光されなかった部分は溶解せず、基板上に目的のポジ型のパターンが形成される。   Further, 0.1 to 5% by mass, preferably 2 to 10% by mass of tetramethylammonium hydroxide (TMAH), tetraethylammonium hydroxide (TEAH), tetrapropylammonium hydroxide (TPAH), tetrabutylammonium hydroxide ( Using an aqueous developer such as TBAH), development is performed for 3 seconds to 3 minutes, preferably 5 seconds to 2 minutes, by a conventional method such as a dip method, a paddle method, or a spray method. As a result, the irradiated portion is dissolved in the developer, and the unexposed portion is not dissolved, and a desired positive pattern is formed on the substrate.

なお、本発明のレジスト材料は、特に高エネルギー線の中でも電子線、真空紫外線(軟X線)、X線、γ線、シンクロトロン放射線による微細パターニングに最適である。   The resist material of the present invention is particularly suitable for fine patterning using electron beams, vacuum ultraviolet rays (soft X-rays), X-rays, γ rays, and synchrotron radiation among high-energy rays.

一般的に広く用いられているTMAH水溶液よりも、アルキル鎖を長くしたTEAH、TPAH、TBAHは現像中の膨潤を低減させてパターンの倒れを防ぐ効果がある。特許公報第3429592号には、アダマンタンメタクリレートのような脂環構造を有する繰り返し単位と、tブチルメタクリレートのような酸不安定基を有する繰り返し単位を共重合し、親水性基が無くて撥水性の高いポリマーの現像のために、TBAH水溶液を用いた例が提示されている。   TEAH, TPAH, and TBAH having a longer alkyl chain than the TMAH aqueous solution that is generally widely used have the effect of reducing the swelling during development and preventing pattern collapse. In Japanese Patent Publication No. 3429592, a repeating unit having an alicyclic structure such as adamantane methacrylate and a repeating unit having an acid labile group such as tbutyl methacrylate are copolymerized, and there is no hydrophilic group and water repellency. Examples using aqueous TBAH solutions for high polymer development are presented.

テトラメチルアンモニウムヒドロキシド(TMAH)現像液は2.38質量%の水溶液が最も広く用いられている。これは0.26Nに相当し、TEAH、TPAH、TBAH水溶液も同じ規定度であることが好ましい。0.26NとなるTEAH、TPAH、TBAHの質量は、それぞれ3.84、5.31、6.78質量%である。   As the tetramethylammonium hydroxide (TMAH) developer, an aqueous solution of 2.38% by mass is most widely used. This corresponds to 0.26N, and it is preferable that the TEAH, TPAH, and TBAH aqueous solutions have the same normality. The masses of TEAH, TPAH, and TBAH that are 0.26N are 3.84, 5.31, and 6.78 mass%, respectively.

EB、EUVで解像される32nm以下のパターンにおいて、ラインがよれたり、ライン同士がくっついたり、くっついたラインが倒れたりする現象が起きている。これは、現像液中に膨潤して膨らんだライン同士がくっつくのが原因と考えられる。膨潤したラインは、現像液を含んでスポンジのように軟らかいために、リンスの応力で倒れやすくなっている。アルキル鎖を長くした現像液はこの様な理由で、膨潤を防いでパターン倒れを防ぐ効果がある。   In a pattern of 32 nm or less that is resolved by EB or EUV, a phenomenon occurs in which lines are twisted, the lines are stuck together, or the stuck lines are tilted. This is thought to be because the lines swollen and swollen in the developer are stuck together. Since the swollen line is soft like a sponge containing a developer, it tends to collapse due to the stress of rinsing. For this reason, the developer having a long alkyl chain has the effect of preventing swelling and preventing pattern collapse.

以下、合成例、比較合成例及び実施例、比較例を示して本発明を具体的に説明するが、本発明は下記の実施例等に制限されるものではない。
なお、重量平均分子量(Mw)はゲルパーミエーションクロマトグラフィー(GPC)を用いたポリスチレン換算による測定値である。
[モノマー合成例]
[モノマー合成例1]5−(メタクリロイルアミノ)−1−ナフトール(モノマー1)の合成

Figure 2011128298
EXAMPLES Hereinafter, although a synthesis example, a comparative synthesis example, an Example, and a comparative example are shown and this invention is demonstrated concretely, this invention is not restrict | limited to the following Example etc.
The weight average molecular weight (Mw) is a measured value in terms of polystyrene using gel permeation chromatography (GPC).
[Monomer synthesis example]
[Monomer Synthesis Example 1] Synthesis of 5- (methacryloylamino) -1-naphthol (monomer 1)
Figure 2011128298

メタクリル酸クロリド104gとトルエン1,500gの混合物に、氷冷、撹拌下、5−アミノ−1−ナフトール150gを添加した。その後、室温にて16時間撹拌した。通常の水系後処理(aqueous work−up)、溶媒留去により粗生成物を得た。カラムクロマトグラフィーにより精製を行い、目的物の5−(メタクリロイルアミノ)−1−ナフトールを得た。
同様の方法でモノマー2〜5を得た。
また、下記合成例で用いたモノマー1〜5及びPAGモノマー1〜3は以下の通りである。
To a mixture of 104 g of methacrylic acid chloride and 1,500 g of toluene, 150 g of 5-amino-1-naphthol was added under ice cooling and stirring. Then, it stirred at room temperature for 16 hours. The crude product was obtained by ordinary aqueous work-up and solvent distillation. Purification was performed by column chromatography to obtain the desired 5- (methacryloylamino) -1-naphthol.
Monomers 2 to 5 were obtained in the same manner.
Monomers 1 to 5 and PAG monomers 1 to 3 used in the following synthesis examples are as follows.

Figure 2011128298
Figure 2011128298

Figure 2011128298
Figure 2011128298

モノマー1:5−(メタクリロイルアミノ)−1−ナフトール
モノマー2:8−(メタクリロイルアミノ)−2−ナフトール
モノマー3:4−(メタクリロイルアミノ)−1−ナフトール
モノマー4:N−(5−ヒドロキシ−1−ナフチル)4−ビニルベンズアミド
モノマー5:N−(5−ヒドロキシ−1−ナフチル)5−ビニル−1−ナフトアミド
PAGモノマー1:4−メタクリル酸オキシフェニルジフェニルスルホニウム パーフル
オロブタンスルホネート
PAGモノマー2:トリフェニルスルホニウム 2,3,5,6−テトラフルオロ−4−
メタクリロイルオキシベンゼンスルホナート
PAGモノマー3:トリフェニルスルホニウム 1,1,3,3,3−ペンタフルオロ−
2−メタクリロイルオキシプロパン−1−スルホネート
Monomer 1: 5- (methacryloylamino) -1-naphthol monomer 2: 8- (methacryloylamino) -2-naphthol monomer 3: 4- (methacryloylamino) -1-naphthol monomer 4: N- (5-hydroxy-1) -Naphthyl) 4-vinylbenzamide monomer 5: N- (5-hydroxy-1-naphthyl) 5-vinyl-1-naphthamide PAG monomer 1: 4-oxyphenyl diphenylsulfonium methacrylate Perful
Orobutanesulfonate PAG monomer 2: Triphenylsulfonium 2,3,5,6-tetrafluoro-4-
Methacryloyloxybenzenesulfonate PAG monomer 3: Triphenylsulfonium 1,1,3,3,3-pentafluoro-
2-Methacryloyloxypropane-1-sulfonate

[合成例1]
2Lのフラスコにメタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル8.2g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イルを8.7g、モノマー1を6.8g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:モノマー1=0.30:0.40:0.30
重量平均分子量(Mw)=8,200
分子量分布(Mw/Mn)=1.83
この高分子化合物を(ポリマー1)とする。
[Synthesis Example 1]
In a 2 L flask, methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl 8.2 g, methacrylic acid 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl 8.7 g, monomer 1 6 0.8 g and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: methacrylate 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl: monomer 1 = 0.30: 0.40: 0.30
Weight average molecular weight (Mw) = 8,200
Molecular weight distribution (Mw / Mn) = 1.83
This polymer compound is referred to as (Polymer 1).

Figure 2011128298
Figure 2011128298

[合成例2]
2Lのフラスコにメタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル8.2g、モノマー2の6.8g、メタクリル酸テトラヒドロ−2−オキソフラン−3−イル6.8g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:モノマー2:メタクリル酸テトラヒドロ−2−オキソフラン−3−イル=0.30:0.30:0.40
重量平均分子量(Mw)=8,400
分子量分布(Mw/Mn)=1.79
この高分子化合物を(ポリマー2)とする。
[Synthesis Example 2]
In a 2 L flask, methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl 8.2 g, monomer 2 6.8 g, tetrahydro-2-oxofuran-3-yl methacrylate 6.8 g, tetrahydrofuran 40 g as a solvent was added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: monomer 2: tetrahydro-2-oxofuran-3-yl methacrylate = 0.30: 0.30: 0.40
Weight average molecular weight (Mw) = 8,400
Molecular weight distribution (Mw / Mn) = 1.79
This polymer compound is referred to as (Polymer 2).

Figure 2011128298
Figure 2011128298

[合成例3]
2Lのフラスコにメタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル8.2g、メタクリル酸5−オキソ−4−オキサトリシクロ[4.2.1.03,7]ノナン−2−イル8.9g、モノマー3を6.8g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:メタクリル酸5−オキソ−4−オキサトリシクロ[4.2.1.03,7]ノナン−2−イル:モノマー3=0.30:0.40:0.30
重量平均分子量(Mw)=8,100
分子量分布(Mw/Mn)=1.72
この高分子化合物を(ポリマー3)とする。
[Synthesis Example 3]
In a 2 L flask, methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl 8.2g, methacrylic acid 5-oxo-4-oxatricyclo [4.2.1.0 3,7 ] nonan-2-yl 8.9g, monomer 3 6.8g, solvent As a result, 40 g of tetrahydrofuran was added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: 5-oxo-4-oxatricyclo [4.2.1.0 3,7 ] nonan-2-yl methacrylate: monomer 3 = 0.30: 0.40: 0.30
Weight average molecular weight (Mw) = 8,100
Molecular weight distribution (Mw / Mn) = 1.72
This polymer compound is referred to as (Polymer 3).

Figure 2011128298
Figure 2011128298

[合成例4]
2Lのフラスコにメタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル8.2g、モノマー4を9.9g、メタクリル酸1−ヒドロキシナフタレン−5−イル4.6g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル4.5g、溶媒としてテトラヒドロフラン40gを添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:モノマー4:メタクリル酸1−ヒドロキシナフタレン−5−イル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル=0.30:0.30:0.20:0.20
重量平均分子量(Mw)=6,900
分子量分布(Mw/Mn)=1.79
この高分子化合物を(ポリマー4)とする。
[Synthesis Example 4]
In a 2 L flask, methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl 8.2 g, monomer 4 9.9 g, 1-hydroxynaphthalen-5-yl methacrylate 4.6 g, methacrylate 3-oxo-2,7-dioxatricyclo [4.2. 1.0 4,8 ] nonan-9-yl 4.5 g and tetrahydrofuran 40 g as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: monomer 4: 1-hydroxynaphthalen-5-yl methacrylate: 3-oxo-2,7-dioxatricyclo methacrylate [4.2.1.0 4,8 ] nonane-9 -Ile = 0.30: 0.30: 0.20: 0.20
Weight average molecular weight (Mw) = 6,900
Molecular weight distribution (Mw / Mn) = 1.79
This polymer compound is referred to as (Polymer 4).

Figure 2011128298
Figure 2011128298

[合成例5]
2Lのフラスコにメタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル8.2g、モノマー5を10.6g、メタクリル酸テトラヒドロ−2−オキソフラン−3−イル6.8g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:モノマー5:メタクリル酸テトラヒドロ−2−オキソフラン−3−イル=0.30:0.30:0.40
重量平均分子量(Mw)=6,300
分子量分布(Mw/Mn)=1.67
この高分子化合物を(ポリマー5)とする。
[Synthesis Example 5]
In a 2 L flask, methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl 8.2 g, monomer 5 10.6 g, tetrahydro-2-oxofuran-3-yl methacrylate 6.8 g and tetrahydrofuran 40 g as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: monomer 5: tetrahydro-2-oxofuran-3-yl methacrylate = 0.30: 0.30: 0.40
Weight average molecular weight (Mw) = 6,300
Molecular weight distribution (Mw / Mn) = 1.67
This polymer compound is referred to as (Polymer 5).

Figure 2011128298
Figure 2011128298

[合成例6]
2Lのフラスコにメタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル8.2g、メタクリル酸(2−オキソ−2,3−ジヒドロベンゾオキサゾール−5−イル)8.7g、モノマー1を6.8g、メタクリル酸テトラヒドロ−2−オキソフラン−3−イル3.4g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:メタクリル酸(2−オキソ−2,3−ジヒドロベンゾオキサゾール−5−イル):モノマー1:メタクリル酸テトラヒドロ−2−オキソフラン−3−イル=0.30:0.20:0.30:0.20
重量平均分子量(Mw)=7,300
分子量分布(Mw/Mn)=1.83
この高分子化合物を(ポリマー6)とする。
[Synthesis Example 6]
In a 2 L flask, methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl 8.2 g, methacrylic acid (2-oxo-2,3-dihydrobenzoxazol-5-yl) 8.7 g, monomer 1 6.8 g, tetrahydro-2-oxofuran-3-methacrylate 3.4 g of yl and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: methacrylic acid (2-oxo-2,3-dihydrobenzoxazol-5-yl): monomer 1: tetrahydro-2-oxofuran-3-yl methacrylate = 0.30: 0.20: 0.30: 0.20
Weight average molecular weight (Mw) = 7,300
Molecular weight distribution (Mw / Mn) = 1.83
This polymer compound is referred to as (Polymer 6).

Figure 2011128298
Figure 2011128298

[合成例7]
2Lのフラスコにメタクリル酸−2−エチル−2−アダマンタン7.4g、モノマー3を6.8g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.7g、PAGモノマー1を6.5g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
メタクリル酸−2−エチル−2−アダマンタン:モノマー3:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー1=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,800
分子量分布(Mw/Mn)=1.81
この高分子化合物を(ポリマー7)とする。
[Synthesis Example 7]
In a 2 L flask, 7.4 g of 2-ethyl-2-adamantane methacrylate, 6.8 g of monomer 3, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 6.7 g of nonan-9-yl, 6.5 g of PAG monomer 1 and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Methacrylic acid-2-ethyl-2-adamantane: Monomer 3: 3-Oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: PAG monomer 1 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,800
Molecular weight distribution (Mw / Mn) = 1.81
This polymer compound is referred to as (Polymer 7).

Figure 2011128298
Figure 2011128298

[合成例8]
2Lのフラスコにメタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル8.2g、モノマー3を6.8g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル、PAGモノマー2を5.7g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:モノマー3:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー2=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,100
分子量分布(Mw/Mn)=1.77
この高分子化合物を(ポリマー8)とする。
[Synthesis Example 8]
In a 2 L flask, methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl 8.2 g, monomer 3 6.8 g, 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate, PAG 5.7 g of monomer 2 and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: monomer 3: 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonan-9-yl: PAG monomer 2 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,100
Molecular weight distribution (Mw / Mn) = 1.77
This polymer compound is referred to as (Polymer 8).

Figure 2011128298
Figure 2011128298

[合成例9]
2Lのフラスコにメタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル8.2g、モノマー2を6.8g、メタクリル酸テトラヒドロ−2−オキソフラン−3−イル5.1g、PAGモノマー3を5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:モノマー2:メタクリル酸テトラヒドロ−2−オキソフラン−3−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,400
分子量分布(Mw/Mn)=1.75
この高分子化合物を(ポリマー9)とする。
[Synthesis Example 9]
In a 2 L flask, methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl 8.2 g, monomer 2 6.8 g, tetrahydro-2-oxofuran-3-yl methacrylate 5.1 g, PAG monomer 3 5.6 g, and tetrahydrofuran 40 g as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: monomer 2: tetrahydro-2-oxofuran-3-yl methacrylate: PAG monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,400
Molecular weight distribution (Mw / Mn) = 1.75
This polymer compound is referred to as (Polymer 9).

Figure 2011128298
[合成例10]
2Lのフラスコにメタクリル酸 9−フルオレニル7.1g、モノマー3を6.8g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.8g、PAGモノマー3を5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
メタクリル酸 9−フルオレニル:モノマー3:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,100
分子量分布(Mw/Mn)=1.71
この高分子化合物を(ポリマー10)とする。
Figure 2011128298
[Synthesis Example 10]
In a 2 L flask, 7.1 g of 9-fluorenyl methacrylate, 6.8 g of monomer 3, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonane-9- 6.8 g of yl, 5.6 g of PAG monomer 3 and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Methacrylic acid 9-fluorenyl: Monomer 3: Methacrylic acid 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl: PAG monomer 3 = 0.30: 0 .30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,100
Molecular weight distribution (Mw / Mn) = 1.71
This polymer compound is referred to as (Polymer 10).

Figure 2011128298
[合成例11]
2Lのフラスコにメタクリル酸 アセナフテニル7.1g、モノマー3を6.8g、メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル6.8g、PAGモノマー3を5.6g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
メタクリル酸 アセナフテニル:モノマー3:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,700
分子量分布(Mw/Mn)=1.77
この高分子化合物を(ポリマー11)とする。
Figure 2011128298
[Synthesis Example 11]
In a 2 L flask, 7.1 g of acenaphthenyl methacrylate, 6.8 g of monomer 3, 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonan-9-yl 6 .8 g, 5.6 g of PAG monomer 3 and 40 g of tetrahydrofuran as a solvent were added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Acenaphthenyl methacrylate: monomer 3: 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonan-9-yl: PAG monomer 3 = 0.30: 0.30 : 0.30: 0.10
Weight average molecular weight (Mw) = 7,700
Molecular weight distribution (Mw / Mn) = 1.77
This polymer compound is referred to as (Polymer 11).

Figure 2011128298
Figure 2011128298

[合成例12]
2Lのフラスコにメタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル5.5g、モノマー1を18.1g、溶媒としてテトラヒドロフランを40g添加した。この反応容器を窒素雰囲気下、−70℃まで冷却し、減圧脱気、窒素ブローを3回繰り返した。室温まで昇温後、重合開始剤としてAIBN(アゾビスイソブチロニトリル)を1.2g加え、60℃まで昇温後、15時間反応させた。この反応溶液をイソプロピルアルコール1L溶液中に沈殿させ、得られた白色固体を濾過後、60℃で減圧乾燥し、白色重合体を得た。
得られた重合体を13C,1H−NMR、及び、GPC測定したところ、以下の分析結果となった。
共重合組成比(モル比)
メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:モノマー1=0.20:0.80
重量平均分子量(Mw)=9,100
分子量分布(Mw/Mn)=1.88
この高分子化合物を(ポリマー12)とする。

Figure 2011128298
[Synthesis Example 12]
In a 2-L flask, methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl 5.5g, monomer 1 18.1g, tetrahydrofuran 40g as a solvent was added. The reaction vessel was cooled to −70 ° C. in a nitrogen atmosphere, and vacuum degassing and nitrogen blowing were repeated three times. After raising the temperature to room temperature, 1.2 g of AIBN (azobisisobutyronitrile) was added as a polymerization initiator, and the temperature was raised to 60 ° C. and reacted for 15 hours. This reaction solution was precipitated in 1 L of isopropyl alcohol, and the resulting white solid was filtered and dried under reduced pressure at 60 ° C. to obtain a white polymer.
When the obtained polymer was measured by 13 C, 1 H-NMR and GPC, the following analysis results were obtained.
Copolymer composition ratio (molar ratio)
Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: monomer 1 = 0.20: 0.80
Weight average molecular weight (Mw) = 9,100
Molecular weight distribution (Mw / Mn) = 1.88
This polymer compound is referred to as (Polymer 12).
Figure 2011128298

[比較合成例1]
上記合成例と同様の方法で下記ポリマーを合成した。
共重合組成比(モル比)
メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:メタクリル酸3−ヒドロキシ−1−アダマンチル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル=0.30:0.20:0.50
重量平均分子量(Mw)=8,200
分子量分布(Mw/Mn)=1.72
この高分子化合物を(比較ポリマー1)とする。

Figure 2011128298
[比較合成例2]
上記合成例と同様の方法で下記ポリマーを合成した。
共重合組成比(モル比)
メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:ヒドロキシスチレン:インデン=0.13:0.77:0.10
重量平均分子量(Mw)=7,500
分子量分布(Mw/Mn)=1.69
この高分子化合物を(比較ポリマー2)とする。 [Comparative Synthesis Example 1]
The following polymers were synthesized by the same method as in the above synthesis example.
Copolymer composition ratio (molar ratio)
Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: 3-hydroxy-1-adamantyl methacrylate: 3-oxo-2,7-dioxatricyclomethacrylate [4.2.1.0 4,8 ] nonan-9-yl = 0 .30: 0.20: 0.50
Weight average molecular weight (Mw) = 8,200
Molecular weight distribution (Mw / Mn) = 1.72
This polymer compound is referred to as (Comparative Polymer 1).
Figure 2011128298
[Comparative Synthesis Example 2]
The following polymers were synthesized by the same method as in the above synthesis example.
Copolymer composition ratio (molar ratio)
Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: hydroxystyrene: indene = 0.13: 0.77: 0.10
Weight average molecular weight (Mw) = 7,500
Molecular weight distribution (Mw / Mn) = 1.69
This polymer compound is referred to as (Comparative Polymer 2).

Figure 2011128298
Figure 2011128298

[比較合成例3]
上記合成例と同様の方法で下記ポリマーを合成した。
共重合組成比(モル比)
メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:4−ヒドロキシスチレン:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル=0.30:0.40:0.30
重量平均分子量(Mw)=8,300
分子量分布(Mw/Mn)=1.82
この高分子化合物を(比較ポリマー3)とする。
[Comparative Synthesis Example 3]
The following polymers were synthesized by the same method as in the above synthesis example.
Copolymer composition ratio (molar ratio)
Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: 4-hydroxystyrene: methacrylic acid 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl = 0.30: 0. 40: 0.30
Weight average molecular weight (Mw) = 8,300
Molecular weight distribution (Mw / Mn) = 1.82
This polymer compound is referred to as (Comparative Polymer 3).

Figure 2011128298
Figure 2011128298

[比較合成例4]
上記合成例と同様の方法で下記ポリマーを合成した。
共重合組成比(モル比)
メタクリル酸−3−エチル−3−エキソテトラシクロ[4.4.0.12,5.17,10]ドデカニル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:メタクリル酸 1−ヒドロキシナフタレン−5−イル=0.30:0.40:0.30
重量平均分子量(Mw)=8,700
分子量分布(Mw/Mn)=1.89
この高分子化合物を(比較ポリマー4)とする。
[Comparative Synthesis Example 4]
The following polymers were synthesized by the same method as in the above synthesis example.
Copolymer composition ratio (molar ratio)
Methacrylic acid-3-ethyl-3-exotetracyclo [4.4.0.1 2,5 . 1 7,10 ] dodecanyl: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonan-9-yl methacrylate: 1-hydroxynaphthalen-5-yl methacrylate: 0.30: 0.40: 0.30
Weight average molecular weight (Mw) = 8,700
Molecular weight distribution (Mw / Mn) = 1.89
This polymer compound is referred to as (Comparative Polymer 4).

Figure 2011128298
Figure 2011128298

[比較合成例5]
上記合成例と同様の方法で下記ポリマーを合成した。
共重合組成比(モル比)
メタクリル酸 9−フルオレニル:メタクリル酸 1−ヒドロキシナフタレン−4−イル:メタクリル酸3−オキソ−2,7−ジオキサトリシクロ[4.2.1.04,8]ノナン−9−イル:PAGモノマー3=0.30:0.30:0.30:0.10
重量平均分子量(Mw)=7,200
分子量分布(Mw/Mn)=1.72
この高分子化合物を(比較ポリマー5)とする。
[Comparative Synthesis Example 5]
The following polymers were synthesized by the same method as in the above synthesis example.
Copolymer composition ratio (molar ratio)
9-fluorenyl methacrylate: 1-hydroxynaphthalen-4-yl methacrylate: 3-oxo-2,7-dioxatricyclo [4.2.1.0 4,8 ] nonane-9-yl methacrylate: PAG Monomer 3 = 0.30: 0.30: 0.30: 0.10
Weight average molecular weight (Mw) = 7,200
Molecular weight distribution (Mw / Mn) = 1.72
This polymer compound is referred to as (Comparative Polymer 5).

Figure 2011128298
Figure 2011128298

[実施例1〜3、比較例1]
ArFエキシマレーザーによる露光評価
表1中に示されるレジスト材料を、シリコンウエハーにARC−29A(日産化学工業(株)製)を80nmの膜厚で成膜した基板上にスピンコーティングし、ホットプレートを用いて100℃で60秒間ベークし、レジスト膜の厚みを80nmにした。これをArFエキシマレーザースキャナー((株)ニコン製、NSR−S610C,NA1.30、σ0.98/0.78、35度ダイポール照明、6%ハーフトーン位相シフトマスク)を用いてAzimuthally偏光照明で40nmライン80nmピッチのパターンを露光し、露光後表1に記載された温度で60秒間ベーク(PEB)し、2.38質量%のテトラメチルアンモニウムヒドロキシドの水溶液で30秒間現像を行って、寸法が40nmのラインアンドスペースパターンを得た。
40nmラインのラフネス(LWR)を測長SEM((株)日立製作所製S−9380)で測定した。結果を表1に示す。
[Examples 1 to 3, Comparative Example 1]
Exposure evaluation by ArF excimer laser The resist material shown in Table 1 was spin-coated on a substrate in which ARC-29A (Nissan Chemical Industry Co., Ltd.) was formed on a silicon wafer with a film thickness of 80 nm, and a hot plate was formed. The resist film was baked at 100 ° C. for 60 seconds to make the thickness of the resist film 80 nm. Using an ArF excimer laser scanner (Nikon Corporation, NSR-S610C, NA1.30, σ0.98 / 0.78, 35 ° dipole illumination, 6% halftone phase shift mask), 40 nm with azimuthally polarized illumination. A pattern with a line 80 nm pitch was exposed, baked (PEB) for 60 seconds at the temperature described in Table 1 after exposure, developed for 30 seconds with an aqueous solution of 2.38 mass% tetramethylammonium hydroxide, and the dimensions were A 40 nm line and space pattern was obtained.
The roughness (LWR) of the 40 nm line was measured with a length measurement SEM (S-9380 manufactured by Hitachi, Ltd.). The results are shown in Table 1.

尚、表1中の各組成は次の通りである。
ポリマー1〜3:上記合成例1〜3で得られた高分子化合物
比較ポリマー1:上記比較合成例1で得られた高分子化合物
酸発生剤:PAG(光酸発生剤)4(下記構造式参照)
塩基性化合物:Quencher1(下記構造式参照)
レジスト表面撥水剤:撥水剤ポリマー1(下記構造式参照)

Figure 2011128298
有機溶剤:PGMEA(プロピレングリコールモノメチルエーテルアセテート)
CyH(シクロヘキサノン) In addition, each composition in Table 1 is as follows.
Polymers 1-3: Polymer compounds obtained in Synthesis Examples 1-3 Comparative polymer 1: Polymer compound obtained in Comparative Synthesis Example 1 Acid generator: PAG (photoacid generator) 4 (the following structural formula reference)
Basic compound: Quencher 1 (see the structural formula below)
Resist surface water repellent: water repellent polymer 1 (see structural formula below)
Figure 2011128298
Organic solvent: PGMEA (propylene glycol monomethyl ether acetate)
CyH (cyclohexanone)

Figure 2011128298
Figure 2011128298

[実施例4〜20、比較例2〜6]
電子ビーム描画評価
描画評価では、上記で合成した高分子化合物を用いて、界面活性剤として住友スリーエム(株)製界面活性剤のFC−4430を100ppm溶解させた溶媒に、表2に示される組成で溶解させた溶液を、0.2μmサイズのフィルターで濾過してポジ型レジスト材料を調製した。
得られたポジ型レジスト材料を直径6インチのSi基板上に、クリーントラックMark 5(東京エレクトロン(株)製)を用いてスピンコートし、ホットプレート上で110℃で60秒間プリベークして100nmのレジスト膜を作製した。これに、(株)日立製作所製HL−800Dを用いてHV電圧50keVで真空チャンバー内描画を行った。
描画後、直ちにクリーントラックMark 5(東京エレクトロン(株)製)を用いてホットプレート上で表に示す温度で60秒間ポストエクスポージャベーク(PEB)を行い、2.38質量%のTMAH水溶液で30秒間パドル現像を行い、ポジ型のパターンを得た。
得られたレジストパターンを次のように評価した。
100nmのラインアンドスペースを1:1で解像する露光量における、最小の寸法を解像力とし、100nmLSのラフネス(LWR)をSEMで測定した。
レジスト組成とEB露光における感度、解像度、ラフネス(LWR)の結果を表2に示す。
[Examples 4 to 20, Comparative Examples 2 to 6]
Electron beam drawing evaluation In the drawing evaluation, the composition shown in Table 2 is used in a solvent in which 100 ppm of the surfactant FC-4430 manufactured by Sumitomo 3M Limited is dissolved as a surfactant using the polymer compound synthesized above. The solution dissolved in (1) was filtered through a 0.2 μm size filter to prepare a positive resist material.
The obtained positive resist material was spin-coated on a 6-inch diameter Si substrate using a clean track Mark 5 (manufactured by Tokyo Electron Ltd.), pre-baked on a hot plate at 110 ° C. for 60 seconds, and 100 nm in thickness. A resist film was prepared. To this, drawing in a vacuum chamber was performed at an HV voltage of 50 keV using HL-800D manufactured by Hitachi, Ltd.
Immediately after the drawing, a post-exposure bake (PEB) was performed for 60 seconds at a temperature shown in the table on a hot plate using a clean track Mark 5 (manufactured by Tokyo Electron Co., Ltd.), and 30% with a 2.38 mass% TMAH aqueous solution. Paddle development was performed for 2 seconds to obtain a positive pattern.
The obtained resist pattern was evaluated as follows.
The minimum dimension at the exposure amount for resolving 100 nm line and space at 1: 1 was taken as the resolving power, and the roughness (LWR) of 100 nm LS was measured by SEM.
Table 2 shows the resist composition and the results of sensitivity, resolution, and roughness (LWR) in EB exposure.

尚、表2中の各組成は次の通りである。
ポリマー1〜12:上記合成例1〜12で得られた高分子化合物
比較ポリマー1〜5:上記比較合成例1〜5で得られた高分子化合物
有機溶剤:PGMEA(プロピレングリコールモノメチルエーテルアセテート)
CyH(シクロヘキサノン)
EL(乳酸エチル)
酸発生剤:PAG5、PAG6(下記構造式参照)
塩基性化合物:Amine1、Amine2、Amine3(下記構造式参照)
溶解制御剤:DRI1、DRI2(下記構造式参照)
Each composition in Table 2 is as follows.
Polymers 1 to 12: Polymer compounds obtained in Synthesis Examples 1 to 12 Comparative polymers 1 to 5: Polymer compounds obtained in Comparative Synthesis Examples 1 to 5 Organic solvent: PGMEA (propylene glycol monomethyl ether acetate)
CyH (cyclohexanone)
EL (ethyl lactate)
Acid generator: PAG5, PAG6 (see structural formula below)
Basic compounds: Amine1, Amine2, Amine3 (see the structural formula below)
Dissolution control agent: DRI1, DRI2 (see the following structural formula)

Figure 2011128298
Figure 2011128298

Figure 2011128298
Figure 2011128298

表1、2に示されるように、実施例1〜20では、感度、解像力ともに高く、ウィドスラフネス(LWR)も良好であることが確認できた。
一方、比較例1〜6では、感度は保てたものの、解像力も劣り、ウィドスラフネス(LWR)も劣化してしまった。
以上の結果から、本発明のポジ型レジスト材料は、十分な解像力と感度とラフネス(LWR)を満たすことがわかった。
As shown in Tables 1 and 2, in Examples 1 to 20, both sensitivity and resolving power were high, and it was confirmed that Widoughness (LWR) was also good.
On the other hand, in Comparative Examples 1 to 6, although the sensitivity could be maintained, the resolution was poor and the Width Roughness (LWR) was also deteriorated.
From the above results, it was found that the positive resist material of the present invention satisfies sufficient resolution, sensitivity, and roughness (LWR).

即ち、本発明のポジ型レジスト材料のように、該レジスト材料を組成する高分子化合物として、ナフトール基がアミド結合で連結された密着性基を有する繰り返し単位と、酸不安定基を有する繰り返し単位を含むものであれば、特に、酸拡散を抑える効果が高いため、レジスト膜の溶解コントラストが高く、高解像性を有し、高感度で、露光後のラフネス(LWR)が良好となり、これらの優れた特性を有することから実用性が極めて高く、超LSI用レジスト材料マスクパターン形成材料として非常に有効に用いることができるといえる。   That is, as the positive resist composition of the present invention, as a polymer compound constituting the resist material, a repeating unit having an adhesive group in which naphthol groups are linked by an amide bond, and a repeating unit having an acid labile group In particular, since the effect of suppressing acid diffusion is high, the resist film has high dissolution contrast, high resolution, high sensitivity, and good post-exposure roughness (LWR). Therefore, it can be said that it can be used very effectively as a resist pattern mask pattern forming material for VLSI.

尚、本発明は、上記実施形態に限定されるものではない。上記実施形態は、例示であり、本発明の特許請求の範囲に記載された技術的思想と実質的に同一な構成を有し、同様な作用効果を奏するものは、いかなるものであっても本発明の技術的範囲に包含される。   The present invention is not limited to the above embodiment. The above-described embodiment is an exemplification, and the present invention has substantially the same configuration as the technical idea described in the claims of the present invention, and any device that exhibits the same function and effect is the present invention. It is included in the technical scope of the invention.

Claims (8)

少なくとも、下記一般式(1)で示される基を有する繰り返し単位aと、水素原子が酸不安定基で置換されたカルボキシル基を有する繰り返し単位bとを含む高分子化合物を含有することを特徴とするポジ型レジスト材料。
Figure 2011128298
(式中、mは1〜3の整数である。)
It contains a polymer compound containing at least a repeating unit a having a group represented by the following general formula (1) and a repeating unit b having a carboxyl group in which a hydrogen atom is substituted with an acid labile group. Positive resist material.
Figure 2011128298
(In the formula, m is an integer of 1 to 3.)
前記繰り返し単位aが、下記一般式(2)で示されるものであることを特徴とする請求項1に記載のポジ型レジスト材料。
Figure 2011128298
(式中、mは前述と同様である。Rは水素原子又はメチル基である。Xは単結合、エステル基、ラクトン環を有する炭素数1〜12の連結基、フェニレン基、ナフチレン基のいずれかである。aは0<a<1.0である。)
The positive resist material according to claim 1, wherein the repeating unit a is represented by the following general formula (2).
Figure 2011128298
(In the formula, m is the same as described above. R 1 is a hydrogen atom or a methyl group. X is a single bond, an ester group, a linking group having 1 to 12 carbon atoms having a lactone ring, a phenylene group, or a naphthylene group. A is 0 <a <1.0.)
前記繰り返し単位aと前記繰り返し単位bが、下記一般式(3)に示されるものであることを特徴とする請求項1又は請求項2に記載のポジ型レジスト材料。
Figure 2011128298
(式中、R、X、mは前述と同様である。Rは水素原子又はメチル基、Rは酸不安定基を表す。Yは単結合、エステル基、ラクトン環を有する炭素数1〜12の連結基、フェニレン基、ナフチレン基のいずれかである。aは0<a<1.0、bは0<b<1.0、a+bは0.1≦a+b≦1.0の範囲である。)
3. The positive resist material according to claim 1, wherein the repeating unit a and the repeating unit b are those represented by the following general formula (3).
Figure 2011128298
(In the formula, R 1 , X and m are the same as described above. R 2 represents a hydrogen atom or a methyl group, R 3 represents an acid labile group. Y represents a carbon number having a single bond, an ester group or a lactone ring. Any one of 1 to 12 linking groups, a phenylene group, and a naphthylene group, a is 0 <a <1.0, b is 0 <b <1.0, and a + b is 0.1 ≦ a + b ≦ 1.0. Range.)
前記繰り返し単位aと前記繰り返し単位bに加えて、更に、ヒドロキシル基、ラクトン環、エーテル基、エステル基、カルボニル基、及びシアノ基から選ばれる密着性基を有する繰り返し単位cを含む高分子化合物(ここで0<a<1.0、0<b<1.0、0<c≦0.9、0.2≦a+b+c≦1.0の範囲である。)を含有することを特徴とする請求項1乃至請求項3のいずれか1項に記載のポジ型レジスト材料。   In addition to the repeating unit a and the repeating unit b, a polymer compound containing a repeating unit c having an adhesive group selected from a hydroxyl group, a lactone ring, an ether group, an ester group, a carbonyl group, and a cyano group ( Wherein 0 <a <1.0, 0 <b <1.0, 0 <c ≦ 0.9, and 0.2 ≦ a + b + c ≦ 1.0.) The positive resist material according to any one of claims 1 to 3. 前記ポジ型レジスト材料が、化学増幅ポジ型レジスト材料であることを特徴とする請求項1乃至請求項4のいずれか1項に記載のポジ型レジスト材料。   The positive resist material according to any one of claims 1 to 4, wherein the positive resist material is a chemically amplified positive resist material. 前記ポジ型レジスト材料が、有機溶剤、溶解制御剤、酸発生剤、塩基性化合物、及び界面活性剤のいずれか1つ以上を含有するものであることを特徴とする請求項1乃至請求項5のいずれか1項に記載のポジ型レジスト材料。   6. The positive resist material contains one or more of an organic solvent, a dissolution controller, an acid generator, a basic compound, and a surfactant. The positive resist material according to any one of the above. 少なくとも、請求項1乃至請求項6のいずれか1項に記載のポジ型レジスト材料を基板上に塗布する工程と、加熱処理後、高エネルギー線で露光する工程と、現像液を用いて現像する工程とを含むことを特徴とするパターン形成方法。   At least a step of applying the positive resist material according to any one of claims 1 to 6 on a substrate, a step of exposing to high energy rays after heat treatment, and developing using a developer. A pattern forming method comprising the steps of: 前記高エネルギー線で露光する工程において、電子ビーム、波長3〜15nmの軟X線、及び波長180〜250nmの範囲の紫外線のいずれかを光源として用いることを特徴とする請求項7に記載のパターン形成方法。   8. The pattern according to claim 7, wherein, in the step of exposing with the high energy beam, any one of an electron beam, a soft X-ray having a wavelength of 3 to 15 nm, and an ultraviolet ray having a wavelength of 180 to 250 nm is used as a light source. Forming method.
JP2009285525A 2009-12-16 2009-12-16 Positive resist material and pattern forming method using the same Active JP5290947B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2009285525A JP5290947B2 (en) 2009-12-16 2009-12-16 Positive resist material and pattern forming method using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009285525A JP5290947B2 (en) 2009-12-16 2009-12-16 Positive resist material and pattern forming method using the same

Publications (2)

Publication Number Publication Date
JP2011128298A true JP2011128298A (en) 2011-06-30
JP5290947B2 JP5290947B2 (en) 2013-09-18

Family

ID=44290970

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009285525A Active JP5290947B2 (en) 2009-12-16 2009-12-16 Positive resist material and pattern forming method using the same

Country Status (1)

Country Link
JP (1) JP5290947B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013113915A (en) * 2011-11-25 2013-06-10 Tokyo Ohka Kogyo Co Ltd Resist composition, method of forming resist pattern, and polymeric compound

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6356650A (en) * 1986-08-28 1988-03-11 Mitsubishi Chem Ind Ltd Photosensitive composition and photosensitive lithographic printing plate
JPH0223349A (en) * 1988-07-12 1990-01-25 Konica Corp Colored image forming method
JP2007161987A (en) * 2005-11-16 2007-06-28 Shin Etsu Chem Co Ltd Resist material and method for forming pattern using the same
JP2007186680A (en) * 2005-12-15 2007-07-26 Nec Corp Amide derivative, polymer, chemical amplification type photosensitive resin composition and method for forming pattern
JP2008276199A (en) * 2007-03-30 2008-11-13 Fujifilm Corp Positive resist composition and pattern forming method using the same
JP2009169085A (en) * 2008-01-16 2009-07-30 Jsr Corp Positive radiation-sensitive resin composition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6356650A (en) * 1986-08-28 1988-03-11 Mitsubishi Chem Ind Ltd Photosensitive composition and photosensitive lithographic printing plate
JPH0223349A (en) * 1988-07-12 1990-01-25 Konica Corp Colored image forming method
JP2007161987A (en) * 2005-11-16 2007-06-28 Shin Etsu Chem Co Ltd Resist material and method for forming pattern using the same
JP2007186680A (en) * 2005-12-15 2007-07-26 Nec Corp Amide derivative, polymer, chemical amplification type photosensitive resin composition and method for forming pattern
JP2008276199A (en) * 2007-03-30 2008-11-13 Fujifilm Corp Positive resist composition and pattern forming method using the same
JP2009169085A (en) * 2008-01-16 2009-07-30 Jsr Corp Positive radiation-sensitive resin composition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013113915A (en) * 2011-11-25 2013-06-10 Tokyo Ohka Kogyo Co Ltd Resist composition, method of forming resist pattern, and polymeric compound

Also Published As

Publication number Publication date
JP5290947B2 (en) 2013-09-18

Similar Documents

Publication Publication Date Title
JP5407941B2 (en) Positive resist material and pattern forming method using the same
JP5463963B2 (en) Positive resist material and pattern forming method using the same
JP5839019B2 (en) Polymer compound, chemically amplified positive resist material, and pattern forming method
JP5708518B2 (en) Resist material and pattern forming method using the same
JP5573595B2 (en) Positive resist material and pattern forming method using the same
JP5318697B2 (en) Resist material and pattern forming method using the same
JP5565293B2 (en) Positive resist material and pattern forming method using the same
JP5712963B2 (en) Polymer compound, positive resist material, and pattern forming method using the same
JP5464131B2 (en) Chemically amplified resist material and pattern forming method using the same
JP5655755B2 (en) Positive resist material and pattern forming method using the same
JP5407892B2 (en) Positive resist material and pattern forming method using the same
JP5655756B2 (en) Positive resist material and pattern forming method using the same
JP6020361B2 (en) Polymer compound, positive resist material, and pattern forming method using the same
JP5601309B2 (en) Positive resist material and pattern forming method using the same
JP5655754B2 (en) Positive resist material and pattern forming method using the same
JP5233976B2 (en) Positive resist material and pattern forming method using the same
JP2015026064A (en) Developer for photosensitive resist material and pattern forming method using the same
JP5402651B2 (en) Positive resist material and pattern forming method using the same
JP5434983B2 (en) Positive resist material and pattern forming method using the same
JP5798100B2 (en) Resist material and pattern forming method using the same
JP5628093B2 (en) Positive resist material and pattern forming method using the same
JP5772760B2 (en) Positive resist material and pattern forming method using the same
JP5290947B2 (en) Positive resist material and pattern forming method using the same
JP2015138236A (en) Positive resist material and pattern forming method using the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111222

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130514

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130521

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130606

R150 Certificate of patent or registration of utility model

Ref document number: 5290947

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150